CN106981413A - 制造氮化钽隔离层的方法与金属栅极堆 - Google Patents

制造氮化钽隔离层的方法与金属栅极堆 Download PDF

Info

Publication number
CN106981413A
CN106981413A CN201610683007.7A CN201610683007A CN106981413A CN 106981413 A CN106981413 A CN 106981413A CN 201610683007 A CN201610683007 A CN 201610683007A CN 106981413 A CN106981413 A CN 106981413A
Authority
CN
China
Prior art keywords
tantalum nitride
separation layer
nitride separation
layer
tantalum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610683007.7A
Other languages
English (en)
Other versions
CN106981413B (zh
Inventor
洪奇成
王喻生
陈文成
魏浩涵
钟明锦
郑志成
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106981413A publication Critical patent/CN106981413A/zh
Application granted granted Critical
Publication of CN106981413B publication Critical patent/CN106981413B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Abstract

本发明揭露一种制造氮化钽隔离层的方法及金属栅极堆。制造用于超低临界电压半导体装置的氮化钽隔离层的方法包含:形成一高介电常数介电层于一半导体基材上。接着,形成一氮化钽隔离层于该高介电常数介电层上,其中该氮化钽隔离层具有一钽氮比(Ta:N)介于1.2至3之间。再来,沉积多个第一金属栅极于该氮化钽隔离层上,并且图案化位于该于该氮化钽隔离层上的该多个第一金属栅极。最后,形成一第二金属栅极于该氮化钽隔离层上。氮化钽隔离层的钽氮比可精准调整,且氮化钽隔离层抑制不同层间物质扩散,介电泄滞的情况大幅减少。

Description

制造氮化钽隔离层的方法与金属栅极堆
技术领域
本发明实施例是关于一种制造半导体装置的方法。尤其是一种制造氮化钽隔离层半导体装置的方法。
背景技术
集成电路技术近年来发展快速。随着集成电路不断进步更新,集成电路的大小愈趋精简,但是结构愈趋复杂。这种现象提高的制作集成电路的门槛,对于集成电路制程的发展也是本领域急欲加强的部分。在集成电路的发展历程中,功能密度(也就是每一单位晶片面积内相连的装置数目)增加,装置的体积(也就是一般制程可制造出的最小元件)却相对缩小。
为了达到更小的体积,在制程过程中容易出现一些瑕疵,例如:电流外泄、材料相容性等。外来物质渗透以及无可避免的空乏效应常发生在一般的多晶硅栅极。利用功函数金属(work function metal)被拿来替换熟悉多晶硅栅极。使用功函数金属栅极层可以带来较高的栅极电容以及栅极介电层减量的优点。然而,金属栅极层与其下的介电层材料易产生不良的交互反应,使得装置的效能受到负面影响。
发明内容
本发明一实施例提供一种制造用于半导体装置的氮化钽隔离层的方法包含调整沉积温度介于摄氏275-300度之间以及调整氨气(NH3)流量容量介于500-700毫升/分钟(ml/m)。
根据本发明部分实施例,沉积温度保持稳定。
根据本发明部分实施例,氨气流量容量保持稳定。
根据本发明部分实施例,调整沉积温度以及调整氨气流量容量重复至少两个循环。
根据本发明部分实施例,每次循环改变沉积温度以及氨气流量容量。
根据本发明部分实施例,钽氮比(Ta:N)介于1.2至3之间。
本发明另一实施例提供一种制造用于超低临界电压半导体装置的氮化钽隔离层的方法,包含形成高介电常数介电层于半导体基材上,接着,形成氮化钽隔离层于高介电常数介电层上,氮化钽隔离层具有钽氮比(Ta:N)介于1.2至3之间。再来,沉积多个第一金属栅极于氮化钽隔离层上,并且图案化位于氮化钽隔离层上的多个第一金属栅极,以及形成第二金属栅极于氮化钽隔离层上。
本发明另一实施例提供一种金属栅极堆包含基材具有一源极/漏极区域、高介电常数介电层设置于基材上且介于源极/漏极区域之间,以及氮化钽隔离层设置于高介电常数介电层之上。氮化钽隔离层具有钽氮比(Ta:N)介于1.2至3之间。
根据本发明部分实施例,氮化钽隔离层具有多个层。
根据本发明部分实施例,氮化钽隔离层的多个层各具有不同钽氮比。
附图说明
本发明的上述和其他实施方式、特征及其他优点参照说明书内容并配合附加附图得到更清楚的了解,其中:
图1根据本发明部分实施例绘示在半导体装置中沉积氮化钽隔离层流程图;
图2根据本发明部分实施例绘示在超低临界电压半导体装置中沉积氮化钽隔离层流程图;
图3是一图表表现晶圆电流漏电情况;
图4A-4C是绘示如图2所示的流程图步骤;以及
图5是根据本发明部分实施例绘示一金属栅极堆。
具体实施方式
为了使本发明的叙述更加详尽与完备,下文针对了本发明的实施方式与具体实施例提出了说明性的描述;但这并非实施或运用本发明具体实施例的唯一形式。以下所揭露的各实施例,在有益的情形下可相互组合或取代,也可在一实施例中附加其他的实施例,而无须进一步的记载或说明。在以下描述中,将详细叙述许多特定细节以使读者能够充分理解以下的实施例。然而,可在无此等特定细节的情况下实践本发明的实施例。
透过金属栅极结构替代熟悉多晶硅栅极电极,可以使得晶体管尺寸大幅减少。栅极氧化层的厚度同时减少,配合比较短的栅极长度,维持装置效能。高介电常数(high-k或HK)栅极绝缘层取代了熟悉的氧化硅,因为高介电常数栅极绝缘层可以透过较薄的厚度达到更微量的外漏电流,并且得到等效氧化物厚度(equivalent oxide thickness,EOT)的电容值。然而,当高介电常数材料与金属被拿来形成栅极层,许多潜在问题浮现。
当一个场效晶体管装置的通道长度(channel length)与源极漏极接面的空乏层宽度相同时,这种场效晶体管可以被视为短通道场效晶体管。当半导体装置的大小缩减,会使得运作速度增加而且让更多元件可以被设置在一个晶片上,也会发生短通道效应(shortchannel effect)。当包围漏极区的空乏区延伸到源极区,两边的空乏区交融,将会产生穿隧效应(punch-through)或漏极引起的位能下降(drain-induced barrier lower,DIBL)。这种现象被称作短通道效应。可想而知,短通道效应可以透过较长的通道长度舒缓。但是,增加装置的尺寸的做法完全不在考虑范围。
在不影响晶片大小的前提下,短通道效应可以透过较薄的氧化层、更广泛的基材掺杂以及较浅的接面减缓。假设接面的半径是R,通道长度是L,临界电压偏移值与R/L的比例成正比。因此,当一个晶体管的通道长度较短的时候,临界电压偏移值也比较显著。当通道长度L远比接面半径R来得大的状况,临界电压偏移值几乎为0。在相同的栅极至源极(gate-to-source)电压情况下,大小愈小的装置具有较高的漏极电流。因此,这些小尺寸的装置具有较低的临界电压。在超低临界电压装置中,短通道效应可能因此更加剧烈。
临界电压支配了晶体管的速度、待机电流量以及运作电流特性。临界电压必须具备放大“运作”电流,而且减少“待机”电流的脚色。这个脚色的权衡常取决于电路设计以及应用层面。常见的手段是透过微调晶体管通道区域临界电压掺杂物的掺杂浓度,来调整临界电压。
另外一种调整临界电压的方式,是调整栅极功函数。随着金属栅极的技术进展,适当的功函数材料选择对于晶体管功效更加重要。功函数是移动一个电子从费米能阶(Fermilevel)到真空所需的能量。不同材料具备不同功函数,而且N型或P型晶体管需要不一样的功函数。选用不同金属材料,又可能会造成额外的制程步骤,而且增加制程的复杂度,增加装置发生缺陷的机率。
根据研究指出,许多栅极金属材料与高介电常数介电材料,像是HfO2或ZrO2直接接触合用的时候,会产生黏附或稳定性的问题。举例来说,金属材料像是钛(Ti)、铪(Hf)或锆(Zr)抢氧原子(O),使得其下的有效介电层厚度减少,造成衰退并增加电流外漏的可能。通过增加一介于金属栅极与高介电常数介电材料的隔离层(barrier layer)(也被称作“遮蔽层”(cap layer)),可以克服金属材料与高介电材料相容性的问题。因此,隔离层材料的选用,对于整体装置效能有决定性的影响。
请参考图4A。图4A绘示一水平视角半导体装置剖面图。半导体装置包含一基材410。基材410是一包含硅的半导体基材。除此之外,基材410可以包含其它初阶半导体,像是锗,或是其他复合半导体,像是硅碳化物、砷化镓、磷化镓、磷化铟、砷化铟或锑化铟。基材410可以包含一半导体合金,像是SiGe,GaAsP,AlInAs,AlGaAs,GaInAs,GaInP,GaInAsP或其组合。在本发明另一实施例中,基材410根据装置需求包含不同掺杂物、掺杂物浓度的配置。
一绝缘层420设置在基材410中,以隔绝分离基材410中不同区域或元件。绝缘层420利用绝缘手段,像是区域性硅表面氧化隔离(local oxidation of silicon,LOCOS),或是浅沟渠分离(shallow trench isolation,STI),来界定并电性区隔基材410中的不同区域。绝缘层420包含氧化硅、氮化硅、氮氧化硅等其他适合绝缘材料。绝缘层420可透过任何适合的方式形成。举例来说,形成一浅沟渠分离包含利用微影蚀刻制程暴露一部分的基材,再于基材被暴露的区域蚀刻出一沟槽,并且用介电材料填充此沟槽。
源极/漏极区域430设置在基材410中。源极/漏极区域430可包含低浓度掺杂的源极/漏极区域,以及高浓度掺杂的源极/漏极区域430。低浓度或高浓度掺杂的源极/漏极区域可以透过离子植布、扩散的方式形成,可以包含N-型掺杂物,像是含磷化合物或砷,也可以包含P-型掺杂物,像是硼或氟化硼。可进行退火以活化源极/漏极区域430内的掺杂物。介于源极/漏极区域430之间可界定一通道区域440。
高介电常数介电层450设置在基材410之上。高介电常数介电层450具有一厚度介于约5至之间。高介电常数介电层450的材料可以包含HfO2,HfSiO,HfSiON,HfTaO,HfTiO,HfZrO、氧化锆、氧化铝、氧化铪-氧化铝(HfO2-Al2O3)合金,或任何其他合适的高介电常数介电材料。形成高介电常数介电层450的方法包含沉积、微影图案化、蚀刻等其他适合的制程。沉积可以包含物理气相沉积(PVD)、化学气相沉积(CVD)、原子层沉积(ALD)、等离子促进化学气相沉积(plasma enhanced CVD)、远距等离子化学气相沉积(remote plasmaCVD)、金属有机化学气相沉积、溅镀、喷镀及其组合。微影图案化制程包含光阻涂布、软烤、光罩对准、曝光、曝光后烘烤、光阻显影、润湿、干燥等。蚀刻包含干蚀刻与湿蚀刻。
形成高介电常数介电层450之后,接着形成氮化钽阻绝层。根据本发明实施例,图1绘示方法100流程图,方法100是于整个或部分半导体装置中沉积氮化钽阻绝层。方法100由步骤100开始,调整一沉积温度。
氮化钽阻绝层460是由原子层沉积或化学气相沉积的方式形成。当沉积氮化钽阻绝层460的时候,可以调节其沉积温度。沉积温度对于在反应室中形成的钽氮比例具有举足轻重的影响力。如前所述,一金属阻绝层通常设置于高介电常数介电层以及金属栅极之间,金属阻绝层的材料对于装置效能影响甚巨,因为金属阻绝层的成分可能会与金属栅极作用。经研究发现,含氮量较高的金属阻绝层比较容易导致氮-钛反应。此一不乐见的氮-钛反应造成金属栅极于接下来的制程中具有较高的蚀刻率。非刻意引入的栅极层不等相性蚀刻会导致严重的装置缺陷,举例来说,接触不良或不平坦的介面。因此,阻绝层460的钽与氮的比例在装置效能上扮演重要脚色。氮化钽阻绝层460可以促进金属栅极与其下的高介电常数介电层450的结合,同时,氮化钽阻绝层460的成分浓度比需严谨的控制,以避免隔绝层金属与金属栅极层反应。
氮化钽阻绝层460的钽与氮浓度是透过温度语气体流量容量调节。根据本发明部分实施例,氮化钽阻绝层460是由原子层沉积的方式形成。在步骤110,微调反应室沉积温度。较高的沉积温度导致较高的氮浓度。换句话说,钽比氮(Ta:N)的比例比较低,低于1.3。当使用较低的沉积温度,氮浓度减少,而钽比氮比例增高。举例来说,当沉积温度设于约摄氏275度,钽氮比约是1.3。当沉积温度减少至约摄氏250度,钽氮比约是1.4,因为反应过程中氮浓度减少。当沉积温度升高至摄氏300度,钽氮比增加至1.6。沉积温度与钽氮比数值互为反比。一较高的沉积温度代表一较低的Ta:N数值,一较低的沉积温度代表一较高的Ta:N数值。
另外,如图1步骤120所示,还可以透过气体流量容量控制钽与氮的比率,也就是氨气(NH3)的气体流量。与沉积温度不一样的是,当氨气气体流量容量增加,氮在氮化钽隔离层460的浓度上升。举例来说,当氨气气体流量容量设定在约800毫升/分钟(ml/m)(每分钟标准立方厘米,standard cubic centimetres per minute,SCCM),钽氮比接近1。如果氨气气体流量容量减少到约600毫升/分钟,氮浓度同样下降,钽氮比则上升到超过1.4。通过调整氨气气体流量容量,氮化钽隔离层的钽氮比可以被更精准的调节。
调整沉积温度或氨气气体流量容量可以交替进行或同时进行。两者的执行顺序并不影响最后的氮化钽隔离层浓度比例。为了达到理想钽:氮比,可以透过单独调整沉积温度或氨气气体流量容量达到目标。除此之外,调整程序可以分为多个步骤进行。举例来说,调整沉积温度可以重复至少两次,两次只中可以为相同沉积温度(与其他反应条件)或不同沉积温度(与其他反应条件)。同理,氨气气体流量容量也可以重复执行超过一次以上。多次循环代表氮化钽隔离层可以包含多层,且每一层根据其反应条件可具有不同成分比例。举例来说,在第一次循环,沉积温度设于摄氏275度,氨气气体流量容量设于500毫升/分钟。在接下来的循环,沉积温度保持相同,氨气气体流量容量增加到600毫升/分钟。反应条件可依半导体装置产物需求变化钽氮比率。氮化钽隔离层最终厚度约为
综合反应室沉积温度与氨气气体流量容量带来的影响,氮化钽隔离层可具有钽氮比介于1.2至3之间。特地减低氨气浓度,使得氨气与其相邻元素,像是与来自其上金属栅极的钛之间的负面反应效果可以弱化。当氮化钽隔离层的氮浓度微调至较低的程度,介质泄滞的情况可以减到最少。氮化钽隔离层可以当作遮蔽层,促进结合以及防止氧提取(oxygenscavenge)。更详细地说,透过干扰熟悉1:1的钽氮比率,本发明的氮化钽隔离层460的氮浓度较低,因此,在氮化钽隔离层460中的氮原子与其上的金属栅极交互作用降低。另外,栅极层里的元素,像是钛,较不易穿透氮化钽隔离层460,因此其下的高介电常数介电层450可以不受到氧缺位占领(oxygen vacancy occupation)。简言之,氮化钽隔离层460具有钽氮比大于1.2,且此一比率抑制不同层间物质扩散,因此,介电泄滞的情况大幅减少。
请参考图3。图3为图表呈现当使用氮化钽隔离层460时,装置电流漏电情况。浅灰色线条为数据来自一晶圆没有使用氮化钽隔离层于其装置。深灰色线条为数据来自一晶圆使用氮化钽隔离层460于其装置。经过0.01与0.02的垂直虚线是指一装置漏电标准值(安培,A)。当氮化钽隔离层460被使用,装置漏电量位移制标准值左侧,代表氮导致的金属离子扩散情形趋缓,因此介电泄滞的情形也减少。当氮原子浓度在氮化钽隔离层中调节至较低时,驱动电流相较之下更稳定。
请参考图2。图2根据本发明不同实施例绘示在部分或全部超低临界电压半导体装置中沉积氮化钽隔离层的方法200流程图。如前所述,临界电压受到短通道效应影响,包含穿隧效应或漏极引起的位能下降。因此,在超低临界电压的情况,材料的选择扮演举足轻重的脚色,不良的材料交互反应,例如氮与钛,会导致产物性能减损。
如图2步骤210所述,高介电常数介电层形成在一半导体基材上。此一步骤是绘示于图4A,高介电常数介电层450形成在基材410上。根据本发明部分实施例,基材410包含多于一组的栅极堆。举例来说,在一超低介电压半导体装置,栅极堆的数目是四,且每个栅极堆功能不同或结构不同。为了力求图示清楚简洁,图4A-4C仅绘示一个栅极堆结构,本发明并不以此为限。基材410的特征与前述图1步骤110大致相同,于此不再赘述。一通道区域440界定于源极/漏极区域430之间。根据本发明部分实施例,高介电常数介电层450至少覆盖基材410的通道区域440上。如图4A所示,高介电常数介电层450形成在基材410整个表面,覆盖了通道区域440、源极/漏极区域430与绝缘层420。
接着,如220步骤所述,氮化钽隔离层形成在高介电常数介电层上。请复参考图4A。钽氮比被控制在1.2至3之间,钽氮比可以透过调节制程条件精准调整。更详细地说,热与气体流量容量决定了钽氮比。通过调整反应室沉积温度,可以改变产物的钽氮浓度。较高的反应温度,氮浓度提升。当反应在较低的温度下进行,例如摄氏275度,氮浓度则较低,形成钽氮比高于至少1.2。除了调整温度之外,调整氨气气体流量容量也可以导致比率改变。根据本发明部分实施例,氨气气体流量容量设在约600毫升/分钟,使得氮浓度维持较低的标准。举例来说,在600毫升/分钟的情况下,钽氮比接近1.4。如果氨气气体流量容量增加,氮浓度增加,钽氮比降至小于1.2。
如步骤230所述,在超低临界电压半导体装置中,形成氮化钽隔离层之后,金属栅极形成在氮化钽隔离层之上。如图4B绘示,多个第一金属栅极472、474与476设置在氮化钽隔离层460上。第一金属栅极472、474与476可通过物理气相沉积、化学气相沉积、原子层沉积、等离子促进沉积、远距等离子化学气相沉积、有机金属化学气相沉积、溅镀、电镀或任何其他合适的方式形成。
如步骤240所述,根据超低临界电压半导体装置的设计,图案化第一金属栅极472、474与476。每个第一金属栅极在不同的栅极堆里具有不同功函数。根据本发明部分实施例,第一金属栅极的材料是氮化钛(TiN)。氮化钽隔离层460隔绝钛扩散至高介电常数介电层450。氮化钽隔离层460中较低的氮浓度减缓氮与钛的交互作用,氮与钛的交互作用会导致高介电常数介电层450的氧提取现象。第一金属栅极472、474与476的钛被阻绝,因此无法侵入高介电常数介电层450,而介电泄滞的情况可大幅减少。除此之外,在图案化第一金属栅极472、474与476的过程中,蚀刻率较为一致,因为钛原子成功被保留在第一金属栅极472、474与476中,钛原子与来自氮化钽隔离层460的氮交互作用机会减少。换句话说,第一金属栅极与氮化钽隔离层的介面较不易发生钛与钽的作用。图案化第一金属栅极472、474与476的步骤可于形成每一层第一金属栅极之后马上进行,本发明并不以此为限。
如步骤250所述,在图案化之后,可以进行化学式机械磨平(chemical mechanicalpolishing,CMP),以移除形成于氮化钽隔离层460上的第一金属栅极472、474与476,直到其下的氮化钽隔离层460暴露出来。请参考图4C,第一金属栅极472、474与476自四个栅极堆中的其中一个完全移除。因此,四个栅极堆中的其中一个完全没有第一金属栅极覆盖,且可进行其他后续制程。举例来说,可以进行图案化,使得氮化钽隔离层460’以及高介电常数介电层450’当作一栅极堆的基底。根据本发明部分实施例,第一金属栅极472、474与476为其他三个在超低临界电压半导体装置的栅极堆导电结构。而第四个栅极具有依第二金属栅极。如步骤250所述,第二金属栅极设置在氮化钽隔离层460’之上,以形第四个栅极堆。
根据本发明部分实施例,在形成第一与第二金属栅极之后,一阻绝层(图未示)可以设置在栅极堆上。阻绝层可以透过物理气相沉积、化学气相沉积、原子层沉积、等离子促进沉积、远距等离子化学气相沉积、有机金属化学气相沉积、溅镀、电镀或任何其他合适的方式形成。阻绝层的材料可以是例如氮化钛(TiN)。一钨金属层(tungsten)(图未示)设置在阻绝层上,完成超低临界电压半导体装置。
请参考图5。图5是根据本发明部分实施例绘示半导体装置的一部分,包含一金属栅极堆500。金属栅极堆500包含一基材500、一栅极结构以及一介电层590。基材510包含一源极/漏极区域530以及一通道区域540介于源极/漏极区域530之间。绝缘层520设置在源极/漏极区域530的两旁,以隔绝栅极堆与其他在基材510上不同功能的栅极堆。一高介电常数介电层550设置在基材510的通道区域540上。一氮化钽隔离层560设置在高介电常数介电层550之上,氮化钽隔离层560具有钽氮比介于约1.2至3之间。氮化钽隔离层560可包含多于一层。除此之外,根据装置设计需求,每一层氮化钽隔离层可具有不同钽氮比。举例来说,一第一氮化钽隔离层可以有钽氮比约1.5,而第二氮化钽隔离层可以有钽氮比约2。钽氮比可以不固定,且透过沉积氮化钽隔离层时,调整沉积温度或氨气气体流量容量达成。氮化钽隔离层560具有约的厚度。氮化钽隔离层560的氮原子浓度被刻意压低,使得氮引起的金属离子迁移现象可以减少。其下的高介电常数介电层550的氧缺位提取情况也会趋缓,因为当游离的氮几乎不存在时,金属离子无法跨越氮化钽隔离层560到高介电常数介电层550。
一金属栅极层570设置在氮化钽隔离层560之上。一对间隔体580包夹栅极堆于其间。间隔体580设置在栅极堆(高介电常数介电层550、氮化钽隔离层560与金属栅极层570)两侧壁。间隔体580的材料可以为例如氧化硅、硅碳氮化物或其组合。介电层590设置覆盖在基材510之上,像是一层间介电层(interlevel dielectric layer,ILD)。介电层590包含一介电材料例如氧化硅、氮化硅、氮氧化硅、四乙氧基硅烷(tetraethyl orthosilicate,TEOS)形成的氧化层、磷硅酸盐玻璃(phosphosilicate glass,PSG)、硼硅玻璃(borophosphosilicate glass,BPSG)、低介电常数材料等任何其他适合的介电材料。介电层590可具有多层结构,包含不同介电材料。栅极堆500即告完成。
虽然本发明已以实施方式揭露如上,然其并非用以限定本发明,任何熟悉此技艺者,在不脱离本发明的精神和范围内,当可作各种的更动与润饰,因此本发明的保护范围当视所附的权利要求书所界定的范围为准。

Claims (10)

1.一种制造用于半导体装置的氮化钽隔离层的方法,其特征在于,包括:
调整沉积温度介于摄氏275-300度之间;以及
调整氨气流量容量介于500-700毫升/分钟。
2.如权利要求1所述的制造用于半导体装置的氮化钽隔离层的方法,其特征在于,所述的沉积温度保持稳定。
3.如权利要求1所述的制造用于半导体装置的氮化钽隔离层的方法,其特征在于,所述的氨气流量容量保持稳定。
4.如权利要求1所述的制造用于半导体装置的氮化钽隔离层的方法,其特征在于,调整所述的沉积温度以及调整所述的氨气流量容量重复至少两个循环。
5.如权利要求4所述的制造用于半导体装置的氮化钽隔离层的方法,其特征在于,还包括:
每次循环改变所述的沉积温度以及所述的氨气流量容量。
6.如权利要求1所述的制造用于半导体装置的氮化钽隔离层的方法,其特征在于,钽氮比介于1.2至3之间。
7.一种制造用于超低临界电压半导体装置的氮化钽隔离层的方法,其特征在于,包括:
形成高介电常数介电层于半导体基材上;
形成氮化钽隔离层于所述的高介电常数介电层上,所述的氮化钽隔离层具有钽氮比介于1.2至3之间;
沉积多个第一金属栅极于所述的氮化钽隔离层上;
图案化位于所述的氮化钽隔离层上的所述的多个第一金属栅极;以及
形成第二金属栅极于所述的氮化钽隔离层上。
8.一种金属栅极堆,其特征在于,包括:
基材具有一源极/漏极区域;
高介电常数介电层设置于所述的基材上且介于源极/漏极区域之间;
氮化钽隔离层设置于所述的高介电常数介电层之上,其特征在于,所述的氮化钽隔离层具有一钽氮比介于1.2至3之间。
9.如权利要求8所述的金属栅极堆,其特征在于,所述的氮化钽隔离层具有多个层。
10.如权利要求9所述的金属栅极堆,其特征在于,所述的氮化钽隔离层的多个层各具有不同钽氮比。
CN201610683007.7A 2016-01-15 2016-08-18 制造氮化钽隔离层的方法与金属栅极堆 Active CN106981413B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662279437P 2016-01-15 2016-01-15
US62/279,437 2016-01-15
US15/074,991 2016-03-18
US15/074,991 US10147799B2 (en) 2016-01-15 2016-03-18 Method of fabricating tantalum nitride barrier layer and semiconductor device thereof

Publications (2)

Publication Number Publication Date
CN106981413A true CN106981413A (zh) 2017-07-25
CN106981413B CN106981413B (zh) 2019-11-22

Family

ID=59314897

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610683007.7A Active CN106981413B (zh) 2016-01-15 2016-08-18 制造氮化钽隔离层的方法与金属栅极堆

Country Status (3)

Country Link
US (2) US10147799B2 (zh)
CN (1) CN106981413B (zh)
TW (1) TWI618148B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10211064B2 (en) * 2016-06-08 2019-02-19 International Business Machines Corporation Multi time programmable memories using local implantation in high-K/ metal gate technologies

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010035241A1 (en) * 1998-05-27 2001-11-01 Koichi Ohto Method for forming metal nitride film
US6319567B1 (en) * 1999-02-24 2001-11-20 Air Products And Chemicals, Inc. Synthesis of tantalum nitride
US6342448B1 (en) * 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
US20040029377A1 (en) * 2002-08-08 2004-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Refractory metal nitride barrier layer with gradient nitrogen concentration
US20040248397A1 (en) * 2003-06-03 2004-12-09 Jung-Hun Seo Methods of forming a conductive structure in an integrated circuit device
US20050205926A1 (en) * 2004-03-16 2005-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. High-voltage MOS transistor and method for fabricating the same
US20050227441A1 (en) * 2004-03-31 2005-10-13 Tokyo Electron Limited Method of forming a tantalum-containing gate electrode structure
US20050277292A1 (en) * 2004-05-28 2005-12-15 Chao-Hsien Peng Method for fabricating low resistivity barrier for copper interconnect
US20080032041A1 (en) * 2004-07-20 2008-02-07 Christophe Marcadal Atomic layer deposition of tantalum-containing materials using the tantalum precursor taimata
CN100576474C (zh) * 2004-07-20 2009-12-30 应用材料股份有限公司 以钽前驱物taimata进行含钽材料的原子层沉积
US20120256275A1 (en) * 2011-04-06 2012-10-11 Hsin-Fu Huang Metal gate structure and manufacturing method thereof
CN102918636A (zh) * 2010-04-26 2013-02-06 应用材料公司 使用具有金属类前驱物的cvd与ald工艺的nmos金属栅极材料、制造方法以及设备
CN103337469A (zh) * 2013-06-15 2013-10-02 复旦大学 一种原位沉积阻挡层和籽晶层的系统和方法
CN103854982A (zh) * 2012-11-30 2014-06-11 中国科学院微电子研究所 半导体器件的制造方法
US20140264867A1 (en) * 2013-03-15 2014-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming hybrid diffusion barrier layer and semiconductor device thereof
US20150243652A1 (en) * 2014-02-25 2015-08-27 Globalfoundries Inc. Integration method for fabrication of metal gate based multiple threshold voltage devices and circuits

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7515264B2 (en) * 1999-06-15 2009-04-07 Tokyo Electron Limited Particle-measuring system and particle-measuring method
US6727560B1 (en) * 2003-02-10 2004-04-27 Advanced Micro Devices, Inc. Engineered metal gate electrode
US7235482B2 (en) * 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
US8357603B2 (en) * 2009-12-18 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate fill and method of making
US8766379B2 (en) * 2011-09-22 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer scavenging metal gate stack for ultra-thin interfacial dielectric layer
US8530294B2 (en) * 2011-10-21 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Stress modulation for metal gate semiconductor device
US8951855B2 (en) * 2012-04-24 2015-02-10 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US9570578B2 (en) * 2015-02-11 2017-02-14 United Microelectronics Corp. Gate and gate forming process
CN106409830B (zh) * 2015-07-27 2020-05-05 联华电子股份有限公司 具有金属栅极的半导体元件及其制作方法
CN106409889B (zh) * 2015-08-03 2021-06-22 联华电子股份有限公司 半导体元件

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010035241A1 (en) * 1998-05-27 2001-11-01 Koichi Ohto Method for forming metal nitride film
US6319567B1 (en) * 1999-02-24 2001-11-20 Air Products And Chemicals, Inc. Synthesis of tantalum nitride
US6342448B1 (en) * 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
US20040029377A1 (en) * 2002-08-08 2004-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Refractory metal nitride barrier layer with gradient nitrogen concentration
US20040248397A1 (en) * 2003-06-03 2004-12-09 Jung-Hun Seo Methods of forming a conductive structure in an integrated circuit device
US20050205926A1 (en) * 2004-03-16 2005-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. High-voltage MOS transistor and method for fabricating the same
US20050227441A1 (en) * 2004-03-31 2005-10-13 Tokyo Electron Limited Method of forming a tantalum-containing gate electrode structure
US20050277292A1 (en) * 2004-05-28 2005-12-15 Chao-Hsien Peng Method for fabricating low resistivity barrier for copper interconnect
US20080032041A1 (en) * 2004-07-20 2008-02-07 Christophe Marcadal Atomic layer deposition of tantalum-containing materials using the tantalum precursor taimata
CN100576474C (zh) * 2004-07-20 2009-12-30 应用材料股份有限公司 以钽前驱物taimata进行含钽材料的原子层沉积
CN102918636A (zh) * 2010-04-26 2013-02-06 应用材料公司 使用具有金属类前驱物的cvd与ald工艺的nmos金属栅极材料、制造方法以及设备
US20120256275A1 (en) * 2011-04-06 2012-10-11 Hsin-Fu Huang Metal gate structure and manufacturing method thereof
CN103854982A (zh) * 2012-11-30 2014-06-11 中国科学院微电子研究所 半导体器件的制造方法
US20140264867A1 (en) * 2013-03-15 2014-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming hybrid diffusion barrier layer and semiconductor device thereof
CN103337469A (zh) * 2013-06-15 2013-10-02 复旦大学 一种原位沉积阻挡层和籽晶层的系统和方法
US20150243652A1 (en) * 2014-02-25 2015-08-27 Globalfoundries Inc. Integration method for fabrication of metal gate based multiple threshold voltage devices and circuits

Also Published As

Publication number Publication date
US11043573B2 (en) 2021-06-22
US20170207316A1 (en) 2017-07-20
US20190067443A1 (en) 2019-02-28
TW201725626A (zh) 2017-07-16
TWI618148B (zh) 2018-03-11
US10147799B2 (en) 2018-12-04
CN106981413B (zh) 2019-11-22

Similar Documents

Publication Publication Date Title
KR101729241B1 (ko) 상이한 핀 높이를 갖는 핀펫을 형성하는 메커니즘을 포함하는 반도체 디바이스 형성방법
US10672760B2 (en) Semiconductor die
TWI408735B (zh) 半導體元件的製造方法
TWI521644B (zh) 半導體裝置及其製造方法
US20130168816A1 (en) Resistor and fabrication method thereof
US10879396B2 (en) Semiconductor device with source/drain structures
US9679898B2 (en) Semiconductor device having metal gate
CN109994537B (zh) 半导体元件及其制作方法
US20150228788A1 (en) Stress memorization process and semiconductor structure including contact etch stop layer
US10026656B2 (en) Metal gate features of semiconductor die
CN103854983A (zh) P型mosfet的制造方法
TWI777971B (zh) 雙極性電晶體及其製作方法
CN103855012A (zh) N型mosfet的制造方法
TW201714209A (zh) 製造半導體裝置之方法
TWI668731B (zh) 具有多個氮化層的半導體裝置結構及其形成方法
CN103839806B (zh) 半导体器件及其制造方法
CN106981413B (zh) 制造氮化钽隔离层的方法与金属栅极堆
CN109860114A (zh) 鳍式二极管结构及其方法
JP2012186349A (ja) 半導体装置及びその製造方法
US20240006247A1 (en) Method for manufacturing a semiconductor device
TW201310577A (zh) 電阻及其製作方法
US20230033790A1 (en) Device Structure With Reduced Leakage Current
CN115863264A (zh) 半导体器件的制作方法及半导体器件
CN115763380A (zh) 半导体器件的制作方法及半导体器件

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant