TWI521644B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI521644B
TWI521644B TW103144808A TW103144808A TWI521644B TW I521644 B TWI521644 B TW I521644B TW 103144808 A TW103144808 A TW 103144808A TW 103144808 A TW103144808 A TW 103144808A TW I521644 B TWI521644 B TW I521644B
Authority
TW
Taiwan
Prior art keywords
layer
gate
substrate
etch stop
dielectric layer
Prior art date
Application number
TW103144808A
Other languages
English (en)
Other versions
TW201533846A (zh
Inventor
江宗育
陳光鑫
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201533846A publication Critical patent/TW201533846A/zh
Application granted granted Critical
Publication of TWI521644B publication Critical patent/TWI521644B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

半導體裝置及其製造方法
本發明係有關一種半導體裝置及其製造方法。
半導體工業歷經快速的成長,製造積體電路的方法上則著重於縮小單一積體電路以增加在單一晶圓中的數量。一個積體電路裝置包括各種微電子組件,像是金屬氧半導體場效應電晶體(metal-oxide-semiconductor field effect transistors,MOSFETs),而金屬氧半導體場效應電晶體之結構包括數個組件,如閘極(gate electrode)、閘極界電層(gate dielectric layer)、間隙壁(spacers)、源極的擴散區(diffusion regions of source region)和汲極的擴散區(diffusion regions of drain region),通常層間界電(interlayer dielectric,ILD)層會沈積覆蓋在金屬氧半導體場效應電晶體上,而電路會通過層間界電層連結源極區和汲極區,當積體電路的尺寸縮小時,電極之間的距離和金屬氧半導體場效應電晶體之間的距離也隨之縮短,可能造成在製作積體電路過程中短路的問題。
在本發明各種實施例中,半導體裝置包括基板;閘極結構在基板之上,包含:閘介電層,在基板之上;閘極,在閘介電層之上;絕緣層,在閘極之上;以及一對間隙壁,在閘極之兩側;一源/汲區,在基板上與這對間隙壁相鄰;一蝕刻終止層,在這對間隙壁旁且上覆基板;一接觸插塞,其延伸進入源/汲區且通過間隙壁部分重疊閘極結構;一保護層,在上覆於基板的蝕刻終止層之上,且覆蓋沒有接觸插塞之間隙壁旁之蝕刻終止層;以及一層間介電層,在保護層之上。
在本發明各種實施例中,半導體裝置包括基板;閘極結構,在基板之上,包含:閘介電層,在基板之上;閘極,在閘介電層之上;絕緣層,在閘極之上;以及一對間隙壁,在閘極之兩側;源/汲區,在基板上與這對間隙壁相鄰;一蝕刻終止層,在這對間隙壁旁且上覆基板;一接觸插塞,其延伸進入源/汲區且通過這對間隙壁部分重疊閘極結構;一保護層,在上覆基板之蝕刻終止層之上;以及一層間介電層,在保護層之上。
在本發明各種實施例中,一種製作半導體裝置之方法包含下列步驟,在基板上形成具有虛擬閘極電極之虛擬閘極結構,和源/汲區與該虛擬閘極結構相鄰;沉積蝕刻終止層在基板之上;沉積保護層在蝕刻終止層之上;沉積層間介電層在蝕刻終止層之上;研磨和退火層間介電層;藉由取代部分虛擬閘極結構以形成金屬閘極結構;沉積絕緣層 在金屬閘極結構之上;形成接觸開口通過層間介電層到源/汲區和絕緣層;以及形成接觸插塞在接觸開口中。
100‧‧‧半導體裝置
110‧‧‧基板
120‧‧‧閘極結構
122‧‧‧閘介電層
124‧‧‧閘極
126‧‧‧絕緣層
128‧‧‧間隙壁
130‧‧‧源/汲區
140‧‧‧蝕刻終止層
150‧‧‧保護層
160‧‧‧層間介電層
170‧‧‧接觸插塞
200‧‧‧基板
210‧‧‧源/汲區
212‧‧‧虛擬閘極電極
214‧‧‧間隙壁
216‧‧‧閘極結構
220‧‧‧蝕刻終止層
230‧‧‧保護層
240‧‧‧層間介電層
250‧‧‧高介電常數介電層
252‧‧‧功函數層
254‧‧‧金屬閘極電極
260‧‧‧絕緣層
270‧‧‧接觸開口
280‧‧‧接觸插塞
當閱讀附圖時,可以從下列詳盡的描述更了解本案發明的觀點,應該注意的是,根據在工業中的標準慣例,各種特徵並不會依實際的尺寸來繪製,事實上,為了更清楚地進行討論,各種特徵的尺寸可能被任意的增大或縮小。
第1圖係根據本發明各種實施例之半導體裝置之截面圖; 第2A-2I圖係根據本發明各種實施例於不同製造階段之半導體裝置之截面圖;以及 第3圖係根據本發明各種實施例之半導體裝置之截面圖。
為了實施本案提供的不同的特徵,接下來的發明內容提供了許多不同的實施例或範例,為了簡化本案發明,之後將以特定的元件範例和設置被用來簡化本案發明的描述,不過僅僅這些少數的實施例並非用以限制本案發明,舉例來說,在描述中提到形成第一特徵於第二特徵之上時,可能包括第一特徵於第二特徵之間以直接接觸方式形成,也包含那些在在第一特徵和第二特徵之間形成額外特徵的實 施例,使得第一特徵和第二特徵之間可能沒有直接接觸,此外,本案發明可能會在各種範例中重複使用一些參考數字和/或字母,重複是為了簡化和清楚,並非規定在不同的實施例和/或構型之間存在關連性。
本文所使用的有關「包括」、「包含」、「具有」、「含有」、「涉及」及其他相似涵意的詞彙皆為開放式,舉例來說,意指包括但不限於此。
除非內容中有其他清楚的指稱,本文所使用的單數詞包括複數的指稱對象,因此舉例來說,除非內容中有其他清楚的指稱,否則一介電層便包括一些具有兩層或更多層的實施例,透過參考「一實施例」這樣特定的指稱,在至少其中之一的本案發明的實施例中,表示一種特定的特徵、結構或特色,因此在各處的「在一實施例」,這樣的片語透過特別的指稱出現時,並不需要參考相同的實施例,更進一步,在一或多實施例中,這些特別的特徵、結構、或特色可以依合適的情況相互組合,這點應該可以從接下來那些沒有依照實際比例繪製的圖示可得知,當然,這些圖示是用以說明內容。
當半導體的間距改變時,接觸插塞的結構也需要調整,根據本發明之各種實施例,一種設計接觸插塞的方法是直接在閘極結構旁邊形成接觸插塞以節省接觸插塞和其他閘極結構之間的空間,根據本發明之各種實施例,另一種設計方法是延伸閘極結構上一部分的接觸插塞,可以更容易和其它接觸插塞進行排列,當形成接觸插塞的延長部份 時,一絕緣層會沈積在閘極結構上去避免接觸造成閘極短路的問題,但是,根據本發明之各種實施例,當結合上述兩種方法改良接觸插塞時,會產生另一個接觸閘極短路的問題,形成直接在閘極旁的接觸插塞的開口,包括源/汲區的曝光部分和絕緣層的一部分,絕緣層可能在蝕刻過程時被過度蝕刻而造成接觸閘極短路,因此,本案將提供一種製作半導體裝置的方法。
參考第1圖,第1圖係根據本發明各種實施例之半導體裝置之截面圖,半導體裝置100包括基板110,閘極結構120在該基板110之上,源/汲區130在該基板110中與閘極結構120相鄰,蝕刻終止層140與閘極結構120相鄰且上覆基板110,接觸插塞170延伸進入源/汲區130且部分重疊閘極結構120,保護層150在上覆基板110之蝕刻終止層140之上,且覆蓋沒有接觸插塞170之閘極結構120旁的蝕刻終止層140,且層間介電層160上覆保護層150,閘極結構120包括閘介電層122在基板110之上,閘極124在閘介電層122之上,絕緣層126在閘極124之上,一對間隙壁128在閘極124之兩側。
在本發明之各種實施例中,基板110可能包括矽,源/汲區130可能摻雜硼、磷、或砷,閘介電層122可能包括氧化矽,閘極124可能包括多晶矽。本發明之各種實施例,閘極122可能包括高介電常數介電層,像是二氧化鉿(hafnium oxide,HfO2)、氧化矽鉿(hafnium silicon oxide,HfSiO)、氮氧化矽鉿(hafnium silicon oxynitride,HfSiON)、氧化鉭鉿(hafnium Tantalum oxide,HfTaO)、氧化鈦鉿(hafnium titanium oxide,HfTiO)、氧化鋯鉿(hafnium zirconium oxide,HfZrO),閘極124可能包括金屬,像是鋁、銅、鎢、或是合金,絕緣層126可能包括氮化矽(SiN),絕緣層126的厚度範圍為約5至約50奈米,間隙壁128可能包括氮化矽,蝕刻終止層140可能包括氮化矽(Si3N4),厚度範圍為約1至約15奈米,保護層150可能包括折射係數範圍為約1.4至約2的氧化物,像是氮氧化矽(SiON),保護層150的厚度範圍為約1至約5奈米,層間介電層160可能包括折射係數為約2.5至約4的物質,像是可流動氧化物,接觸插塞280可能包括鎢。
根據本發明之各種實施例,保護層150用來保護基板,當蝕刻終止層140的厚度和絕緣層126的厚度差不多的時候,當蝕刻通過蝕刻終止層140以形成接觸插塞170和源/汲區130的連接時,絕緣層126可能在蝕刻的過程中被穿過,因此,根據一些實施例,半導體裝置100可能因為蝕刻終止層140和絕緣層126的蝕刻低選擇性而和閘極接觸形成短路的問題,為了解決上述的問題,一種解決方法是減少蝕刻終止層140的厚度,但假如減少蝕刻終止層140的厚度,可能使在層間介電層160退火時所含的氧氣穿過蝕刻終止層140而到達源/汲區130,而造成更高的接觸電阻和可能使基板氧化。保護層150包括高品質的氧化物,氧化物的折射係數範圍為約1.4至約2,像是氮氧化矽(SiON),氧化層 會沈積在蝕刻終止層140上,防止氧氣穿透的問題,保護層150對於蝕刻終止層140和絕緣層126具有很高的蝕刻選擇性,因此絕緣層不會在蝕刻通過保護層150時被一同蝕刻,因此當蝕刻穿過蝕刻終止層140形成接觸插塞170連接源/汲區時,蝕刻終止層140的厚度可以在避免絕緣層126在蝕刻過程中被穿過的情況下減少。
參考第2A-2I圖,第2A-2I圖係根據本發明各種實施例於不同製造階段之半導體裝置之截面圖,參考圖2A,閘極結構216包括一虛擬閘極電極212和一對間隙壁214,閘極結構216在基板200之上形成,源/汲區210在基板200上與閘極結構216相鄰,基板200包括一半導體材料像是矽、鍺、碳,其他的半導體材料像是三-五族或二-六族的材料或其組合,在一些實施例中,基板200包括晶體矽基板(例如:晶圓),進一步的,基板200可能包括一應變式磊晶層(epitaxial layer),和/或絕緣層上矽晶(silicon-on-insulator,SOI)結構,根據本發明之各種實施例,根據需求,基板200可能含有各式掺雜的活性區域,像是p-wells和n-wells,源/汲區210可能被掺雜入n型或是p型的摻雜物。舉例來說,源/汲區可能掺雜p型摻雜物,比方說硼或BF2;n型摻雜物比方說磷或砷;和/或其組合。
閘極212可能包含多晶矽,間隙壁214可能包括一介電材料像是氮化矽、氧化矽、碳化矽、氮氧化矽、或是其他適合的材料和/或其組合。在一些實施例中,間隙壁214可包括多層結構,閘極結構216可能以合適的流程形成,在 一些實施例中,閘極結構216可能以沈積、光刻圖案化、蝕刻製程和/或其組合的方法形成,沈積方式可能包括化學氣相沈積法(Chemical Vapor Deposition,CVD)、物理氣相沈積法(Physical vapor deposition,PVD)、原子層沈積法(atomic layer deposition,ALD)、電漿化學氣相沈積(plasma enhanced CVD,PECVD)、高密度電漿化學氣相沈積法(high density plasma CVD,HDPCVD)、有機金屬化學氣相沈積法(metal organic CVD,MOCVD)、遠距離電漿化學氣相沈積法(remote plasma CVD,RPCVD)、磊晶成長法(epitaxial growth methods)(例如:選擇性磊晶成長(selective epitaxy growth))、濺鍍法(sputtering)、電鍍法(plating)、旋轉塗佈(spin-on coating)、或是其他適合的方法和/或其組合之方法。光刻圖案化過程可能包括光阻塗佈(例如:旋轉塗佈)、軟烤(soft baking)、光罩對準(mask aligning)、曝光(exposure)、曝光前烘烤(post-exposure baking)、顯影光阻(developing the photoresist)、水洗(rinsing)、乾燥(drying)(例如硬烤)、或是其他適合的方法和/或其組合之方法。蝕刻過程可能包括乾蝕刻、濕蝕刻、和/或其他蝕刻方法(例如:反應性離子蝕刻),蝕刻過程可能為純化學(電漿蝕刻)、純物理(離子蝕刻)和/或其組合。
參考第2B圖,根據本發明之各種實施例,蝕刻終止層220沉積在閘極結構216和基板200之上,蝕刻終止層220可能包括Si3N4,蝕刻終止層220可能由任何適合的 方法沉積,像是CVD,蝕刻終止層之厚度範圍可能為約1至約15奈米。
參考第2C圖,根據本發明之各種實施例,保護層230沉積在蝕刻終止層220之上,保護層230可能包括高品質氧化物,高品質意指氧化物的折射係數範圍為約1.4至約2,舉例來說,保護層230可能包括SiON、SiOCN和SiO2和/或其組合,藉由任何適合的方法,像是高密度電漿CVD(high density plasma CVD,HDP-CVD)或ALD沉積保護層230,保護層230可能防止氧氣擴散通過蝕刻終止層220進入基板200,因此蝕刻終止層220的厚度可能減少以避免接觸閘極的問題,保護層之厚度範圍可能為約1至約5奈米。
參考第2D圖,根據本發明之各種實施例,層間介電層240沉積在保護層230之上,因為半導體裝置間距按比例縮減,舉例來說,兩金屬閘極間的空間少於50奈米,層間介電層240的材料需要良好的間隙填充能力去填充空間,有良好的間隙填充能力的材料可能具有折射係數範圍為約2.5至約4的氧化物,在本發明之各種實施例中,層間介電層240之材料可能為可流動氧化物,層間介電層240可能由可流動式化學氣相或是其他適合的沉積方法沉積。
參考第2E圖,根據本發明之各種實施例,半導體裝置被平坦化使閘極216的頂部表面露出,在閘極結構216的頂部表面之上的一部分的層間介電層240、保護層230和蝕刻終止層220皆被化學機械研磨(chemical mechanical polishing,CMP)移除使閘極結構216露出,接著,半導體裝置以氧氣退火以增加層間介電層240的密度,保護層230包括高品質氧化物可能阻止氧氣在退火的過程擴散,因此可保護基板不被氧化。
參考第2F圖,根據本發明之各種實施例,移除虛擬閘極電極212以金屬閘極電極254取代,舉例來說,在各種實施例中,虛擬閘極電極212被在基板之上且在兩個間隙壁214之間的高介電常數介電層250取代,功函數層252在高介電常數介電層250之上,金屬閘極電極254在功函數層252之上以形成高介電常數金屬閘極(high-k metal gate,HKMG)結構,虛擬閘極電極212可被移除,以適合的過程形成在間隙壁214之間的凹槽,高介電常數介電層250可包括二氧化鉿、氧化矽鉿、氮氧化矽鉿、氧化鉭鉿、氧化鈦鉿、氧化鋯鉿、金屬氧化物、金屬氮化物、金屬矽化物、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽化物、金屬氮氧化物、鋁酸金屬(metal aluminate)、矽酸鋯(zirconium silicate)、鋁酸鋯(zirconium aluminate)、氧化矽(silicon oxide)、氮化矽(silicon nitride)、氮氧化矽(silicon oxynitride)、氧化鋯(zirconium oxide)、氧化鈦(titanium oxide)、氧化鋁(aluminum oxide)、二氧化鉿-氧化鋁(hafnium dioxide-alumina,HfO2-Al2O3)合金、其它適合的高介電常數介電材料和/或其組合,為了PMOS,功函數層252可能包括TiN、WN或W或其他具有合適的功函數的材料,為了NMOS,功函數層252可能包括 TiAl,TiAlN,or TaCN或其他具有合適的功函數的材料,金屬閘極電極254可能包括導電材料,像是鋁、銅、鎢、鈦、鉭、氮化鈦、氮化鉭、鎳矽化物、矽化鈷、TaC、TaSiN、TaCN、TiAl、TiAlN、其他適合的材料和/或其組合,在一些實施例中,高介電常數介電層250、功函數層252和金屬閘極電極254可能在閘極結構216包含多層,高介電常數介電層250、功函數層252和金屬閘極電極254可能由任何合適的過程形成任何合適的厚度。
參考第2G圖,根據本發明之各種實施例,一部分的金屬閘極電極254被移除,絕緣層260沉積在兩個間隙壁214之間的金屬閘極電極254之上,一部分的金屬閘極電極254、功函數層252、高介電常數介電層250被移除,在自對準(self-aligned manner)下,絕緣層260可能被沉積在兩個間隙壁214之間的金屬閘極電極254之上,絕緣層260可能保護金屬閘極電極254不接觸接觸插塞,在各種實施例中,絕緣層260可能包括氮化矽或其他適合的材料,絕緣層260的厚度可能比蝕刻終止層220厚,使得絕緣層260可能在形成接觸開口的時候不被穿過。在本發明之各種實施例中,絕緣層260之厚度範圍為約5至約50奈米,絕緣層260可能以任何適合的流程形成,像是本文曾提過的CVD。
參考第2H圖,根據本發明之各種實施例,接觸開口270在層間介電層240中形成,且接觸絕緣層260和源/汲區210,接觸開口270可能在閘極結構216旁形成,且在一部分的閘極結構216之上,延伸進入一部分的絕緣層260 和兩個間隙壁214,接觸開口270可能由兩個蝕刻操作形成,第一蝕刻操作可能蝕刻部分的層間介電層240和在間隙壁214旁的保護層230,在蝕刻終止層220和絕緣層260停止,第一蝕刻流程可能具有蝕刻終止層220和保護層230的蝕刻選擇性,和絕緣層260和層間介電層240的蝕刻選擇性,因為保護層230和層間介電層240皆包含氧化物,且蝕刻終止層220和絕緣層260皆含有氮化物,因此保護層230可能不會影響蝕刻過程,可能會與層間介電層240一起被蝕刻,可能也會保護基板不被氧化。因此,蝕刻終止層220的厚度可能減少且沒有氧氣從退火的過程中擴散,第二蝕刻流程可能穿過一部分的蝕刻終止層220接觸源/汲區210,同時,因為絕緣層260和蝕刻終止層220之間的蝕刻選擇性相較於氮化矽和氧化矽之間較低,一部分的絕緣層260可能也被第二蝕刻流程蝕刻,因為絕緣層260的厚度比蝕刻終止層220厚,為了不暴露金屬閘極電極254,絕緣層260可能沒有被過蝕刻,避免接觸閘極短路(contact-to-gate short)的問題。
參考第2I圖,根據本發明之各種實施例,接觸插塞280在接觸開口270中形成,接觸插塞280可能在層間介電層240中形成,層間介電層240接觸絕緣層260和延伸通過保護層230和蝕刻終止層220接觸源/汲區210,接觸插塞280可能通過間隙壁214部分重疊閘極結構216,且延伸進入絕緣層260,接觸插塞280直接形成在閘極結構216旁,可能節省半導體體裝置中的閘極結構之間的空間,一部 分的接觸插塞280重疊閘極結構216,可能延伸接觸插塞280的頂部表面,擴大更進一步操作的操作範圍。
參考第2A-2I圖,根據本發明之各種實施例,提供一種製作半導體裝置之方法,製作方法包含在基板上形成具有虛擬閘極電極之虛擬閘極結構,和源/汲區與虛擬閘極結構相鄰,沉積蝕刻終止層在基板之上,沉積保護層在蝕刻終止層之上。此外,沉積層間介電層在蝕刻終止層之上,之後,研磨和退火層間介電層,藉由取代部分虛擬閘極結構以形成金屬閘極結構,沉積絕緣層在金屬閘極結構之上,形成接觸開口通過層間介電層到源/汲區和絕緣層,以及形成接觸插塞在該接觸開口中。根據一些實施例,藉由取代部分虛擬閘極結構以形成金屬閘極結構之操作包括,移除虛擬閘極電極,沉積閘介電層在閘極結構中,沉積功函數層在閘介電層上,以及形成金屬電極在功函數層上。根據一些實施例,形成接觸開口通過層間介電層到源/汲區和絕緣層之操作包括,蝕刻部分層間介電層和間隙壁旁之保護層,以及蝕刻部分蝕刻終止層接觸源/汲區。
參考第3、2C和2I圖,第3圖係根據本發明各種實施例之半導體裝置之截面圖,第2I圖和第3圖之間的不同為第3圖中的保護層230僅有形成在上覆基板200之蝕刻終止層220之上,但是第2I圖的保護層230在上覆基板200之蝕刻終止層220之上,且覆蓋沒有接觸插塞280之間隙壁214旁之蝕刻終止層220,第3圖的半導體裝置與第2I圖的半導體裝置在幾乎相同的流程中形成,只有在第2C圖的操作 中,保護層230只有在上覆基板200之蝕刻終止層220之上形成,並沒有覆蓋閘極結構216,保護層230可能由以下過程形成:沈積、光刻圖案化和蝕刻過程、和/或其組合。在一些實施例中,保護層230可能由PVD或其他具有較差的側壁階梯覆蓋的沈積方法,遵循等向性蝕刻,像是濕蝕刻。
根據本發明各種實施例,本案提供半導體裝置的製作原理,被揭露的半導體裝置可能藉由形成部份覆蓋閘極結構但沒有接觸閘極短路問題的接觸插塞,擴大接觸插塞的頂部表面,保護層在上覆於基板的蝕刻終止層之上形成,可保護基板不被退火過程中的氧氣所氧化,因此蝕刻終止層的厚度可能被削減,以避免絕緣層在接觸開口形成操作中被過蝕刻而形成接觸閘極短路的問題。
在本發明各種實施例中,半導體裝置包括基板;閘極結構在基板之上,包含:閘介電層,在基板之上;閘極,在閘介電層之上;絕緣層,在閘極之上;以及一對間隙壁,在閘極之兩側;源/汲區,在基板上與這對間隙壁相鄰;蝕刻終止層,在這對間隙壁旁且上覆基板;接觸插塞,延伸進入源/汲區且通過間隙壁部分重疊閘極結構;保護層,在上覆基板之蝕刻終止層之上,且覆蓋沒有接觸插塞之間隙壁旁之蝕刻終止層;以及層間介電層,在保護層之上。
在本發明各種實施例中,半導體裝置包括基板;閘極結構,在基板之上,包含:閘介電層,在基板之上;閘極,在閘介電層之上;絕緣層,在閘極之上;以及這對間隙壁,在閘極之兩側;源/汲區,在基板上與這對間隙壁相 鄰;蝕刻終止層,在這對間隙壁旁且上覆基板;接觸插塞,延伸進入源/汲區且通過這對間隙壁部分重疊閘極結構;保護層,在上覆於基板之蝕刻終止層之上;以及層間介電層,在保護層之上。
在本發明各種實施例中,一種製作半導體裝置之方法包含下列步驟,在基板上形成具有虛擬閘極電極之虛擬閘極結構,和源/汲區與虛擬閘極結構相鄰;沉積蝕刻終止層在基板之上;沉積保護層在蝕刻終止層之上;沉積層間介電層在蝕刻終止層之上;研磨和退火層間介電層;藉由取代部分虛擬閘極結構以形成金屬閘極結構;沉積絕緣層在金屬閘極結構之上;形成接觸開口通過層間介電層到源/汲區和絕緣層;以及形成接觸插塞在接觸開口中。
前述已概要了幾個實施例的特徵,因此對於所屬領域的技術人員可以更了解本案發明的觀點,這些所屬領域的技術人員應該理解他們可以立刻利用本發明作為基礎,為了實行相同的目的和/或達到相同的前述介紹實施例的優點,設計或是修改其它過程或是結構,這些所屬領域的技術人員應該也了解這些相同的結構並未背離本案發明的精神和範圍,他們可以在未背離本案發明的精神和範圍進行各式改變、替換或是修改。
100‧‧‧半導體裝置
110‧‧‧基板
120‧‧‧閘極結構
122‧‧‧閘介電層
124‧‧‧閘極
126‧‧‧絕緣層
128‧‧‧間隙壁
130‧‧‧源/汲區
140‧‧‧蝕刻終止層
150‧‧‧保護層
160‧‧‧層間介電層
170‧‧‧接觸插塞

Claims (10)

  1. 一種半導體裝置,包含:一基板;一閘極結構在該基板之上,包含:一閘介電層,在該基板之上;一閘極,在該閘介電層之上;一絕緣層,在該閘極之上;以及一對間隙壁,在該閘極之兩側;一源/汲區,在該基板上與該對間隙壁相鄰;一蝕刻終止層,在該對間隙壁旁且上覆該基板;一接觸插塞,延伸進入該源/汲區且通過該間隙壁部分重疊該閘極結構;一保護層,在上覆該基板之該蝕刻終止層之上,且覆蓋沒有該接觸插塞之該間隙壁旁之該蝕刻終止層;以及一層間介電層,在該保護層之上。
  2. 如請求項1所述之半導體裝置,其中該保護層之材料的折射係數範圍為約1.4至約2。
  3. 如請求項2所述之半導體裝置,其中該保護層之材料係選自由SiON、SiOCN和SiO2所組成之群組。
  4. 如請求項1所述之半導體裝置,其中該保護層之厚度範圍為約1至約5奈米。
  5. 一種半導體裝置,包含:一基板;一閘極結構,在該基板之上,包含:一閘介電層,在該基板之上;一閘極,在該閘介電層之上;一絕緣層,在該閘極之上;以及一對間隙壁,在該閘極之兩側;一源/汲區,在該基板上與該對間隙壁相鄰;一蝕刻終止層,在該對間隙壁旁且上覆該基板;一接觸插塞,延伸進入該源/汲區且通過該對間隙壁部分重疊該閘極結構;一保護層,在上覆該基板之該蝕刻終止層之上;以及一層間介電層,在該保護層之上。
  6. 如請求項5所述之半導體裝置,其中該保護層之材料係選自由SiON、SiOCN和SiO2所組成之群組。
  7. 如請求項5所述之半導體裝置,其中該保護層之厚度範圍為約1至約5奈米。
  8. 一種製作半導體裝置之方法,包含:在一基板上形成具有一虛擬閘極電極之一虛擬閘極結構,和一源/汲區與該虛擬閘極結構相鄰;沉積一蝕刻終止層在該基板之上; 沉積一保護層在該蝕刻終止層之上;沉積一層間介電層在該蝕刻終止層之上;研磨和退火該層間介電層;藉由取代部分該虛擬閘極結構以形成一金屬閘極結構;沉積一絕緣層在該金屬閘極結構之上;形成一接觸開口通過該層間介電層到該源/汲區和該絕緣層;以及形成一接觸插塞在該接觸開口中。
  9. 如請求項8之製作半導體裝置之方法,其中該藉由取代部分該虛擬閘極電極結構以形成該金屬閘極結構包括:移除該虛擬閘極電極;沉積一閘介電層在該閘極結構中;沉積一功函數層在該閘介電層上;以及形成一金屬電極在該功函數層上。
  10. 如請求項8之製作半導體裝置之方法,其中該形成該接觸開口通過該層間介電層到該源/汲區和該絕緣層包括:蝕刻部分該層間介電層和該間隙壁旁之該保護層;以及蝕刻部分該蝕刻終止層接觸該源/汲區。
TW103144808A 2014-02-26 2014-12-22 半導體裝置及其製造方法 TWI521644B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/191,282 US9231067B2 (en) 2014-02-26 2014-02-26 Semiconductor device and fabricating method thereof

Publications (2)

Publication Number Publication Date
TW201533846A TW201533846A (zh) 2015-09-01
TWI521644B true TWI521644B (zh) 2016-02-11

Family

ID=53883021

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103144808A TWI521644B (zh) 2014-02-26 2014-12-22 半導體裝置及其製造方法

Country Status (4)

Country Link
US (4) US9231067B2 (zh)
KR (2) KR20150101373A (zh)
CN (1) CN104867967B (zh)
TW (1) TWI521644B (zh)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105225937B (zh) * 2014-06-30 2018-03-30 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US9761483B1 (en) * 2016-03-07 2017-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices, FinFET devices and methods of forming the same
CN107180760A (zh) * 2016-03-09 2017-09-19 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10164111B2 (en) * 2016-08-03 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US10276677B2 (en) * 2016-11-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10163643B2 (en) * 2016-12-14 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device using titanium-containing layer and device formed
KR102291538B1 (ko) 2017-11-10 2021-08-18 삼성전자주식회사 반도체 장치
US11183423B2 (en) * 2017-11-28 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Liner structure in interlayer dielectric structure for semiconductor devices
US10593599B2 (en) * 2018-03-07 2020-03-17 Globalfoundries Inc. Contact structures
TWI719430B (zh) * 2018-03-21 2021-02-21 台灣積體電路製造股份有限公司 積體晶片及其形成方法
CN110534433B (zh) * 2018-05-25 2023-09-22 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10685872B2 (en) * 2018-05-30 2020-06-16 International Business Machines Corporation Electrically isolated contacts in an active region of a semiconductor device
US10529818B1 (en) * 2018-07-26 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced flicker noise
US11245019B2 (en) * 2020-01-10 2022-02-08 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Semiconductor device and method for fabricating the same
US11594602B2 (en) * 2020-04-16 2023-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Butted contacts and methods of fabricating the same in semiconductor devices
US20220093757A1 (en) * 2020-09-22 2022-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Middle-of-line interconnect structure and manufacturing method
CN115148689A (zh) * 2021-03-30 2022-10-04 华邦电子股份有限公司 半导体装置及其形成方法
CN114725218B (zh) * 2022-06-07 2022-09-02 广州粤芯半导体技术有限公司 半导体器件单元及其制备方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6492282B1 (en) 1997-04-30 2002-12-10 Siemens Aktiengesellschaft Integrated circuits and manufacturing methods
KR100434495B1 (ko) 2001-11-10 2004-06-05 삼성전자주식회사 반도체 소자의 제조방법
KR100441998B1 (ko) 2002-07-06 2004-07-30 삼성전자주식회사 반도체 장치에서 셀프 얼라인 콘택홀 형성 방법
KR100541369B1 (ko) 2003-06-30 2006-01-11 주식회사 하이닉스반도체 반도체 소자의 배선 형성방법
US7138323B2 (en) * 2004-07-28 2006-11-21 Intel Corporation Planarizing a semiconductor structure to form replacement metal gates
JP4799229B2 (ja) 2006-03-14 2011-10-26 Okiセミコンダクタ株式会社 半導体記憶装置の製造方法
JP2007266526A (ja) 2006-03-30 2007-10-11 Fujitsu Ltd 半導体装置の製造方法
US7655986B2 (en) * 2006-12-21 2010-02-02 Intel Corporation Systems and methods for reducing contact to gate shorts
US20090108359A1 (en) * 2007-10-31 2009-04-30 Agere Systems Inc. A semiconductor device and method of manufacture therefor
KR20090077299A (ko) 2008-01-10 2009-07-15 주식회사 하이닉스반도체 반도체 소자의 콘택 플러그 형성 방법
US8436404B2 (en) * 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US8404533B2 (en) * 2010-08-23 2013-03-26 United Microelectronics Corp. Metal gate transistor and method for fabricating the same
US9324577B2 (en) * 2014-02-07 2016-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Modified self-aligned contact process and semiconductor device
US9524965B2 (en) * 2014-02-12 2016-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures with various widths and method for forming the same

Also Published As

Publication number Publication date
TW201533846A (zh) 2015-09-01
US9704970B2 (en) 2017-07-11
US20150243746A1 (en) 2015-08-27
KR20150101373A (ko) 2015-09-03
US20160087076A1 (en) 2016-03-24
US9231067B2 (en) 2016-01-05
KR101908854B1 (ko) 2018-12-19
US20170309726A1 (en) 2017-10-26
US9947766B2 (en) 2018-04-17
KR20170066286A (ko) 2017-06-14
US9425285B2 (en) 2016-08-23
US20160276462A1 (en) 2016-09-22
CN104867967A (zh) 2015-08-26
CN104867967B (zh) 2017-12-19

Similar Documents

Publication Publication Date Title
TWI521644B (zh) 半導體裝置及其製造方法
US10879127B2 (en) Gate structures for semiconductor devices
US11942548B2 (en) Multi-gate device and method of fabrication thereof
US10790280B2 (en) Multi-gate device and method of fabrication thereof
US11955554B2 (en) Method of fabricating a multi-gate device
US9899269B2 (en) Multi-gate device and method of fabrication thereof
US9431505B2 (en) Method of making a gate structure
US10727068B2 (en) Method for manufacturing semiconductor structure with mask structure
TWI484592B (zh) 具有金屬閘極電晶體與電阻結構之半導體元件及其製作方法之方法
CN220963349U (zh) 半导体装置
CN113270364A (zh) 半导体装置的制造方法