KR100466309B1 - 반도체 장치의 금속층 형성 방법 및 장치 - Google Patents

반도체 장치의 금속층 형성 방법 및 장치 Download PDF

Info

Publication number
KR100466309B1
KR100466309B1 KR10-2002-0028201A KR20020028201A KR100466309B1 KR 100466309 B1 KR100466309 B1 KR 100466309B1 KR 20020028201 A KR20020028201 A KR 20020028201A KR 100466309 B1 KR100466309 B1 KR 100466309B1
Authority
KR
South Korea
Prior art keywords
layer
metal layer
substrate
tantalum
metal
Prior art date
Application number
KR10-2002-0028201A
Other languages
English (en)
Other versions
KR20030090195A (ko
Inventor
최경인
최길현
김병희
강상범
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR10-2002-0028201A priority Critical patent/KR100466309B1/ko
Priority to US10/404,360 priority patent/US7067420B2/en
Publication of KR20030090195A publication Critical patent/KR20030090195A/ko
Priority to US10/857,253 priority patent/US7105444B2/en
Application granted granted Critical
Publication of KR100466309B1 publication Critical patent/KR100466309B1/ko
Priority to US11/425,970 priority patent/US7452811B2/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

탄탈륨 전구체를 사용하여 반도체 장치의 금속층을 형성하는 방법 및 장치가 개시되어 있다. 기판 상에 층간 절연층을 형성한다. 그리고, 상기 층간 절연층을 식각하여 상기 기판을 부분적으로 노출시키는 콘택홀을 형성한다. 이어서, 탄탈륨 전구체를 사용하여 콘택홀을 포함하는 층간 절연층 상에 탄탈륨 질화물을 포함하는 금속층을 형성한다. 탄탈륨 전구체는 탄탈륨 원소 및 상기 탄탈륨 원소와 화학적으로 결합하는 결합 원소들을 포함하고, 상기 결합 원소들의 일부는 상기 탄탈륨 원소와 리간드 결합하는 리간드 결합 원소들을 포함한다. 따라서, 탄탈륨 질화물을 포함하는 금속층을 용이하게 형성할 수 있다.

Description

반도체 장치의 금속층 형성 방법 및 장치{method and apparatus for forming a metal layer in a semiconductor device}
본 발명은 반도체 장치의 금속층 형성 방법 및 장치에 관한 것으로서, 보다 상세하게는 탄탈륨 전구체를 사용하여 반도체 장치의 금속층 형성하는 방법 및 장치에 관한 것이다.
컴퓨터와 같은 정보 매체의 급속한 보급에 따라 반도체 장치도 비약적으로 발전하고 있다. 그 기능 면에 있어서, 상기 반도체 장치는 고속으로 동작하는 동시에 대용량의 저장 능력을 가질 것이 요구된다. 이러한 요구에 부응하여, 상기 반도체 장치는 집적도, 신뢰도 및 응답 속도 등을 향상시키는 방향으로 제조 기술이 발전되고 있다.
상기 반도체 장치의 금속 배선으로 사용되는 금속층에 대한 요구도 엄격해지고 있다. 이에 따라, 기판 상에 형성되는 소자들의 밀도를 높이기 위하여 상기 금속층은 다층 구조로 형성한다. 상기 금속층은 주로 알루미늄 또는 텅스텐을 사용하여 형성하고 있다. 그러나, 상기 알루미늄 또는 텅스텐은 비저항이 각각 2.8 × 10E-8 Ωm 정도이고, 5.5 × 10E-8 Ωm 정도로 높기 때문에 상기 다층 구조에는 적합하지 않다. 따라서, 최근에는 상기 다층 구조의 금속층으로서 상기 비저항이 상대적으로 낮고, 일렉트로 마이그레이션(electromigration) 특성이 양호한 구리를 사용하고 있다.
상기 구리는 규소 및 산화 규소(SiO2)에 매우 높은 이동도를 나타낸다. 그러므로, 상기 구리는 상기 규소 및 산화 규소와 반응할 경우 쉽게 산화된다. 때문에, 장벽 금속층을 사용하여 상기 구리의 산화 등을 저지하는 것이 바람직하다.
상기 장벽 금속층으로는 티타늄 질화층(TiN layer)이 널리 사용되고 있다. 그렇지만, 상기 티타늄 질화층은 상기 구리의 장벽 금속층으로는 적합하지 않다. 상기 구리의 이동성을 저지하기 위해서는 상기 티타늄 질화층이 적어도 30nm 정도의 두께를 가져야 하는데, 상기 티타늄 질화층을 30nm 정도로 형성할 경우 저항이 높아진다. 이는, 상기 티타늄 질화층의 저항이 두께에 비례하기 때문이고, 반응성이 높기 때문이다.
따라서, 상기 구리의 장벽 금속층으로서 탄탈륨 질화층의 적용이 제안되어 있다. 상기 탄탈륨 질화층은 상대적으로 얇은 두께로도 상기 구리의 이동도를 저지할 수 있기 때문이다.
또한, 상기 탄탈륨 질화층은 스텝 커버리지, 갭필 능력 등이 양호하기 때문에, 상기 장벽 금속층 뿐만 아니라 금속 플러그, 금속 배선 등의 응용에도 적합하다.
상기 탄탈륨 질화층을 형성하는 방법에 대한 예들이 미합중국 특허 제6,204,204호(issued to Paranjpe et al.), 미합중국 특허 제6,153,519호(issued to Jain et al.) 및 미합중국 특허 제5,668,054호(issued to Sun et al.) 등에 개시되어 있다.
상기 미합중국 특허 제5,668,054호 등에 개시된 바에 의하면, 반응 물질로서 터부틸이미도-트리스-디에틸아미도 탄탈륨(terbutylimido-tris-diethylamido tantalum : (NEt2)3Ta=NBut: 이하 'TBTDET'라 함)을 사용하는 화학 기상 증착을 수행하여 상기 탄탈륨 질화층을 적층한다. 상기 방법은 600℃ 이상의 온도에서 공정을 수행한다. 이는, 500℃ 정도의 온도에서 상기 방법을 수행할 경우 상기 탄탈륨 질화층이 10,000 μΩ·cm 이상의 비저항 값을 갖기 때문이다. 그리고, 상기 방법은 상대적으로 높은 온도에서 공정을 수행하기 때문에 반도체 장치에 불리한 열적 손상을 끼친다. 또한, 상기 화학 기상 증착 방법은 우수한 스텝 커버리지를 갖는 탄탈륨 질화층의 구현에 적합하지 않다.
최근에는, 원자층 적층(atomic layer deposition : ALD) 방법이 상기 화학 기상 증착을 대체하는 기술로서 제안되고 있다. 상기 원자층 적층 방법에 의하면, 상기 통상의 박막 형성 방법보다 낮은 온도에서 수행할 수 있고, 우수한 스텝 커버리지의 구현이 가능하다.
상기 원자층 적층을 이용한 상기 탄탈륨 질화층을 적층하는 방법에 대한 일 예는 미합중국 특허 제6,203,613호(issued to Gates) 및 문헌 (Kang et al.)(Electrochemical and Solid-State Letters, 4(4) C17-C19 (2001))에 개시되어 있다.
상기 강 등의 방법에 의하면, 상기 TBTDET를 사용하는 원자층 적층 방법에 의해 400μΩ·cm 정도의 비저항 값을 갖는 상기 탄탈륨 질화층을 형성할 수 있다.이때, 상기 적층은 260℃ 정도의 온도에서 수행된다. 이와 같이, 상기 강 등의 방법에 의하면, 상대적으로 낮은 온도에서, 낮은 비저항을 갖는 상기 탄탈륨 질화층을 용이하게 형성할 수 있다.
그러나, 상기 강 등의 방법에서는, 플라즈마 증대 방법으로 형성하는 하이드로겐 라티컬을 환원제(reducing agent)로 사용한다. 따라서, 상기 적층을 수행할 때 챔버 내에 파워 소스가 인가된다. 때문에, 상기 강의 방법은 상기 파워 소스의 제어 등과 같은 공정 변수를 갖는다. 따라서, 상기 강 등의 방법은 상대적으로 낮은 온도에서 낮은 비저항을 갖는 박막을 형성할 수 있음에도 불구하고, 상기 파워 소스의 제어와 같은 공정 변수가 부가된다. 또한, 상기 강 등의 방법은 상기 파워 소스가 기판이 놓여지는 부위에 직접 가해지기 때문에 상기 기판에 손상이 가해질 수도 있다.
따라서, 낮은 온도에서 수행할 수 있고, 우수한 스텝 커버리지의 구현이 용이하고, 간단한 공정 변수를 갖는 탄탈륨 질화층을 형성하는 새로운 방법이 요구되고 있다.
본 발명의 제1목적은, 금속층으로서 탄탈륨 질화층을 채택하기 위한 방법을 제공하는 데 있다.
본 발명의 제2목적은, 금속층으로서 탄탈륨 질화층 및 구리층을 채택하기 위한 방법을 제공하는 데 있다.
본 발명의 제3목적은, 공정 챔버들을 선택적으로 사용하여 장벽 금속층 및구리층을 형성하기 위한 장치를 제공하는 데 있다.
도 1a 내지 도 1c는 본 발명에 따른 반도체 장치의 금속층 형성 방법을 설명하기 위한 단면도들이다.
도 2a 내지 도 2d는 원자층 적층에 의하여 도 1c의 금속층을 형성하는 방법을 설명하기 위한 단면도들이다.
도 3은 화학 기상 증착에 의하여 도 1c의 금속층을 형성하기 위한 장치를 개략적으로 나타내는 구성도이다.
도 4a 내지 도 4f는 본 발명의 실시예 1에 따른 금속층 형성 방법을 설명하기 위한 단면도들이다.
도 5a 및 도 5b는 본 발명의 실시예 2에 따른 금속층 형성 방법을 설명하기 위한 단면도들이다.
도 6a 내지 도 6c는 본 발명의 실시예 3에 따른 금속층 형성 방법을 설명하기 위한 단면도들이다.
도 7은 본 발명의 일 실시예에 따른 금속층 형성 장치를 설명하기 위한 개략적인 구성도이다.
도 8은 본 발명의 방법에 따라 형성한 금속층들에 대한 콘택 저항을 나타내는 그래프이다.
상기 제1목적을 달성하기 위한 본 발명은,
기판 상에 층간 절연층을 형성하는 단계;
상기 층간 절연층을 식각함으로서 상기 기판을 부분적으로 노출시키는 콘택홀을 형성하는 단계; 및
탄탈륨 원소 및 상기 탄탈륨 원소와 화학적으로 결합하는 결합 원소들을 포함하고, 상기 결합 원소들의 일부는 상기 탄탈륨 원소와 리간드 결합하는 리간드 결합 원소들을 포함하는 탄탈륨 전구체를 사용하여 상기 콘택홀을 포함하는 층간 절연층 상에 탄탈륨 질화물을 포함하는 금속층을 형성하는 단계를 포함한다.
상기 방법에 따르면, 탄탈륨 질화물을 포함하는 금속층을 용이하게 형성할 수 있다. 이에 따라, 상기 금속층을 반도체 장치의 장벽 금속층, 금속 플러그, 금속 배선 등에 적극적으로 응용할 수 있다.
상기 제2목적을 달성하기 위한 본 발명은,
플라즈마를 사용하여 기판을 세정하는 단계;
상기 기판 상에 제1금속층으로서 스퍼터링에 의한 Ta층, TaN층, 화학기상증착에 의한 Ta층, TaN층 또는 원자층 적층에 의한 Ta, TaN층을 적층하는 단계;
플라즈마를 사용하여 상기 제1금속층을 처리하는 단계; 및
상기 제1금속층 상에 제2금속층으로서 스퍼터링에 의한 Cu층, 화학기상증착에 의한 Cu층 또는 원자층 적층에 의한 Cu층을 적층하는 단계를 포함한다.
상기 방법에 따르면, 탄탈륨 질화물 뿐만 아니라 구리를 금속층을 용이하게 형성할 수 있다.
상기 제3목적을 달성하기 위한 본 발명은,
기판을 로딩 및 언로딩시키기 위한 로드락 챔버;
상기 로드락 챔버와 연결되고, 상기 기판을 이송하기 위한 이송 챔버;
상기 이송 챔버로부터 상기 기판을 제공받고, 플라즈마를 사용하여 상기 기판을 세정하기 위한 세정 챔버;
상기 이송 챔버로부터 상기 기판을 제공받고, 스퍼터링에 의해 기판 상에 장벽 금속층을 적층하기 위한 제1공정 챔버;
상기 이송 챔버로부터 상기 기판을 제공받고, 화학기상증착 또는 원자층 적층에 의해 상기 기판 상에 장벽 금속층을 적층하기 위한 제2공정 챔버;
상기 이송 챔버로부터 상기 기판을 제공받고, 플라즈마를 사용하여 상기 장벽 금속층을 처리하기 위한 처리 챔버;
상기 이송 챔버로부터 상기 기판을 제공받고, 스퍼터링에 의해 장벽 금속층 상에 Cu층을 적층하기 위한 제3공정 챔버;
상기 이송 챔버로부터 상기 기판을 제공받고, 화학기상증착 또는 원자층 적층에 의해 상기 장벽 금속층 상에 Cu층을 적층하기 위한 제4공정 챔버;
상기 이송 챔버로부터 상기 기판을 제공받고, 상기 기판을 쿨링시키는 쿨링 챔버; 및
상기 기판의 이송을 제어하여 설정된 공정에 따라 선택되는 상기 챔버들로상기 기판을 이송시키기 위한 제어부를 포함한다.
상기 방법에 의하면, 상기 챔버들을 선택적으로 사용하여 기판 상에 원하는 금속층을 용이하게 형성할 수 있다.
이하, 본 발명의 탄탈륨 질화물을 포함하는 금속층의 형성 방법에 대하여 설명하기로 한다.
도 1a를 참조하면, 기판(1) 상에 층간 절연층(3)을 형성한다. 층간 절연층(3)은 반도체 장치의 제조에 사용되는 산화물을 포함하는 산화층이다.
도 1b를 참조하면, 통상의 사진 식각 공정을 수행하여 층간 절연층(3)을 식각함으로서 기판을 부분적으로 노출시키는 콘택홀(5)을 형성한다.
도 1c를 참조하면, 콘택홀(5)을 포함하는 층간 절연층(3a) 상에 금속층(7)을 형성한다. 구체적으로, 탄탈륨 전구체를 사용하여 콘택홀(5) 측벽, 저부 및 층간 절연층(3a) 상에 연속적으로 탄탈륨 질화물을 포함하는 금속층(7)을 형성한다.
여기서, 상기 탄탈륨 전구체는 탄탈륨 원소 및 상기 탄탈륨 원소와 화학적으로 결합하는 결합 원소들을 포함한다. 그리고, 상기 결합 원소들은 상기 탄탈륨 원소와 리간드 결합하는 리간드 결합 원소들을 일부 포함한다.
상기 탄탈륨 전구체의 예로서는 탄탈륨 아민 유도체 또는 탄탈륨 헬라이드 전구체를 들 수 있다. 구체적으로, 상기 탄탈륨 아민 유도체의 예로서는 Ta(NR1)(NR2R3)3(여기서 R1, R2, R3는 H 또는 C1-C6알칼기로서 서로 동일하거나 상이하다), Ta(NR1R2)5(여기서 R1, R2는 H 또는 C1-C6알칼기로서 서로 동일하거나 상이하다), Ta(NR1R2)x(NR3R4)5-x(여기서 R1, R2, R3, R4는 H 또는 C1-C6알칼기로서 서로 동일하거나 상이하다) 또는 터부틸이미도-트리스-디에틸아미도 탄탈륨(terbutylimido-tris-diethylamido tantalum : TBTDET : (NEt2)3Ta=NBut)을 들 수 있다. 그리고, 상기 탄탈륨 헬라이드 유도체의 예로서는 TaF5, TaCl5, TaBr5또는 TaI5를 들 수 있다.
그리고, 상기 탄탈륨 전구체를 사용하여 금속층(7)을 형성하기 위한 공정 온도가 650℃를 초과할 경우, 상기 탄탈륨 전구체는 완전히 분해되어 파티클을 발생시키기 때문에 금속층(7)이 원활하게 적층되지 않는다. 상기 공정 온도가 100℃ 미만일 경우, 상기 탄탈륨 전구체가 분해되지 않기 때문에 금속층(7)이 원활하게 적층되지 않는다. 따라서, 금속층(7)은 100 내지 650℃의 온도에서 형성하는 것이 바람직하다. 그러므로, 상기 공정 온도에서 금속층(7)을 형성할 때, 공정 압력은 0.3 내지 30 Torr인 것이 바람직하다.
그리고, 금속층(7)을 형성할 때, 상기 탄탈륨 전구체는 버블러(bubbler) 또는 엘디에스(LDS : liquid delivery system)를 사용하여 기체 상태로 도입되는 것이 바람직하다.
상기 탄탈륨 전구체를 사용하여 금속층(7)을 형성하는 방법의 예로서는 원자층 적층 방법 및 화학 기상 증착 방법을 들 수 있다.
상기 원자층 적층에 의한 금속층(7)을 형성하는 방법은 다음과 같다.
먼저, 금속층(7)을 형성하기 위한 기판(1)(콘택홀을 포함하는 층간 절연층을 갖는다)을 반응 챔버(100) 내에 위치시킨다. 그리고, 반응 챔버(100) 내부의 온도 및 압력을 전술한 범위로 조정한다.
이어서, 도 2a를 참조하면, 반응 챔버(100) 내에 탄탈륨 전구체(12)를 도입시켜 탄탈륨 전구체(12)의 일부를 기판(1) 상에 화학적으로 흡착시킨다.
도 2b를 참조하면, 기판(1) 상에 화학적으로 흡착하지 않은 탄탈륨 전구체(12a)를 기판(1)으로부터 제거시킨다. 구체적으로, 반응 챔버(100) 내에 불활성 가스를 도입시켜 화학적으로 흡착하지 않은 탄탈륨 전구체(12a)를 기판(1)으로부터 제거시킨다. 상기 불활성 가스의 예로서는 N2가스 또는 Ar 가스를 들 수 있다.
도 2c를 참조하면, 기판(1) 상에 화학적으로 흡착되어 있는 탄탈륨 전구체(12) 중에서 탄탈륨 원소와 리간드 결합하고 있는 리간드 결합 원소(13)들을 탄탈륨 전구체(12)로부터 제거시킨다. 구체적으로, 반응 챔버(100) 내에 제거 가스를 도입시켜 리간드 결합 원소(13)들을 탄탈륨 전구체(12)로부터 제거시킨다. 상기 제거 가스의 예로서는 H2, NH3, N2, SiH4또는 Si2H6를 들 수 있다. 이들은 단독으로 사용하는 것이 바람직하지만, 2 이상을 혼합하여 사용할 수도 있다. 또한, 상기 제거 가스는 리모트 플라즈마 방식으로 활성화시켜 사용할 수도 있다.
그리고, 상기 제거에 의해 상기 기판 주변에 잔류하는 잔류 물질들을 상기 기판 주변으로부터 제거시킨다. 구체적으로, 상기 반응 챔버(100) 내에 퍼지 가스를 제공하여 상기 반응 챔버(100) 내에 잔류하는 제거 가스를 제거시킨다.
이에 따라, 도 2d를 참조하면, 기판(1) 상에 탄탈륨 질화물을 포함하는 원자층(14)이 적층된다. 원자층(14)의 적층을 반복적으로 수행함으로서 기판(1) 상에 탄탈륨 질화물을 포함하는 금속층(7)을 용이하게 형성할 수 있다. 금속층(7)의 두께는 원자층(14)을 적층하는 회수에 의해 결정된다.
여기서, 상기 불활성 가스를 사용하여 화학적으로 흡착하지 않은 탄탈륨 전구체를 기판(1)으로부터 제거시키는 공정과, 상기 제거 가스를 사용하여 리간드 결합 원소들을 탄탈륨 전구체로부터 제거시키는 공정을 반복적으로 수행할 수도 있다. 이는, 상기 탄탈륨 질화물을 포함하는 금속층(7) 내에 불순물이 잔류하는 것을 방지하기 위함이다.
또한, 금속층(7)을 형성한 다음, 금속층(7)을 포스트 처리할 수도 있다. 상기 포스트 처리에서는 고주파 플라즈마를 사용한다. 그리고, 상기 고주파(RF : radio frequency) 플라즈마는 리모트(remote) 플라즈마 방식 또는 다이렉트(direct) 플라즈마 방식으로 활성화시키는데, H2, N2, NH3, SiH4또는 Si2H6를 사용한다. 이들은 단독으로 사용하는 것이 바람직하지만, 2 이상을 혼합하여 사용할 수도 있다. 그리고, 상기 포스트 처리는 금속층(7) 내에 불순물이 잔류하는 것을 방지하기 위하여 수행한다.
여기서, 상기 리모트 플라즈마 방식은 고주파(RF : radio frequency) 플라즈마를 반응 챔버 외부에서 생성하여 상기 반응 챔버로 제공하는 방식이고, 상기 다이렉트 플라즈마 방식은 고주파 플라즈마를 상기 반응 챔버 내부에서 생성하는 방식이다.
그리고, 상기 다이렉트 방식화학 기상 증착에 의한 금속층(7)을 형성하는 방법은 다음과 같다.
도 3을 참조하면, 금속층(7)을 형성하기 위한 기판(1)(콘택홀을 포함하는 층간 절연층을 갖는다)을 반응 챔버(30) 내에 위치시킨다. 그리고, 반응 챔버(30) 내부의 온도 및 압력을 전술한 범위로 조정한다.
이어서, 반응 챔버(30) 내부에 탄탈륨 아민 유도체를 제공한다. 또한, 반응 챔버(30) 내부에 상기 탄탈륨 아민 유도체와 반응시키기 위한 반응 가스를 제공한다. 상기 반응 가스의 예들로서는 수소 가스, 질소 가스 또는 질소 함유 가스를 들 수 있다. 이들은 단독 또는 혼합하여 사용할 수 있다. 또한, 상기 반응 가스는 활성화시켜 사용할 수도 있다. 그리고, 상기 질소 함유 가스의 예로서는 NH3또는 N2H2가스를 들 수 있다.
이에 따라, 상기 탄탈륨 아민 유도체와 상기 반응 가스를 플라즈마를 사용하여 활성화시켜 기판 상에 반응시킴으로서 탄탈륨 질화물을 포함하는 금속층(7)을 형성할 수 있다.
여기서, 상기 플라즈마는 상기 리모트 플라즈마 방식 또는 상기 다이렉트 플라즈마 방식에 의해 생성시킬 수 있다. 그리고, 도 3의 경우에는 상기 다이렉트 플라즈마 방식을 나타낸다.
계속해서, 금속층(7)을 형성한 다음, 금속층(7)을 포스트 처리할 수도 있다. 상기 포스트 처리에서는 고주파 플라즈마를 사용한다. 그리고, 상기 고주파(RF : radio frequency) 플라즈마는 리모트(remote) 플라즈마 방식 또는 다이렉트(direct) 플라즈마 방식으로 활성화시키는데, H2, N2, NH3, SiH4또는 Si2H6를 사용한다. 이들은 단독으로 사용하는 것이 바람직하지만, 2 이상을 혼합하여 사용할 수도 있다. 그리고, 상기 포스트 처리는 금속층(7) 내에 불순물이 잔류하는 것을 방지하기 위하여 수행한다.
이와 같이, 본 발명에서는 탄탈륨 전구체를 이용하는 원자층 적층 또는 화학 기상 증착을 통하여 탄탈륨 질화물을 포함하는 금속층(7)을 형성할 수 있다. 특히, 본 발명은 상대적으로 낮은 온도에서 금속층(7)을 용이하게 형성할 수 있다. 그리고, 상기 방법은 리모트 플라즈마 방식에 의해 활성화시킨 제거 가스들을 사용하기 때문에 플라즈마 형성으로 인한 공정 변수를 배제할 수 있다. 따라서, 낮은 온도에서 수행할 수 있고, 우수한 스텝 커버리지의 구현이 용이하고, 간단한 공정 변수를 갖는 금속층(7)을 구현할 수 있다.
이하, 본 발명의 탄탈륨 질화물을 포함하는 금속층(7)이 형성되는 반응 메커니즘을 설명하기로 한다.
상기 불활성 가스를 사용하여 탄탈륨 전구체를 제거시키는 반응 메커니즘은 상기 불활성 가스에 의한 정화 작용이다. 그리고, 상기 제거 가스를 사용하여 리간드 결합 원소들을 제거시키는 반응 메커니즘은 상기 제거 가스에 의한 제거 작용이다. 구체적으로, 상기 제거 가스는 상기 리간드 결합 원소들과 반응한다. 이때, 반응력이 상기 탄탈륨 전구체에 리간드 결합 원소들이 결합되어 있는 결합력보다 큰 에너지를 갖는다. 따라서, 상기 리간드 결합 원소들을 상기 탄탈륨 전구체로부터 제거시킬 수 있다.
구체적으로, 상기 탄탈륨 전구체로서 터부틸이미도-트리스-디에틸아미도 탄탈륨(이하 "(NEt2)3Ta=NBut"라 한다)를 사용할 경우, 상기 반응 메커니즘은 다음과 같다.
먼저, (NEt2)3Ta=NBut가 기판 상에 화학적으로 흡착된다. 그리고, 상기 불활성 가스를 사용한 정화 작용에 의해 화학적으로 흡착하지 않은 (NEt2)3Ta=NBut를 제거시킨다. 이어서, 상기 제거 가스를 사용한 결합력 차이에 의한 교환 작용에 의해 리간드 결합 원소들을 교환시킨다. 이때, 상기 (NEt2)3Ta=NBut에서 Ta=N은 이중 결합을 갖기 때문에 상기 제거 가스에 별다른 영향을 받지 않는다. 따라서, 상기 리간드 결합 원소들만 상기 결합력 차이에 의하여 교환되고, 기판 상에는 상기 Ta=N을 함유하는 원자층이 적층된다.
본 발명의 반응 메커니즘과는 다르지만, 예를 들면, 상기 문헌 (Kang et al.)(Electrochemical and Solid-State Letters, 4(4) C17-C19 (2001))에 개시된 반응 메커니즘은 하이드로겐 레디칼을 환원제로 사용하여 상기 리간드 결합 원소를치환시키는 치환 작용이다. 따라서, 상기 문헌의 반응 메커니즘은 본 발명의 제거 작용과는 다른 반응 메커니즘을 갖는다. 또한, 상기 문헌에 개시된 방법은 상기 탄탈륨 질화물을 적층할 때 반응 챔버 내에 파워 소스를 인가한다. 따라서, 상기 문헌에 개시된 적층 방법은 본 발명의 적층 방법과는 전혀 다르다.
또한, 본 발명에서 화학 기상 증착에 의한 금속층의 형성 방법은 탄탈륨 아민 유도체를 사용한다. 따라서, 탄탈륨 헬라이드 등을 사용하는 종래의 방법과는 현저하게 다르다.
이하, 본 발명의 금속층 형성 방법에 대한 구체적인 실시예들에 대해서 설명하기로 한다.
실시예 1
도 4a를 참조하면, 기판(40) 상에 제1금속층(42)을 형성한다. 제1금속층(42)의 예로서는 폴리 실리콘층, Ti층, Ta층, Al층, Cu층, W층, 희금속을 포함하는 희금속층, 금속 질화물을 포함하는 금속 질화층을 들 수 있다. 이들은 단독으로 형성하는 것이 바람직하지만, 2 이상을 혼합하여 형성할 수도 있다. 상기 희금속의 예로서는 Ru, Pt, Ir을 들 수 있고, 상기 금속 질화물의 예로서는 타타늄 질화물, 탄탈륨 질화물, 텅스텐 질화물을 들 수 있다.
상기 제1금속층을 형성하기 이전에 플라즈마를 사용하여 상기 기판(40)을 세정할 수도 있다.
도 4b를 참조하면, 제1금속층(42) 상에 층간 절연층(44)을 형성한다. 층간절연층(44)의 예로서는 산화물을 포함하는 산화층을 들 수 있다.
도 4c를 참조하면, 통상의 사진 식각 공정을 층간 절연층(44)을 식각함으로서 제1금속층(42)을 부분적으로 노출시키는 콘택홀(45)을 형성한다. 그리고, 콘택홀(42)을 포함하는 층간 절연층(44)을 플라즈마를 사용하여 세정 등과 같은 처리를 더 수행할 수도 있다.
도 4d를 참조하면, 콘택홀(45) 측벽, 저부 및 층간 절연층(44a) 상에 연속적으로 제2금속층(46)을 형성시킨다. 제2금속층(46)은 전술한 본 발명의 원자층 적층 또는 화학 기상 증착에 의해 형성된다. 그러므로, 제2금속층(46)은 탄탈륨 질화물을 포함한다.
도 4e를 참조하면, 전면 식각 또는 연마 등을 통하여 제2금속층(46)을 제거하여 층간 절연층(44a)의 표면을 노출시킨다. 따라서, 콘택홀(45) 내에는 금속 플러그(46a)가 형성된다. 그리고, 상기 금속 플러그(46a)를 플라즈마를 사용하여 세정 등과 같은 처리를 더 수행할 수도 있다.
도 4f를 참조하면, 층간 절연층(44a) 및 금속 플러그(46a) 상에 연속적으로 제3금속층(48)을 형성한다. 제3금속층(48)의 예로서는 폴리 실리콘층, Ti층, Ta층, Al층, Cu층, W층, 희금속을 포함하는 희금속층, 금속 질화물을 포함하는 금속 질화층을 들 수 있다. 이들은 단독으로 형성하는 것이 바람직하지만, 2 이상을 혼합하여 형성할 수도 있다. 상기 희금속의 예로서는 Ru, Pt, Ir을 들 수 있고, 상기 금속 질화물의 예로서는 타타늄 질화물, 탄탈륨 질화물, 텅스텐 질화물을 들 수 있다. 특히, 제3금속층(48)은 본 발명의 원자층 적층 또는 화학 기상 증착에 의해 형성할 수도 있다.
실시예 1에 의하면, 제1금속층(42), 탄탈륨 질화물을 포함하는 금속 플러그(46a) 및 제3금속층(48)을 포함하는 금속 배선을 용이하게 형성할 수 있다.
실시예 2
도 5a를 참조하면, 실시예 1과 동일한 방법을 통하여 기판(50) 상에 제1금속층(52), 콘택홀(55)을 갖는 층간 절연층(54a)을 형성한다.
도 5b를 참조하면, 콘택홀(55) 측벽, 저부 및 층간 절연층(54a) 상에 연속적으로 제2금속층을 형성시킨다. 제2금속층의 예로서는 폴리 실리콘층, Ti층, Ta층, Al층, Cu층, W층, 희금속을 포함하는 희금속층, 금속 질화물을 포함하는 금속 질화층을 들 수 있다. 이들은 단독으로 형성하는 것이 바람직하지만, 2 이상을 혼합하여 형성할 수도 있다. 상기 희금속의 예로서는 Ru, Pt, Ir을 들 수 있고, 상기 금속 질화물의 예로서는 타타늄 질화물, 탄탈륨 질화물, 텅스텐 질화물을 들 수 있다. 특히, 제2금속층은 본 발명의 원자층 적층 또는 화학 기상 증착에 의해 형성할 수도 있다. 그리고, 제2금속층을 형성하기 이전에 플라즈마를 사용하여 상기 기판(50)을 세정할 수도 있다.
이어서, 전면 식각 또는 연마 등을 통하여 제2금속층을 제거하여 층간 절연층(54a)의 표면을 노출시킨다. 따라서, 콘택홀(55) 내에는 금속 플러그(56a)가 형성된다.
계속해서, 층간 절연층(54a) 및 금속 플러그(56a) 상에 연속적으로 제3금속층(58)을 형성한다. 제3금속층(58)은 전술한 본 발명의 원자층 적층 또는 화학 기상 증착에 의해 형성된다. 그러므로, 제3금속층(58)은 탄탈륨 질화물을 포함한다.
그리고, 상기 제3금속층(58)을 플라즈마를 사용하여 세정 등과 같은 처리를 더 수행할 수도 있다.
실시예 2에 의하면, 제1금속층(52), 금속 플러그(56a) 및 탄탈륨 질화물을 포함하는 제3금속층(58)을 포함하는 금속 배선을 용이하게 형성할 수 있다.
실시예 3
도 6a를 참조하면, 실시예 1과 동일한 방법을 통하여 기판(60) 상에 제1금속층(62), 콘택홀(65)을 갖는 층간 절연층(64a)을 형성한다.
도 6b를 참조하면, 콘택홀(65) 측벽, 저부 및 층간 절연층(64a) 상의 표면 상에 연속적으로 장벽 금속층(66)을 형성한다. 장벽 금속층(66)은 전술한 본 발명의 원자층 적층 또는 화학 기상 증착에 의해 형성된다. 그러므로, 장벽 금속층(66)은 탄탈륨 질화물을 포함한다.
상기 장벽 금속층(66)을 형성하기 이전에 플라즈마를 사용하여 상기 기판(40)을 세정할 수도 있다.
도 6c를 참조하면, 장벽 금속층(66) 상에 제2금속층(68)을 형성한다. 제2금속층(68)의 예로서는 폴리 실리콘층, Ti층, Ta층, Al층, Cu층, W층, 희금속을 포함하는 희금속층, 금속 질화물을 포함하는 금속 질화층을 들 수 있다. 이들은 단독으로 형성하는 것이 바람직하지만, 2 이상을 혼합하여 형성할 수도 있다. 상기 희금속의 예로서는 Ru, Pt, Ir을 들 수 있고, 상기 금속 질화물의 예로서는 타타늄 질화물, 탄탈륨 질화물, 텅스텐 질화물을 들 수 있다. 특히, 제2금속층(68)은 본 발명의 원자층 적층 또는 화학 기상 증착에 의해 형성할 수도 있다.
그리고, 상기 제2금속층(68)을 플라즈마를 사용하여 세정 등과 같은 처리를 더 수행할 수도 있다.
실시예 3에 의하면, 제1금속층(62), 탄탈륨 질화물을 포함하는 장벽 금속층(66) 및 제2금속층(68)을 포함하는 금속 배선을 용이하게 형성할 수 있다. 특히, 제2금속층(68)이 규소 및 산화 규소와 쉽게 반응하는 물질인 경우, 탄탈륨 질화물을 포함하는 장벽 금속층(66)을 형성함으로서 상기 반응을 현저하게 억제시킬 수 있다.
상기 실시예들 외에도, 다층 구조를 갖는 금속 배선으로 본 발명의 원자층 적층 또는 화학 기상 증착을 통하여 탄탈륨 질화물을 포함하는 금속층을 적극적으로 채택할 수 있다.
상기 금속층을 형성하기 위한 장치를 살펴보면 다음과 같다.
도 7은 본 발명의 일 실시예에 따른 금속층 형성 장치를 나타낸다.
도 7을 참조하면, 상기 장치(70)는 로드락 챔버(71a, 71b)를 포함한다. 로드락 챔버(71a, 71b)는 기판을 상기 장치 내로 로딩시키는 제1로드락 챔버(71a) 및 기판을 상기 장치로부터 언로딩시키는 제2로드락 챔버(71b)를 포함한다.
상기 장치(70)는 로드락 챔버(71a, 71b)와 연결되고, 기판을 이송하기 위한 이송 챔버(72)를 포함한다. 구체적으로, 이송 챔버(72) 내에는 로봇암과 같은 이송 부재(72a)가 설치됨으로서 이송 부재(72a)를 사용하여 상기 장치(70) 내에서 기판을 이송시킨다.
상기 장치(70)는 세정 챔버(73), 제1공정 챔버(74), 제2공정 챔버(75), 처리 챔버(76), 제3공정 챔버(77), 제4공정 챔버(78) 및 쿨링 챔버(80)를 포함한다.
구체적으로, 세정 챔버(73)에서는 플라즈마를 사용한 기판의 세정이 이루어진다. 제1공정 챔버(74)에서는 스퍼터링에 의한 장벽 금속층의 적층이 이루어진다. 제1공정 챔버(74)에서 적층되는 장벽 금속층의 예로서는 Ta층, TaN층을 들 수 있다. 제2공정 챔버(75)에서는 화학기상증착 또는 원자층 적층에 의한 장벽 금속층의 적층이 이루어진다. 제2공정 챔버(75)에서 적층되는 장벽 금속층의 예로서는 TaN층을 들 수 있다. 그리고, 처리 챔버(76)에서는 플라즈마를 사용한 장벽 금속층의 처리가 이루어진다. 제3공정 챔버(77)에서는 스퍼터링에 의한 금속층의 적층이 이루어진다. 제3공정 챔버(77)에서 적층되는 금속층의 예로서는 Cu층을 들 수 있다. 제4공정 챔버(78)에서는 화학기상증착 또는 원자층 적층에 의한 금속층의 적층이 이루어진다. 제4공정 챔버(78)에서 적층되는 금속층의 예로서는 Cu층을 들 수 있다.
상기 장치(70)는 클러스터(cluster) 구조를 갖는다. 따라서, 로드락 챔버(71a, 71b) 세정 챔버(73), 제1공정 챔버(74), 제2공정 챔버(75), 처리 챔버(76), 제3공정 챔버(77) 및 제4공정 챔버(78)가 이송 챔버(72)를 둘러싼다. 따라서, 이송 챔버(72)가 상기 장치(70) 내에서 발생되는 기판의 모든 이송을 담당하게 된다. 또한, 상기 장치(70)는 쿨링 챔버(80)를 포함함으로서 상기 공정을 수행함에 따라 가열된 기판을 쿨링시킨다.
그리고, 상기 장치(70)는 상기 장치(70) 내에서 이루어지는 기판의 이송을제어하는 제어부(79)를 포함한다. 따라서, 제어부(79)는 설정된 공정에 따라 기판이 이송을 제어한다. 구체적으로, 상기 설정된 공정이 실시예 3일 경우, 제어부(79)는 세정 챔버(73), 제2공정 챔버(75), 처리 챔버(76) 및 제4공정 챔버(78)로만 기판이 이송되도록 제어한다.
이와 같이, 상기 장치(70)를 사용할 경우, 원하는 금속층을 인시튜로 형성할 수 있다. 특히, 스퍼터링과 원자층 적층이 인시튜로 수행되는 금속층 형성 공정에 적극적으로 활용할 수 있다.
이하, 본 발명의 금속층에 대한 특성들을 설명한다.
스텝 커버리지 특성
종횡비(aspect ratio)는 9 : 1인 콘택홀을 갖는 층간 절연층 상에 실시예 1과 동일한 방법에 의해 TaN층을 형성하였다. 그리고, 상기 콘택홀에서의 TaN층의 스텝 커버리지를 확인한 결과, 상기 스텝 커버리지가 100%인 것을 확인하였다.
따라서, 본 발명은 우수한 스텝 커버리지를 갖는 금속층을 형성하는 방법을 제공한다.
비아 콘택 저항 특성
상기 비아 콘택 저항을 측정하기 위한 시료들로서 다음과 같이 준비하였다.
먼저, 기판 상에 하부 금속층으로서 Al층을 형성하였고, 상기 하부 금속층 상에 캡핑층(capping layer)을 형성하였다. 그리고, 상기 하부 금속층을 부분적으로 식각하였다. 계속해서, 상기 부분적으로 식각된 하부 금속층 상에 층간 절연층을 형성하였다. 그리고, 상기 층간 절연층을 부분적으로 식각하여 콘택 크기가 0.23㎛를 갖는 콘택홀을 형성하였다. 이어서, 상기 콘택홀을 갖는 층간 절연층 상에 장벽 금속층을 연속적으로 형성하였고, 상기 장벽 금속층 상에 상부 금속층으로서 Al층을 형성하였다. 상기 상부 금속층은 고온에서 리플로우시켰다. 여기서, 상기 시료들 각각은 장벽 금속층을 달리한다.
도 8을 참조하면, 제1예의 장벽 금속층은 본 발명의 원자층 적층에 의한 TaN층이다. 제2예의 장벽 금속층은 본 발명의 화학기상증착에 의한 100Å의 두께를 갖는 TaN층이다. 제3예의 장벽 금속층은 본 발명의 화학기상증착에 의한 200Å의 두께를 갖는 TaN층이다. 제4예의 장벽 금속층은 본 발명의 화학기상증착에 의한 300Å의 두께를 갖는 TaN층이다. 그리고, 제5예(비교예)의 장벽 금속층은 일반적인 스퍼터링에 의한 TaN층이다.
상기 시료들의 비아 콘택 저항을 측정한 결과, 제1예는 7.0 Ω, 제2예는 3.5 Ω, 제3예는 3.7 Ω, 제4예는 4.0 Ω, 제5예는 제1예는 4.3Ω으로 측정되었다.
상기 측정 결과, 제2예, 제3예 및 제4예는 상기 비아 콘택 저항이 양호한 것을 확인하였다. 따라서, 본 발명의 화학기상증착을 통하여 금속층을 형성할 경우, 양호한 비아 콘택 저항을 갖는 금속층을 적층할 수 있다.
그리고, 제1예의 경우에는 제5예의 측정 결과보다는 다소 높은 비아 콘택 저항을 갖지만, 최근의 반도체 장치에 적용하여도 무리가 없는 비아 콘택 저항인 것을 확인할 수 있었다. 따라서, 본 발명의 원자층 적층을 통하여 금속층을 형성할 경우, 양호한 비아 콘택 저항을 갖는 금속층을 적층할 수 있다.
본 발명에 의하면, 낮은 온도에서 수행할 수 있고, 우수한 스텝 커버리지의 구현이 용이하고, 간단한 공정 변수를 갖는 탄탈륨 질화층을 포함하는 금속층을 용이하게 형성할 수 있다. 때문에, 반도체 장치의 신뢰도가 향상되는 효과를 기대할 수 있다.
상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.

Claims (28)

  1. 기판 상에 층간 절연층을 형성하는 단계;
    상기 층간 절연층을 식각함으로서 상기 기판을 부분적으로 노출시키는 콘택홀을 형성하는 단계; 및
    탄탈륨 원소 및 상기 탄탈륨 원소와 화학적으로 결합하는 결합 원소들을 포함하고, 상기 결합 원소들의 일부는 상기 탄탈륨 원소와 리간드 결합하는 리간드 결합 원소들을 포함하는 탄탈륨 전구체를 사용하여 상기 콘택홀을 포함하는 층간 절연층 상에 탄탈륨 질화물을 포함하는 금속층을 형성하는 단계를 포함하고,
    상기 금속층을 형성하는 단계는,
    a) 상기 탄탈륨 전구체를 도입하는 단계;
    b) 상기 탄탈륨 전구체의 일부를 상기 콘택홀을 포함하는 층간 절연층 상에 화학적으로 흡착시키는 단계;
    c) 화학적으로 흡착하지 않은 탄탈륨 전구체를 제거시키는 단계;
    d) 상기 화학적으로 흡착한 탄탈륨 전구체의 결합 원소들 중에서 상기 리간드 결합 원소들을 상기 화학적으로 흡착한 탄탈륨 전구체로부터 제거시키는 단계;
    e) 상기 제거에 의해 상기 기판 주변에 잔류하는 잔류 물질들을 상기 기판으로부터 제거시키는 단계; 및
    f) 상기 a)-e)를 적어도 한번 반복하여 상기 콘택홀을 포함하는 층간 절연층 상에 탄탈륨 질화물을 포함하는 박막을 형성하는 단계; 및
    e) 상기 f)를 수행한 이후에 리모트 플라즈마 방식 또는 다이렉트 플라즈마 방식으로 활성화시킨 H2, NH3, N2, SiH4, Si2H6 및 이들의 혼합물로 구성되는 그룹으로부터 선택되는 어느 하나를 사용하여 상기 박막을 포스트 처리하는 단계를 포함하는 것을 특징으로 하는 반도체 장치의 금속층 형성 방법.
  2. 제1항에 있어서, 상기 탄탈륨 전구체는 탄탈륨 아민 유도체 또는 탄탈륨 헬라이드 유도체를 포함하는 것을 특징으로 하는 반도체 장치의 금속층 형성 방법.
  3. 제2항에 있어서, 상기 탄탈륨 아민 유도체는 Ta(NR1)(NR2R3)3(여기서 R1, R2, R3는 H 또는 C1-C6알칼기로서 서로 동일하거나 상이하다), Ta(NR1R2)5(여기서 R1, R2는 H 또는 C1-C6알칼기로서 서로 동일하거나 상이하다), Ta(NR1R2)x(NR3R4)5-x(여기서 R1, R2, R3, R4는 H 또는 C1-C6알칼기로서 서로 동일하거나 상이하다) 또는 터부틸이미도-트리스-디에틸아미도 탄탈륨(terbutylimido-tris-diethylamido tantalum :TBTDET : (NEt2)3Ta=NBut)을 포함하는 것을 특징으로 하는 반도체 장치의 금속층 형성 방법.
  4. 제2항에 있어서, 상기 탄탈륨 헬라이드 유도체는 TaF5, TaCl5, TaBr5또는 TaI5를 포함하는 것을 특징으로 하는 반도체 장치의 금속층 형성 방법.
  5. 제1항에 있어서, 상기 탄탈륨 전구체는 가스 상태로 도입되는 것을 특징으로 하는 반도체 장치의 금속층 형성 방법.
  6. 제1항에 있어서, 상기 금속층은 100 내지 650℃의 온도 및 0.3 내지 30 Torr의 압력 분위기 하에서 형성하는 것을 특징으로 하는 반도체 장치의 금속층 형성 방법.
  7. 삭제
  8. 제1항에 있어서, 상기 화학적으로 흡착하지 않은 탄탈륨 전구체는 불활성 가스를 사용하여 제거시키는 것을 특징으로 하는 반도체 장치의 금속층 형성 방법.
  9. 제1항에 있어서, 상기 리간드 결합 원소는 H2, NH3, N2, SiH4, Si2H6 및 이들의 혼합물로 구성되는 그룹으로부터 선택되는 어느 하나를 사용하여 제거시키는 것을 특징으로 하는 반도체 장치의 금속층 형성 방법.
  10. 제1항에 있어서, 상기 리간드 결합 원소는 리모트 플라즈마 방식으로 활성화시킨 H2, NH3, N2, SiH4, Si2H6 및 이들의 혼합물로 구성되는 그룹으로부터 선택되는 어느 하나를 사용하여 제거시키는 것을 특징으로 하는 반도체 장치의 금속층 형성 방법.
  11. 제1항에 있어서, 상기 f)를 수행하기 이전에 상기 c)-e)를 적어도 한번 반복하는 것을 특징으로 하는 반도체 장치의 금속층 형성 방법.
  12. 삭제
  13. 제1항에 있어서, 상기 금속층을 형성하는 단계 대신에,
    a')상기 탄탈륨 전구체로서 탄탈륨 아민 유도체를 도입하는 단계;
    b')수소 가스, 질소 가스, 질소 함유 가스 또는 이들의 혼합 가스를 도입하는 단계;
    c')수소 가스, 질소 가스, 질소 함유 가스 또는 이들의 혼합 가스를 플라즈마 이온들로 생성하는 단계; 및
    d')상기 플라즈마 이온들을 상기 콘택홀을 포함하는 층간 절연층에 반응시켜 상기 콘택홀을 포함하는 층간 절연층 상에 탄탈륨 질화물을 포함하는 박막을 형성하는 단계;
    e') 상기 d')를 수행한 이후에 리모트 플라즈마 방식 또는 다이렉트 플라즈마 방식으로 활성화시킨 H2, NH3, N2, SiH4, Si2H6 및 이들의 혼합물로 구성되는 그룹으로부터 선택되는 어느 하나를 사용하여 상기 박막을 포스트 처리하는 단계를 포함하는 것을 특징으로 하는 반도체 장치의 금속층 형성 방법.
  14. 삭제
  15. 제13항에 있어서, 상기 질소 함유 가스는 NH3가스 또는 N2H2가스를 포함하는 것을 특징으로 하는 반도체 장치의 금속층 형성 방법.
  16. 제13항에 있어서, 상기 수소 가스, 상기 질소 가스, 상기 질소 함유 가스 또는 상기 이들의 혼합 가스는 리모트 플라즈마 방식 또는 다이렉트 플라즈마 방식에 의해 활성화시킨 가스인 것을 특징으로 하는 반도체 장치의 금속층 형성 방법.
  17. 제1항에 있어서, 상기 금속층 상에 상기 금속층과 전기적 연결을 위한 금속 배선층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 장치의 금속층 형성 방법.
  18. 제17항에 있어서, 상기 금속 배선층은 폴리 실리콘층, Ti층, Ta층, Al층, Cu층, W층, 희금속을 포함하는 희금속층 및 금속 질화물을 포함하는 금속 질화층으로 구성되는 그룹으로부터 선택되는 적어도 하나를 포함하는 것을 특징으로 하는 반도체 장치의 금속층 형성 방법.
  19. 제18항에 있어서, 상기 희금속은 Ru, Pt 또는 Ir인 것을 특징으로 하는 반도체 장치의 금속층 형성 방법.
  20. 제18항에 있어서, 상기 금속 질화물은 티타늄 질화물, 탄탈륨 질화물 또는 텅스텐 질화물인 것을 특징으로 하는 반도체 장치의 금속층 형성 방법.
  21. 제17항에 있어서, 상기 금속 배선층은 상기 금속층과 동일한 방법으로 형성함으로서 탄탈륨 질화물을 포함하는 것을 특징으로 하는 반도체 장치의 금속층 형성 방법.
  22. 제1항에 있어서, 상기 층간 절연층 상에 형성되어 있는 금속층을 식각함으로서 상기 콘택홀 내에만 상기 금속층이 필링되는 금속 플러그를 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 장치의 금속층 형성 방법.
  23. 제1항에 있어서, 상기 기판은 상기 기판 상에 형성되는 금속층과 전기적으로 연결되는 하부 금속 배선층을 포함하는 것을 특징으로 하는 반도체 장치의 금속층 형성 방법.
  24. 제1항에 있어서, 상기 금속층은 상기 콘택홀의 측벽, 저면 및 상기 층간 절연층의 표면 상에 연속적으로 형성되는 장벽 금속층인 것을 특징으로 하는 반도체 장치의 금속층 형성 방법.
  25. 제1항에 있어서, 상기 콘택홀을 포함하는 기판 상에 Ti층, Ta층 또는 희금속을 포함하는 희금속층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 장치의 금속층 형성 방법.
  26. 제1항에 있어서, 상기 금속층 상에 Ti층, Ta층 또는 희금속을 포함하는 희금속층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 장치의 금속층 형성 방법.
  27. 플라즈마를 사용하여 기판을 세정하는 단계;
    상기 기판 상에 제1금속층으로서 스퍼터링에 의한 Ta층, TaN층, 화학기상증착에 의한 Ta층, TaN층 또는 원자층 적층에 의한 Ta층, TaN층을 적층하는 단계;
    플라즈마를 사용하여 상기 제1금속층을 처리하는 단계; 및
    상기 제1금속층 상에 제2금속층으로서 스퍼터링에 의한 Cu층, 화학기상증착에 의한 Cu층 또는 원자층 적층에 의한 Cu층을 적층하는 단계를 포함하는 것을 특징으로 하는 반도체 장치의 금속층 형성 방법.
  28. 기판을 로딩 및 언로딩시키기 위한 로드락 챔버;
    상기 로드락 챔버와 연결되고, 상기 기판을 이송하기 위한 이송 챔버;
    상기 이송 챔버로부터 상기 기판을 제공받고, 플라즈마를 사용하여 상기 기판을 세정하기 위한 세정 챔버;
    상기 이송 챔버로부터 상기 기판을 제공받고, 스퍼터링에 의해 기판 상에 장벽 금속층을 적층하기 위한 제1공정 챔버;
    상기 이송 챔버로부터 상기 기판을 제공받고, 화학기상증착 또는 원자층 적층에 의해 상기 기판 상에 장벽 금속층을 적층하기 위한 제2공정 챔버;
    상기 이송 챔버로부터 상기 기판을 제공받고, 플라즈마를 사용하여 상기 장벽 금속층을 처리하기 위한 처리 챔버;
    상기 이송 챔버로부터 상기 기판을 제공받고, 스퍼터링에 의해 장벽 금속층 상에 Cu층을 적층하기 위한 제3공정 챔버;
    상기 이송 챔버로부터 상기 기판을 제공받고, 화학기상증착 또는 원자층 적층에 의해 상기 장벽 금속층 상에 Cu층을 적층하기 위한 제4공정 챔버;
    상기 이송 챔버로부터 상기 기판을 제공받고, 상기 기판을 쿨링시키는 쿨링 챔버; 및
    상기 기판의 이송을 제어하여 설정된 공정에 따라 선택되는 상기 챔버들로 상기 기판을 이송시키기 위한 제어부를 포함하는 것을 특징으로 하는 반도체 장치의 금속층 형성 장치.
KR10-2002-0028201A 2001-07-19 2002-05-21 반도체 장치의 금속층 형성 방법 및 장치 KR100466309B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR10-2002-0028201A KR100466309B1 (ko) 2002-05-21 2002-05-21 반도체 장치의 금속층 형성 방법 및 장치
US10/404,360 US7067420B2 (en) 2002-05-21 2003-04-01 Methods for forming a metal layer on a semiconductor
US10/857,253 US7105444B2 (en) 2001-07-19 2004-05-28 Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US11/425,970 US7452811B2 (en) 2001-07-19 2006-06-22 Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2002-0028201A KR100466309B1 (ko) 2002-05-21 2002-05-21 반도체 장치의 금속층 형성 방법 및 장치

Publications (2)

Publication Number Publication Date
KR20030090195A KR20030090195A (ko) 2003-11-28
KR100466309B1 true KR100466309B1 (ko) 2005-01-13

Family

ID=29546327

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2002-0028201A KR100466309B1 (ko) 2001-07-19 2002-05-21 반도체 장치의 금속층 형성 방법 및 장치

Country Status (2)

Country Link
US (1) US7067420B2 (ko)
KR (1) KR100466309B1 (ko)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
KR100465093B1 (ko) * 2002-12-14 2005-01-05 동부전자 주식회사 반도체 소자의 제조 방법
US7378129B2 (en) * 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
US6943106B1 (en) * 2004-02-20 2005-09-13 Micron Technology, Inc. Methods of fabricating interconnects for semiconductor components including plating solder-wetting material and solder filling
US7605469B2 (en) 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
DE102004040797A1 (de) * 2004-08-23 2005-10-20 Infineon Technologies Ag Verfahren zum Abscheiden von Schichten auf einem zu beschichtenden Substrat und Schichtsystem
KR100552820B1 (ko) * 2004-09-17 2006-02-21 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7927990B2 (en) * 2007-06-29 2011-04-19 Sandisk Corporation Forming complimentary metal features using conformal insulator layer
GB2455991B (en) * 2007-12-28 2010-12-01 Hauzer Techno Coating Bv A method of giving an article a coloured appearance and an article having a coloured appearance
GB2455993B (en) * 2007-12-28 2012-09-05 Hauzer Techno Coating Bv A corrosion resistant coated article
TWI536451B (zh) 2010-04-26 2016-06-01 應用材料股份有限公司 使用具金屬系前驅物之化學氣相沉積與原子層沉積製程之n型金氧半導體金屬閘極材料、製造方法及設備
PL2628822T3 (pl) 2012-02-15 2016-04-29 Picosun Oy Elementy łożysk i łożyska izolowane elektrycznie
EP2628817B1 (en) 2012-02-15 2016-11-02 IHI Hauzer Techno Coating B.V. A coated article of martensitic steel and a method of forming a coated article of steel
US10381322B1 (en) 2018-04-23 2019-08-13 Sandisk Technologies Llc Three-dimensional memory device containing self-aligned interlocking bonded structure and method of making the same
US10879260B2 (en) 2019-02-28 2020-12-29 Sandisk Technologies Llc Bonded assembly of a support die and plural memory dies containing laterally shifted vertical interconnections and methods for making the same
CN116153861B (zh) * 2023-04-19 2023-07-11 武汉楚兴技术有限公司 一种半导体结构及制备方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000054970A (ko) * 1999-02-02 2000-09-05 정수홍 장벽금속막을 구비한 금속 배선 및 그 제조방법
WO2000065123A1 (en) * 1999-04-27 2000-11-02 Tokyo Electron Limited THERMAL CVD OF TaN FILMS FROM TANTALUM HALIDE PRECURSORS
JP2001230217A (ja) * 2000-02-14 2001-08-24 Sumitomo Heavy Ind Ltd 基板処理装置及び方法
KR20030002146A (ko) * 2001-06-30 2003-01-08 주식회사 하이닉스반도체 원자층증착법에 의한 9족 금속막의 형성 방법

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5668054A (en) * 1996-01-11 1997-09-16 United Microelectronics Corporation Process for fabricating tantalum nitride diffusion barrier for copper matallization
US6153519A (en) * 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US6204204B1 (en) * 1999-04-01 2001-03-20 Cvc Products, Inc. Method and apparatus for depositing tantalum-based thin films with organmetallic precursor
US20030057526A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6727592B1 (en) * 2002-02-22 2004-04-27 Advanced Micro Devices, Inc. Copper interconnect with improved barrier layer

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000054970A (ko) * 1999-02-02 2000-09-05 정수홍 장벽금속막을 구비한 금속 배선 및 그 제조방법
KR100282927B1 (ko) * 1999-02-02 2001-02-15 정수홍 장벽금속막을 구비한 금속 배선 및 그 제조방법
WO2000065123A1 (en) * 1999-04-27 2000-11-02 Tokyo Electron Limited THERMAL CVD OF TaN FILMS FROM TANTALUM HALIDE PRECURSORS
JP2001230217A (ja) * 2000-02-14 2001-08-24 Sumitomo Heavy Ind Ltd 基板処理装置及び方法
KR20030002146A (ko) * 2001-06-30 2003-01-08 주식회사 하이닉스반도체 원자층증착법에 의한 9족 금속막의 형성 방법

Also Published As

Publication number Publication date
KR20030090195A (ko) 2003-11-28
US20030219979A1 (en) 2003-11-27
US7067420B2 (en) 2006-06-27

Similar Documents

Publication Publication Date Title
US7105444B2 (en) Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
KR100466309B1 (ko) 반도체 장치의 금속층 형성 방법 및 장치
KR100588887B1 (ko) 질화막 형성 방법, 반도체 장치의 배선 형성 방법
US8241701B2 (en) Processes and systems for engineering a barrier surface for copper deposition
US8771804B2 (en) Processes and systems for engineering a copper surface for selective metal deposition
US8747960B2 (en) Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
JP5820870B2 (ja) 金属堆積のために基板表面を調整する方法および統合システム
US10008412B2 (en) Doping control of metal nitride films
KR101764163B1 (ko) 기판 상에 구리 표면을 캡핑하기 위한 방법
KR20080101745A (ko) 텅스텐 재료들의 원자층 증착
KR19990068219A (ko) 금속 질화물 막의 화학적 기상 증착 방법 및 이것을 이용한 전자 장치의 제조 방법
KR101506352B1 (ko) 금속 증착을 위해 기판 표면을 가공하는 프로세스 및 통합 시스템
KR100449782B1 (ko) 원자층 적층 방법과 이를 이용한 박막 적층 방법 및금속층 적층 방법
US11069568B2 (en) Ultra-thin diffusion barriers
KR20080106373A (ko) 성막 방법, 반도체 장치의 제조 방법, 반도체 장치, 프로그램 및 기록매체
KR100538094B1 (ko) 반도체 장치의 배선 형성 방법, 반도체 장치의 금속층형성 방법 및 장치
KR100503965B1 (ko) 반도체 소자의 확산 방지막 형성 방법
KR100443356B1 (ko) 루테늄막의 단원자층 증착법
JP2009246379A (ja) 半導体集積装置の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130102

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20140103

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20141231

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20160104

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20170102

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20191226

Year of fee payment: 16