JP6968701B2 - 低誘電率かつ低湿式エッチング速度の誘電体薄膜を堆積させるための方法 - Google Patents

低誘電率かつ低湿式エッチング速度の誘電体薄膜を堆積させるための方法 Download PDF

Info

Publication number
JP6968701B2
JP6968701B2 JP2017557139A JP2017557139A JP6968701B2 JP 6968701 B2 JP6968701 B2 JP 6968701B2 JP 2017557139 A JP2017557139 A JP 2017557139A JP 2017557139 A JP2017557139 A JP 2017557139A JP 6968701 B2 JP6968701 B2 JP 6968701B2
Authority
JP
Japan
Prior art keywords
carbon
silicon
gas
containing precursor
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017557139A
Other languages
English (en)
Other versions
JP2018515921A (ja
Inventor
ニン リー,
マーク サリー,
デーヴィッド トンプソン,
ミハエラ バルシーヌ,
リー−クン シャ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2018515921A publication Critical patent/JP2018515921A/ja
Application granted granted Critical
Publication of JP6968701B2 publication Critical patent/JP6968701B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Description

本開示は概して、薄膜を堆積させる方法に関する。詳細には、本開示は、SiCN、SiCO、及び/又はSiCONを含む膜を堆積させるための原子層堆積プロセスに関する。
窒化ケイ素膜は、トランジスタ製造における窒化物スペーサとして、又は、メモリにおける浮動ゲートとして、重要な役割を果たしうる。ナノスケールの高アスペクト比構造物全体にわたり、良好なステップカバレッジを伴ってこれらの膜を堆積させるためには、原子層堆積(ALD)と称される膜堆積が必要である。ALDは、不活性パージによって分離された2つ以上の前駆体を連続的にパルシングすることによる、膜の堆積である。これにより、膜成長が、層ごとに進行すること、及び、表面活性サイトによって制限されることが可能になる。この様態の膜成長は、リエントランス(re−entrance)フィーチャを含む複雑な構造物全体での厚さ制御を可能にする。
SiCN又はSiCONなどの、低誘電率(low k)及び(希釈されたHF溶液における)低湿式エッチング速度という特性を有する誘電体薄膜は、半導体業界では、フロントエンドプロセスにおいてスペーサ材料として使用されうる。大部分の薄膜特性は、膜内の水素汚染及び/又は別々の炭素結合状態といった、膜組成の制御不足により、実際的な要件に合致しえない。3Dトレンチに堆積した膜の共形性不足も既知である。したがって、低誘電率かつ低湿式エッチング速度のSiCN、SiCO、及びSiCONの膜が、当該技術分野において必要とされている。
本開示の一又は複数の実施形態は、処理方法を対象とする。第1ケイ素含有膜を形成するために、基板表面の少なくとも一部分がケイ素含有前駆体に曝露される。第2ケイ素含有膜を形成するために、第1ケイ素含有膜は炭素含有前駆体に曝露される。第2ケイ素含有膜は炭素を含む。ケイ素−炭素膜を形成するために、第2ケイ素含有膜はプラズマに曝露される。
本開示の追加的な実施形態は、基板表面を少なくとも2回の堆積サイクルに曝露することを含む、処理方法を対象とする。各堆積サイクルは、第1ケイ素含有膜を形成するために、基板表面の少なくとも一部分をケイ素含有前駆体に曝露することを含む。このケイ素含有膜が、第2ケイ素含有膜を形成するために、実質的に炭素原子及び窒素原子からなる炭素含有前駆体に曝露される。炭窒化ケイ素膜を形成するために、第2ケイ素含有膜はプラズマに曝露される。
本開示の更なる実施形態は、処理チャンバ内に、基板表面を有する基板を配置することを含む、処理方法を対象とする。処理チャンバは複数の区画を備え、各区画は、ガスカーテンによって隣接した区画から分離される。基板表面の少なくとも一部分が、処理チャンバの第1区画内で第1プロセス条件に曝露される。第1ケイ素含有膜を形成するために、第1プロセス条件はケイ素含有前駆体を含む。基板表面は、ガスカーテンを通って処理チャンバの第2区画へと、横方向に移動する。第2ケイ素含有膜を形成するために、第1ケイ素含有膜は炭素含有前駆体に曝露される。炭素含有前駆体は、実質的に、第2ケイ素含有膜を形成するための炭素原子及び窒素原子からなる。第2ケイ素含有膜を有する基板表面は、少なくとも1つのガスカーテンを通って処理チャンバの第3区画又は第4区画へと、横方向に移動する。炭窒化ケイ素膜又は酸炭窒化ケイ素膜を形成するために、第2ケイ素含有膜は、不活性ガスと、オプションで、水素含有種、窒素含有種、及び酸素含有種のうちの一又は複数とを含む、プラズマに曝露される。基板表面は、ガスカーテンを通って、処理チャンバの第3区画又は第4区画から横方向に移動する。所定の厚さの炭窒化ケイ素膜又は酸炭窒化ケイ素膜を形成するために、基板表面の横方向移動を含む、第1区画、第2区画、及び、第3又は第4の区画への曝露が反復される。
本開示の上述の特徴を詳しく理解しうるように、上記で簡単に要約されている本開示の、より詳細な説明が、実施形態を参照することによって得られる。一部の実施形態は付随する図面に示されている。しかし、本開示は他の等しく有効な実施形態も許容しうることから、付随する図面はこの開示の典型的な実施形態のみを示しており、したがって、本開示の範囲を限定すると見なすべきではないことに、留意されたい。
本開示の一又は複数の実施形態によるバッチ処理チャンバの断面図を示す。 本開示の一又は複数の実施形態によるバッチ処理チャンバの部分斜視図を示す。 本開示の一又は複数の実施形態によるバッチ処理チャンバの概略図を示す。 本開示の一又は複数の実施形態によるバッチ処理チャンバ内で使用される、くさび型ガス分配アセンブリの一部分の概略図を示す。 本開示の一又は複数の実施形態によるバッチ処理チャンバの概略図を示す。
本開示のいくつかの例示的な実施形態を説明する前に、本開示は下記の説明において明記される構成又はプロセスステップの詳細事項に限定されないということを、理解すべきである。本開示は、他の実施形態も可能であり、様々な方法で実践又は実行されうる。本開示の複合体及び結合体(ligand)が、特定の立体化学を有する構造式を使用して本書に示されうることも、理解されたい。これらの表示は、例としてのためだけのものであり、開示されている構造を特定の立体化学のいずれかに限定するものであると解釈すべきではない。むしろ、示されている構造は、表示されている化学式を有する、かかる複合体及び結合体のすべてを包含することを意図している。
本発明の発明者は、驚くべきことに、空間的原子層堆積プロセスが低誘電率かつ低湿式エッチング速度(WER)のSiCN、SiCO、及びSiCONの膜を形成しうることを見い出した。この明細書及び付随する特許請求の範囲において、SiCNという語の使用は、膜がケイ素原子、炭素原子、及び窒素原子を有することを意味するだけであり、化学量論的な量を暗示するわけではない。SiCO及びSiCONの使用も、化学量論的な量ではなく、原子成分を表している。膜には、別途明示しない限り、他の原子も存在していることがある。典型的には、膜特性に影響を与えうる分量の他の原子の存在は見い出されない。前駆体又は膜組成について説明する際の「実質的に〜からなる(consisting essentially of)」という文言の使用は、ケイ素原子、炭素原子、酸素原子、及び窒素原子の原子パーセンテージを表すだけのものである。例えば、「前駆体が実質的に炭素原子及び窒素原子からなる」とは、実質的に酸素原子が存在しないことを意味する。前駆体は、水素のような他の元素も有しうる。「実質的に酸素原子が存在しない」などの文言の使用は、約2原子%、約1原子%、約0.5原子%、又は約0.1原子%を上回る量の酸素原子が存在していないことを意味する。
本書において「基板(substrate)」とは、製造プロセスにおいて表面上に膜処理が実施される、任意の基板、又は基板上に形成された任意の材料面を表す。例えば、表面上に処理が実施されうる基板面は、用途に応じて、ケイ素、酸化ケイ素、ストレインドシリコン、絶縁体上シリコン(silicon on insulator:SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、ドープされたケイ素、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、並びに、金属、金属窒化物、金属合金、及びその他の導電性材料といった、他の任意の材料を含む。基板は半導体ウエハを含むが、それに限定されるわけではない。基板面を研磨、エッチング、還元、酸化、ヒドロキシル化、アニール処理、及び/又はベイキングするために、基板は前処理プロセスに曝露されることがある。基板自体の表面上に直接膜処理を行うことに加えて、本開示では、開示されている膜処理ステップのうちの任意のものが、より詳細に後述するように、基板上に形成された下部層に対して実施されることもある。「基板面(substrate surface)」という語は、文脈によって示されるように、かかる下部層を含むことを意図している。ゆえに、例えば、膜/層又は部分的な膜/層が既に基板表面上に堆積されている場合、新たに堆積された膜/層の曝露面が基板表面となる。
一又は複数の実施形態により、方法は原子層堆積(ALD)プロセスを使用する。かかる実施形態では、基板表面が、連続して又は実質的に連続して、前駆体(又は反応性ガス)に曝露される。本明細書全体において、「実質的に連続的に(substantially sequentially)」とは、前駆体曝露の期間の大部分が共試薬への曝露と重複しない(ただし、いくらかの重複はありうる)ことを意味する。この明細書及び付随する特許請求の範囲において、「前駆体(precursor)」、「反応体(reactant)」、「反応性ガス(reactive gas)」などの語は、基板表面と反応しうる任意のガス状化学種を表すために、互換可能に使用される。
本開示の一又は複数の実施形態は、基板表面をケイ素含有前駆体と炭素含有前駆体、及びプラズマに連続的に曝露することを含む、処理方法を対象とする。ケイ素含有前駆体、炭素含有前駆体、及びプラズマへの連続曝露により、ケイ素−炭素膜が形成される。そのため、「ケイ素−炭素膜(silicon−carbon film)」とは、ケイ素原子及び炭素原子を含む膜を表すが、ケイ素と炭素だけに限定されるわけではない。一部の実施形態では、第1ケイ素含有膜を形成するために、基板表面の少なくとも一部分がケイ素含有前駆体に曝露される。ケイ素及び炭素を含む第2ケイ素含有膜を形成するために、第1ケイ素含有膜は次いで、炭素含有前駆体に曝露される。工程のいかなる特定の理論にも拘束されないが、膜は、クロライド末端成分又はOH末端成分などの望ましくない末端を有すると考えられる。後続するプラズマへの曝露は、膜に、これらの望ましくない末端の(全てではなくとも)大部分の架橋及び除去を引き起こす。
ケイ素含有前駆体は、基板表面と反応しうる任意の好適なケイ素前駆体を含みうる。ケイ素含有前駆体は、ハロゲン化されていても、ハロゲン化されていなくてもよい。ハロゲン化前駆体とは、少なくとも1つのハロゲン原子が1つのケイ素原子と結合していることを意味する。好適なハロゲン化ケイ素は、SiCl、モノクロロシラン、ジクロロシラン、トリクロロシラン、シラン、ジシラン、有機ケイ酸塩、アミノシラン類、及び有機シラン類を含むが、それらに限定されるわけではない。一部の実施形態では、ケイ素含有前駆体は、実質的にハロゲン化ケイ素からなる。そのため、「実質的にハロゲン化ケイ素からなる(consists essentially of silicon halide)」とは、ガス流が、実質的に、表面活性成分としてハロゲン化ケイ素のみを含有することを意味する。キャリアガスなどの他の非反応性ガスも含まれうる。
炭素含有前駆体は、基板表面又は基板表面上のケイ素含有膜と反応しうる、任意の好適な炭素含有種を含みうる。好適な例は、四塩化炭素、二酸化炭素、アルカン、エチレンジアミン、及びアセチレンを含むが、それらに限定されるわけではない。一部の実施形態では、炭素含有前駆体は、エチレンジアミンなどの、炭素分子及び窒素分子を有する化合物を含む。
一又は複数の実施形態では、炭素含有前駆体は実質的に炭素原子及び窒素原子からなる。つまり、この前駆体の中には実質的に酸素分子が存在していない。一部の実施形態では、炭素含有前駆体は実質的にエチレンジアミンからなる。例えば、かかる前駆体はSiCN膜の堆積に役立つことがある。一部の実施形態では、炭素含有前駆体は、アルキルアミン、ジアミン、ポリアミン、及び/又は環状アミンのうちの一又は複数を含む。これらのアミン類は、一級アミン、二級アミン、三級アミン、又はヘテロ環アミンでありうる。
一又は複数の実施形態では、炭素含有前駆体は、炭素原子及び酸素原子を有する化合物を含む。例えば、かかる前駆体はSiCO膜の堆積に役立つことがある。一部の実施形態では、炭素含有前駆体は、実質的に窒素原子を含まないか、又は、実質的に炭素原子及び酸素原子からなり、つまり、実質的に窒素原子が存在しない。例えば、炭素含有前駆体は、CO、アルコール、及び/又はエーテルのうちの一又は複数を含みうる。
一部の実施形態では、炭素含有前駆体は、炭素原子、酸素原子、及び窒素原子を含む。例えば、かかる前駆体はSiCON膜の堆積に役立つことがある。一又は複数の実施形態では、炭素含有前駆体は、アルキルアミノ−アルコール、或いは、炭素原子と窒素原子、及び/又は、炭素原子と酸素原子を有する複数の化合物の混合物、のうちの一又は複数を含む。
プラズマは任意の好適なプラズマ種でありうる。一部の実施形態では、プラズマは、アルゴンプラズマなどの少なくとも1つの不活性種を含む。一部の実施形態では、プラズマは、水素又は酸素などの、膜と反応する種を更に含む。一部の実施形態では、プラズマは少なくとも1つの不活性種と酸素種とを含み、ケイ素−炭素膜は酸素を更に含む。一又は複数の実施形態では、プラズマは少なくとも1つの不活性種と、窒素含有前駆体とを含み、ケイ素−炭素膜は窒素を更に含む。
一部の実施形態では、プラズマは、ヘリウム、ネオン、アルゴン、又はクリプトンのうちの一又は複数を含む。一又は複数の実施形態では、プラズマは、水素、アンモニア、及び/又は窒素のうちの一又は複数を更に含む。例えば、プラズマは、アルゴン/窒素、アルゴン/水素、及び/又は、アルゴン/窒素/アンモニアの混合物を含みうる。本発明の発明者は、驚くべきことに、化学種や相対濃度などのプラズマの組成が膜特性に影響を与えうることを見い出した。
プラズマの出力も、膜特性に対する驚くべき効果を有する。任意の好適なプラズマ周波数又はプラズマ出力が使用されうる。一部の実施形態では、プラズマ出力は、約25ワット〜約300ワットの範囲内、又は、約50ワット〜約200ワットの範囲内、或いは、約200ワット、約100ワット、又は約50ワットである。
一部の実施形態では、第1ケイ素含有膜及び/又は第2ケイ素含有膜は、プラズマへの曝露に先立って、酸素源に曝露される。例えば、第1ケイ素含有膜をエチレンジアミンに曝露した後、この膜は、膜内に酸素を取り込むために水蒸気に曝露されうる。このことは、プラズマへの曝露中に、又はプラズマへの曝露に先立って、行われうる。好適な酸素源は、酸素、二酸化炭素、水、及びオゾンを含むが、それらに限定されるわけではない。
処理方法は、任意の好適な温度で実施されうる。一部の実施形態では、処理の全ての部分が、個別に、約200℃〜約650℃の範囲内で行われる。ケイ素−炭素膜は予想よりも低い温度で堆積されうることが分かっている。一部の実施形態では、全ての処理条件が、個別に、約500℃、約450℃、約400℃、又は約350℃以下である。
形成されたケイ素−炭素膜は、低湿式エッチング速度、低誘電率、及び高熱安定性を伴う膜が作製され、かつ/又は、共形性が良好な膜が形成されるという、特性を有する一部の実施形態では、炭窒化ケイ素膜が形成され、この膜は、約0.5、又は約0.4、又は約0.3、又は約0.2、又は約0.1、又は約0.05未満の、希釈されたHFにおける湿式エッチング速度比(WERR)を有する。湿式エッチング速度比は、希釈されたHF(例えば1:100HF)を使用して、熱酸化ケイ素膜に関して測定される。
形成されたケイ素−炭素膜は、非常に良好な成長速度を有した。一部の実施形態では、窒化ケイ素膜は、約0.2Å/サイクル以上、又は約0.25Å/サイクル以上、又は約0.3Å/サイクル以上、又は約0.35Å/サイクル以上、又は約0.4Å/サイクル以上、又は約0.45Å/サイクル以上の、成長速度を有する。
本開示の一部の実施形態は、バッチ処理チャンバ(空間的ALDチャンバとも称される)を使用する、窒化ケイ素膜の堆積を対象とする。図1は、ガス分配アセンブリ120(インジェクタ又はインジェクタアセンブリとも称される)とサセプタアセンブリ140とを含む、処理チャンバ100の断面を示している。ガス分配アセンブリ120は、処理チャンバ内で使用される、任意の種類のガス供給デバイスである。ガス分配アセンブリ120は、サセプタアセンブリ140に対面する正面121を含む。正面121は、サセプタアセンブリ140に向けてガスの流れを供給するための、任意の数の又は多種多様な開口を有しうる。ガス分配アセンブリ120は外側エッジ124も含み、外側エッジ124は、図示している実施形態では実質的に円形である。
使用される具体的な種類のガス分配アセンブリ120は、使用される特定のプロセスに応じて変化しうる。本開示の実施形態は、サセプタとガス分配アセンブリとの間の間隙が制御される、任意の種類の処理システムと共に使用されうる。様々な種類のガス分配アセンブリが用いられうるが(例えばシャワーヘッド)、本開示の実施形態は、複数の実質的に平行なガスチャネルを有する空間的ALDガス分配アセンブリを用いると、特に有用でありうる。この明細書及び付随する特許請求の範囲において、「実質的に平行(substantially parallel)」という文言は、ガスチャネルの長手方向軸が大体同じ方向に延在することを意味する。ガスチャネルの平行度には若干の不完全性があってもよい。複数の実質的に平行なガスチャネルは、少なくとも1つの第1反応性ガスAのチャネル、少なくとも1つの第2反応性ガスBのチャネル、少なくとも1つのパージガスPのチャネル、及び/又は、少なくとも1つの真空Vのチャネルを含みうる。第1反応性ガスAのチャネル(複数可)、第2反応性ガスBのチャネル(複数可)、及び、パージガスPのチャネル(複数可)から流れるガスは、ウエハの上面に向けて方向付けられる。ガス流の一部は、ウエハの表面の端から端まで水平に移動し、パージガスPのチャネル(複数可)を通って処理領域から出る。基板がガス分配アセンブリの一方の端部から他方の端部まで移動することで、基板は処理ガスの各々に順に曝露され、基板表面上に層が形成されることになる。
一部の実施形態では、ガス分配アセンブリ120は、単一のインジェクタユニットで作製された剛性の静止体である。一又は複数の実施形態では、ガス分配アセンブリ120は、図2に示しているように、複数の個別セクタ(例えば、複数のインジェクタユニット122)で構成される。単一ピース体と複数セクタ体のいずれであっても、説明されている本開示の様々な実施形態と共に使用されうる。
サセプタアセンブリ140は、ガス分配アセンブリ120の下方に配置される。サセプタアセンブリ140は、上面141と、上面141における少なくとも1つの凹部142とを含む。サセプタアセンブリ140は、底面143及びエッジ144も有する。凹部142は、処理される基板60の形状及びサイズに応じて、任意の好適な形状及びサイズでありうる。図1に示す実施形態では、凹部142はウエハの底部を支持するための平らな底部を有しているが、凹部の底部は変化しうる。一部の実施形態では、凹部は、凹部の外周エッジの周辺に、ウエハの外周エッジを支持するようサイズ決定される段差領域を有する。ウエハの外周エッジの、この段差によって支持される分量は、例えば、ウエハの厚さ、及び、ウエハの裏側に既にあるフィーチャの存在に応じて、変動しうる。
一部の実施形態では、図1に示しているように、サセプタアセンブリ140の上面141における凹部142は、凹部142内で支持される基板60が、サセプタ140の上面141と実質的に同一平面の上面61を有するように、サイズ決定される。この明細書及び付随する特許請求の範囲において、「実質的に同一平面(substantially coplanar)」という文言は、ウエハの上面とサセプタアセンブリの上面が、±0.2mm以内で同一平面になることを意味する。一部の実施形態では、これらの上面が、±0.15mm、±0.10mm、又は±0.05mmの範囲内で同一平面になる。
図1のサセプタアセンブリ140は、サセプタアセンブリ140を上昇させ、下降させ、かつ回転させることが可能な、支持ポスト160を含む。サセプタアセンブリは、支持ポスト160の中央内部にヒータ又はガスライン又は電子部品を含みうる。支持ポスト160は、サセプタアセンブリ140とガス分配アセンブリ120との間の間隙を広げたり狭めたりして、サセプタアセンブリ140を適切な位置へと移動させる、主たる手段でありうる。サセプタアセンブリ140は、サセプタアセンブリ140とガス分配アセンブリ120との間に所定の間隙170を創出するためにサセプタアセンブリ140に対してマイクロ調整を行いうる、微調整アクチュエータ162も含みうる。一部の実施形態では、間隙170の距離は、約0.1mm〜約5.0mmの範囲内、又は約0.1mm〜約3.0mmの範囲内、又は約0.1mm〜約2.0mmの範囲内、又は約0.2mm〜約1.8mmの範囲内、又は約0.3mm〜約1.7mmの範囲内、又は約0.4mm〜約1.6mmの範囲内、又は約0.5mm〜約1.5mmの範囲内、又は約0.6mm〜約1.4mmの範囲内、又は約0.7mm〜約1.3mmの範囲内、又は約0.8mm〜約1.2mmの範囲内、又は約0.9mm〜約1.1mmの範囲内であるか、或いは約1mmである。
図に示している処理チャンバ100は、内部でサセプタアセンブリ140が複数の基板60を保持しうる、カルーセル型チャンバである。図2に示しているように、ガス分配アセンブリ120は、複数の別個のインジェクタユニット122を含んでよく、各インジェクタユニット122は、インジェクタユニットの下方でウエハが移動するにつれてウエハ上に膜を堆積させることが可能である。サセプタアセンブリ140上の、ほぼ対向している両側に配置された、2つのパイ型インジェクタユニット122が図示されている。インジェクタユニット122のこの数は、例示目的のためにのみ示されている。より多い又はより少ない数のインジェクタユニット122が含まれうることが、理解されよう。一部の実施形態では、サセプタアセンブリ140の形状に適合する形状を形成するのに十分な数のパイ型インジェクタユニット122が存在する。一部の実施形態では、個々のパイ型インジェクタユニット122は各々、他のインジェクタユニット122のいずれにも影響を与えることなく、個別に移動し、取り外され、かつ/又は交換されうる。例えば、ロボットがサセプタアセンブリ140とガス分配アセンブリ120との間の領域にアクセスして、基板60をローディング/アンローディングすることを可能にするために、1つのセグメントが上昇しうる。
複数のウエハが同じプロセスフローを経るようにこれらのウエハを同時に処理するために、複数のガスインジェクタを有する処理チャンバが使用されうる。例えば、図3に示しているように、処理チャンバ100は、4つのガスインジェクタアセンブリ及び4つの基板60を有する。処理開始時に、基板60がインジェクタアセンブリ30の間に配置されうる。サセプタアセンブリ140を45o回転させること17により、インジェクタアセンブリ120の間にある各基板60が、インジェクタアセンブリ120の下の点線の円で図示しているように、膜堆積のためにインジェクタアセンブリ120の方に移動することになる。さらに45°回転させると、基板60はインジェクタアセンブリ30から離れるように移動する。空間的ALDインジェクタを用いて、インジェクタアセンブリに対してウエハが移動している間に、ウエハ上に膜が堆積される。一部の実施形態では、基板60がインジェクタアセンブリ120の下方で停止することを防止するインクリメント(increment)ごとに、サセプタアセンブリ140が回転する。基板60の数とガス分配アセンブリ120の数は、同じでありうるか、又は異なりうる。一部の実施形態では、ガス分配アセンブリが存在するのと同じ数の、処理されるウエハが存在する。一又は複数の実施形態では、処理されるウエハの数は、ガス分配アセンブリの数の分数又は整数倍になる。例えば、4つのガス分配アセンブリが存在する場合、4xの処理されるウエハが存在し、ここでxは1以上の整数値である。
図3に示す処理チャンバ100は、実現可能な一構成を表しているにすぎず、本開示の範囲を限定すると解釈すべきではない。ここでは、処理チャンバ100は複数のガス分配アセンブリ120を含む。図示している実施形態では、処理チャンバ100の周囲に均等に離間した4つのガス分配アセンブリ(インジェクタアセンブリ30とも称される)が存在する。図示している処理チャンバ100は八角形であるが、これは実現可能な一形状であり、本開示の範囲を限定すると解釈すべきではないことが、当業者には理解されよう。図示されているガス分配アセンブリ120は台形であるが、単一の円形構成要素であってもよく、又は、図2に示すもののように、複数のパイ型セグメントで構成されてもよい。
図3に示す実施形態は、ロードロックチャンバ180、又は、バッファステーションのような補助チャンバを含む。このチャンバ180は、例えば基板(基板60とも称される)がチャンバ100にローディング/チャンバ100からアンローディングされることを可能にするために、処理チャンバ100一面に接続されうる。サセプタ上に基板を移動させるために、チャンバ180内にウエハロボットが配置されうる。
カルーセル(例えばサセプタアセンブリ140)の回転は、連続的であっても、非連続的であってもよい。連続処理においては、ウエハがインジェクタの各々に順に曝露されるように、ウエハは常に回転している。非連続処理においては、ウエハは、インジェクタ領域に移動して停止し、次いで、インジェクタ間の領域84に移動して停止しうる。例えば、カルーセルは、ウエハがインジェクタ間領域からインジェクタを越えて移動し(又は、インジェクタに隣接して停止し)、カルーセルが再度止まりうる次のインジェクタ間領域へと続いて移動するように、回転しうる。インジェクタ間で止まることにより、各層の堆積と堆積との間に、追加の処理ステップ(例えばプラズマへの曝露)のための時間が提供されうる。
図4は、インジェクタユニット122と称されうる、ガス分配アセンブリ220の一セクタ又は一部分を示している。インジェクタユニット122は、個別に、又は他のインジェクタユニットと組み合わされて、使用されうる。例えば、図5に示しているように、図4のインジェクタユニット122が4つ組み合わされて、単一のガス分配アセンブリ220を形成する。(図を分かりやすくするために、4つのインジェクタユニットを分ける線は図示していない。)図4のインジェクタユニット122は、パージガスポート155及び真空ポート145に加えて、第1反応性ガスポート125と第2反応性ガスポート135の両方を有しているが、インジェクタユニット122に、これらの構成要素の全てが必要なわけではない。
図4と図5の両方を参照するに、一又は複数の実施形態によるガス分配アセンブリ220は、複数のセクタ(又は複数のインジェクタユニット122)を備えてよく、各セクタは同一であるか、又は異なっている。ガス分配アセンブリ220は、処理チャンバの中に配置され、かつ、ガス分配アセンブリ220の正面121に、複数の細長いガスポート125、135、155と、細長い真空ポート145とを備える。複数の細長いガスポート125、135、155、及び細長い真空ポート145は、内周エッジ123に隣接したエリアから、ガス分配アセンブリ220の外周エッジ124に隣接したエリアに向かって延在する。図示している複数のガスポートは、第1反応性ガスポート125と、第2反応性ガスポート135と、第1反応性ガスポートと第2反応性ガスポートの各々を取り囲む真空ポート145と、パージガス真空ポート155とを含む。
図4又は図5に示す実施形態を参照して、ポートは少なくとも内周領域周辺から少なくとも外周領域周辺まで延在する、と記述したとしても、ポートは、単に内側領域から外側領域まで径方向に延在するだけではないことがある。ポートは、真空ポート145が反応性ガスポート125及び反応性ガスポート135を取り囲んでいるように、接線方向に延在しうる。図4及び図5に示す実施形態では、楔形の反応性ガスポート125、135は、内周領域及び外周領域に隣接したエッジを含む全てのエッジで、真空ポート145によって取り囲まれている。
図4を参照するに、基板が経路127に沿って移動するにつれて、基板表面の各部分は様々な反応性ガスに曝露される。経路127を辿ると、基板は、パージガスポート155、真空ポート145、第1反応性ガスポート125、真空ポート145、パージガスポート155、真空ポート145、第2反応性ガスポート135、そして、真空ポート145に曝露される、すなわちそれらに「遭遇する(see)」ことになる。ゆえに、図4に示す経路127の終わりには、基板は第1反応性ガス125及び第2反応性ガス135からのガス流に曝露されて、層が形成されている。図示しているインジェクタユニット122は四分円をなしているが、より大型又はより小型のものである可能性もある。図5に示すガス分配アセンブリ220は、連続的に接続された、4つの図4のインジェクタユニット122の組み合わせと見なされうる。
図4のインジェクタユニット122は、反応性ガス同士を分離させるガスカーテン150を示している。「ガスカーテン(gas curtain)」という語は、反応性ガスを混合しないように分離させるガス流又は真空の任意の組み合わせを説明するために使用される。図4に示すガスカーテン150は、真空ポート145の第1反応性ガスポート125に隣り合った部分、中間のパージガスポート155、及び、真空ポート145の第2反応性ガスポート135に隣り合った部分を含む。ガス流と真空とのこの組み合わせは、第1反応性ガスと第2反応性ガスとの気相反応を防止する、又は最小化するために、使用されうる。
図5を参照するに、ガス分配アセンブリ220からのガス流と真空との組み合わせは、複数の処理領域250の分離を形成する。処理領域は、250同士の間のガスカーテン150を用いて、個々の反応性ガスポート125、135の周囲に大まかに画定される。図5に示す実施形態は、8つの別個のガスカーテン150を間に有する、8つの別個の処理領域250を構成している。処理チャンバは、少なくとも2つの処理領域を有しうる。一部の実施形態では、少なくとも3つ、4つ、5つ、6つ、7つ、8つ、9つ、10、11、又は12の処理領域が存在する。
処理中に、基板は、どの所与の時点においても2つ以上の処理領域250に曝露されうる。しかし、別々の処理領域に曝露されている部分は、その2つを分離するガスカーテンを有することになる。例えば、基板の先行エッジが第2反応性ガスポート135を含む処理領域に入る場合、基板の中央部はガスカーテン150の下にあり、かつ、基板の後続エッジは第1反応性ガスポート125を含む処理領域内にあることになる。
処理チャンバ100に接続された、例えばロードロックチャンバでありうるファクトリインターフェース280が図示されている。参照のフレームを提供するために、基板60は、ガス分配アセンブリ220に重ね合わせて図示されている。基板60は、多くの場合、サセプタアセンブリ上に置かれて、ガス分配アセンブリ120(ガス分配プレートとも称される)の正面121の付近に保持されうる。基板60は、ファクトリインターフェース280を介して、処理チャンバ100内の基板支持体又はサセプタアセンブリ上にローディングされる(図3参照)。処理領域の中に配置された基板60が図示されうるが、それは、この基板が、第1反応性ガスポート125に隣接して、かつ、2つのガスカーテン150a、150bの間に配置されているからである。基板60を経路127に沿って回転させることにより、この基板は、処理チャンバ100をぐるりと反時計回りに移動することになる。ゆえに、基板60は、第1処理領域250aから第8処理領域250hまでの処理領域に曝露されることになり、第1と第8の2つの領域の間に全ての処理領域が含まれる。図示されているガス分配アセンブリを使用する、処理チャンバを回る各サイクルでは、基板60は、第1反応性ガス及び第2反応性ガスの4つのALDサイクルに曝露されることになる。
図5のようなバッチ処理装置における従来型のALDシーケンスは、インジェクタ間のポンプ/パージ区画を用いて、空間的に分離されたインジェクタからの化学物質AとBの流れをそれぞれ維持する。この従来型のALDシーケンスは開始・終了パターンを有し、このパターンは、堆積された膜の不均一性をもたらすことがある。本発明の発明者は、驚くべきことに、空間的ALDバッチ処理チャンバ内で実施される時間ベースのALDプロセスが、より均一性の高い膜を提供することを発見した。ガスA、反応性ガスがない状態、ガスB、反応性ガスがない状態に曝露していくという基本プロセスは、膜に開始・終了パターンが形成されることを避けるために、インジェクタの下で基板をスイープして、化学物質Aと化学物質Bのそれぞれで基板を飽和させるためのものである。本発明の発明者は、驚くべきことに、ターゲット膜厚が薄く(例えば20ALDサイクル未満で)、開始・終了パターンがウエハ均一性性能に大きな影響を与える場合に、時間ベースの手法が特に有利であることを見い出した。本発明の発明者は、本書で説明しているSiCN、SiCO、及びSiCONの膜を創出する反応プロセスが、時間領域(time−domain)プロセスでは達成されない可能性があることも発見した。処理チャンバをパージするために必要な時間量が、基板表面からの材料の剥離をもたらす。説明している空間的ALDプロセスでは、ガスカーテンの下にある時間が短いため、この剥離は発生しない。
したがって、本開示の実施形態は、複数の処理領域250a〜250hを有し、各処理領域がガスカーテン150によって隣接した領域から分離されている、処理チャンバ100(例えば、図5に示す処理チャンバ)を伴う処理方法を対象とする。処理チャンバの中のガスカーテンと処理領域の数は、ガス流の配置に応じて、任意の好適な数でありうる。図5に示す実施形態は、8つのガスカーテン150と8つの処理領域250a〜250hとを有している。ガスカーテンの数は、概括的に、処理領域の数と同じか、それを上回る。例えば、領域250aが反応性ガス流を有さず、ローディングエリアとしての役割を果たすにすぎない場合、処理チャンバは7つの処理領域と8つのガスカーテンとを有することになる。
複数の基板60は、図1及び図2に示すサセプタアセンブリ140などの基板支持体上に配置される。複数の基板60は、処理ために処理領域をぐるりと回転する。概括的に、ガスカーテン150は、チャンバ内に反応性ガスが流れ込まない期間を含め、処理の間ずっと稼働している(ガスが流れ、真空がオンになっている)。
第1反応性ガスAが処理領域250のうちの一又は複数の中に流れ込んでいる間に、不活性ガスは、第1反応性ガスAが流れ込んでいない処理領域250に流し込まれる。例えば、第1反応性ガスが処理領域250bから処理領域250hまでの処理領域に流れ込む場合、不活性ガスは、処理領域250aに流れ込む。不活性ガスは、第1反応性ガスポート125又は第2反応性ガスポート135を通じて流されうる。
処理領域内の不活性ガス流は、一定であることも、変動することもある。一部の実施形態では、反応性ガスは不活性ガスと共流する。不活性ガスは、キャリア及び希釈物として作用する。反応性ガスの量はキャリアガスに対して少ないことから、共流によって、隣接した領域間の圧力の差異を低減することで、処理領域間のガス圧のバランスを取ることがより容易になりうる。
したがって、本開示の一又は複数の実施形態は、図5に示すもののようなバッチ処理チャンバを利用する、処理方法を対象とする。基板60は、複数の処理領域250を有する処理チャンバ250内に配置され、各区画は、ガスカーテン150によって隣接した区画から分離される。基板表面の少なくとも一部分は、処理チャンバの第1区画250a内で第1プロセス条件に曝露される。例えば、第1プロセス条件は、ケイ素含有前駆体と、オプションのキャリアガスとを含む。第1区画250aにおいて、第1ケイ素含有膜が形成されうる。
基板表面は、ガスカーテン150を通って第2区画250bへと、横方向に移動する。ここで、第1ケイ素含有膜は、第2ケイ素含有膜を形成するために、炭素含有前駆体を含む第2プロセス条件に曝露される。
基板表面は、ガスカーテン150を通って処理チャンバの第3区画250cへと、第2ケイ素含有膜を伴って横方向に移動する。第3区画は、プラズマ曝露領域、若しくはパージガス領域でありうる。図5に示す実施形態では、8つの区画が存在している。3つのプロセス条件が存在する場合、次いで、堆積の形状寸法のバランスを取るためにパージ区画が使用されることがあり、それにより、処理チャンバを通って完全に一周することで2つの層が形成されることになる。したがって、第2ケイ素含有膜を有する基板は、ガスカーテン150を通って、第3区画250c若しくは第4区画250dに移動する。第3区画250c又は第4区画250dにおいて、第2ケイ素含有膜がプラズマに曝露されて、ケイ素−炭素膜が形成される。
酸素への曝露を含む一実施形態では、第3区画250cが酸素源ガスを含むこともある。例えば、第2ケイ素含有膜は、第4区画250dに入る前に、3区画250c内で酸化ガスに曝露されうる。
基板表面は次いで、第4区画250dからガスカーテン150を通って処理チャンバの別の領域へと、横方向に移動しうる。処理チャンバの他の領域において、基板表面は、例えば、追加の第1、第2、第3、及び/又は第4のプロセス条件に反復的に曝露されて、所定の膜厚を有する膜が形成されうる。
実施例
種々のプラズマガスを使用して、いくつかのSiCN膜が形成された。膜の各々は、約6.5Torrの圧力及び約6rpm回転スピードを用いて、約550oCで形成された。ジクロロシランが、約400sccmの流量で第1区画内に流し込まれた。エチレンジアミンが、約250sccmの流量で第2区画内に流し込まれた。第4区画内に生成されたプラズマは、表1に示すように変動した。第3区画はパージガス流を有した。
Figure 0006968701
種々のプラズマ出力を使用して、いくつかのSiCN膜が形成された。膜の各々は、約6.5Torrの圧力及び約6rpm回転スピードを用いて、約550℃で形成された。ジクロロシランが、約400sccmの流量で第1区画内に流し込まれた。エチレンジアミンが、約250sccmの流量で第2区画内に流し込まれた。第4区画内に生成されたプラズマはAr/H混合物(1200/300)であり、その出力は表2に示すように変動した。第3区画はパージガス流を有した。
Figure 0006968701
したがって、本開示の一部の実施形態は、約1.950、約1.960、約1.970、約1.980、約1.990、又は約2.000以上の屈折率、及び/又は、約0.25、約0.20、約0.15、約0.10、約0.05、又は約0.03未満の湿式エッチング速度比を有する、SiCN膜を対象とする。一部の実施形態では、SiCN膜は、約5.8、約5.6、約5.4、約5.2、約5.0、約4.8、約4.6、約4.5、又は約4.4以下の誘電率を有する。
一又は複数の実施形態により、基板は、層を形成する前及び/又は形成した後に処理を経る。この処理は、同じチャンバ内、又は一又は複数の別個の処理チャンバ内で実施されうる。一部の実施形態では、基板は、第1チャンバから、さらなる処理のために別個の第2チャンバに移動する。基板は、第1チャンバから別個の処理チャンバに直接移動しうるか、又は、第1チャンバから一又は複数の移送チャンバに移動し、次いで別個の処理チャンバへと移動しうる。従って、処理装置は、移送ステーションと連通している複数のチャンバを備えうる。この種の装置は「クラスタツール(cluster tool)」又は「クラスタシステム(clustered system)」などと称されうる。
概括的に、クラスタツールは、基板の中心決め及び配向決め、ガス抜き、アニール処理、堆積、並びに/又は、エッチングを含む様々な機能を実施する、複数のチャンバを備えるモジュールシステムである。一又は複数の実施形態により、クラスタツールは、少なくとも、第1チャンバ及び中央移送チャンバを含む。中央移送チャンバは、複数の処理チャンバ及び複数のロードロックチャンバの間で基板を往復搬送することが可能なロボットを収納しうる。移送チャンバは、典型的には、真空条件に維持され、かつ、一つのチャンバから、別のチャンバ及び/又はクラスタツールのフロントエンドに配置されたロードロックチャンバに、基板を往復搬送するための中間段階を提供する。本開示に適合しうる、周知の2つのクラスタツールは、Centura(登録商標)とEndura(登録商標)であり、両方ともカリフォルニア州Santa ClaraのApplied Materials, Inc.から入手可能である。1つのかかる段階的真空基板処理装置の詳細が、Tepman他が1993年2月16日に発行した、「段階的真空ウエハ処理装置及び方法(Staged−Vacuum Wafer Processing Apparatus and Method)」という名称の米国特許第5、186、718号で開示されている。しかし、開示されているチャンバの配置及び組合せは、本書で説明しているプロセスの具体的なステップを実施するという目的のために、変更されうる。使用されうる他の処理チャンバは、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、予洗浄、化学洗浄、RTPなどの熱処理、プラズマ窒化、ガス抜き、配向決め、ヒドロキシル化、及びその他の基板処理を含むが、それらに限定されるわけではない。クラスタツールのチャンバ内でプロセスを実行することにより、後続膜の堆積に先立って酸化を起こすことなく、空気中の不純物による基板の表面汚染を回避することが可能である。
一又は複数の実施形態により、基板は、連続的に真空又は「ロードロック(load lock)」の条件下にあり、一つのチャンバから次のチャンバに移動する時に周囲空気に曝露されない。ゆえに、移送チャンバは、真空下にあり、真空圧力のもとで「ポンプダウン(pumped down)」される。処理チャンバ又は移送チャンバ内には、不活性ガスが存在しうる。一部の実施形態では、反応物質の一部又は全部を除去するために、不活性ガスがパージガスとして使用される。一又は複数の実施形態により、反応物質が堆積チャンバから移送チャンバ及び/又は追加の処理チャンバに移動することを防止するために、堆積チャンバの出口においてパージガスが注入される。ゆえに、不活性ガスの流れがチャンバの出口にカーテンを形成する。
基板は、単一の基板がローディングされ、処理され、かつ、別の基板が処理される前にアンローディングされる、枚葉式基板堆積チャンバ内で処理されうる。基板は、複数の基板が個々に、チャンバの第一部分の中へとローディングされ、チャンバを通って移動し、かつ、チャンバの第二部分からアンローディングされる、コンベヤシステムに類似した連続的な様態で、処理されることも可能である。チャンバ及び関連コンベヤシステムの形状は、直線経路又は曲線経路を形成しうる。加えて、処理チャンバは、複数の基板が、中心軸の周囲で移動し、かつ、カルーセル経路全体を通じて、堆積、エッチング、アニール処理、洗浄などのプロセスに曝露される、カルーセルでありうる。
処理中に、基板は加熱されうるか、又は冷却されうる。かかる加熱又は冷却は、基板支持体の温度を変化させること、及び、基板表面に加熱された又は冷却されたガスを流すことを含む(ただしそれらに限定されるわけではない)、任意の好適な手段によって達成されうる。一部の実施形態では、基板支持体は、伝導によって基板温度を変化させるよう制御されうる、ヒータ/クーラを含む。一又は複数の実施形態では、基板温度を局所的に変化させるために、用いられるガス(反応性ガス若しくは不活性ガス)が加熱又は冷却される。一部の実施形態では、基板温度を対流によって変化させるために、ヒータ/クーラは、基板表面に隣接するようにチャンバ内に配置される。
基板は、処理中に、静止していることも、回転することも可能である。回転する基板は、連続的又は非連続に段階的に、回転しうる。例えば、基板は、処理全体を通じて回転しうるか、或いは、種々の反応性ガス又はパージガスへの曝露と曝露の間に、少しずつ回転しうる。処理中に基板を(連続的若しくは段階的に)回転させることは、例えばガス流形状の局所的可変性の影響を最小化することによって、より均一な堆積又はエッチングの生成に役立ちうる。
原子層堆積型チャンバ内で、基板は、空間的若しくは時間的に分離されたプロセスにおいて、第1及び第2の前駆体に曝露されうる。時間的ALDは、第1前駆体がチャンバ内に流れ込んで表面と反応する、伝統的なプロセスである。第2前駆体を流す前に、第1前駆体はチャンバからパージされる。空間的ALDでは、第1前駆体と第2前駆体の両方が、同時にチャンバに流されるが、前駆体の混合を防止する領域が流れと流れの間に存在するように空間的に分離される。空間的ALDでは、基板がガス分配プレートに対して移動するか、又はその逆である。
1つのチャンバ内で方法の一又は複数の部分が実行される実施形態では、プロセスは空間的ALDプロセスでありうる。上述の化学反応のうちの一又は複数は親和性(compatible)ではない(すなわち、基板表面以外での反応をもたらし、かつ/又は、チャンバに堆積する)ことがあるが、空間的分離により、試薬同士が気相で互いに曝露されないことが確実になる。例えば、時間的ALDは堆積チャンバのパージを伴う。しかし、実際には、追加試薬を流し込む前に余剰試薬の全てをチャンバの外にパージすることが不可能な時もある。したがって、チャンバ内に残存試薬があれば、それが反応しうる空間的分離があることで、余剰試薬がパージされる必要がなくなり、相互汚染は限定的になる。更に、処理チャンバをパージするのに多くの時間を要することがあり、したがって、パージ段階をなくすことによってスループットが増大しうる。
この明細書全体を通じての、「一実施形態(one embodiment)」、「ある種の実施形態(certain embodiments)」、「一又は複数の実施形態(one or more embodiments)」、又は、「実施形態(an embodiment)」に対する言及は、実施形態に関連して説明されている特定の特徴、構造、材料、又は特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。ゆえに、この明細書全体の様々な箇所での「一又は複数の実施形態で」、「ある種の実施形態で」、「一実施形態で」、又は「実施形態において」などの表現の表出は、必ずしも、本開示の同一の実施形態に言及するものではない。更に、特定の特徴、構造、材料、又は特性は、一又は複数の実施形態において、任意の好適な様態で組み合わされうる。
本書の開示は詳細な実施形態を参照して説明されているが、これらの実施形態は本開示の原理及び用途の例示にすぎないことを、理解されたい。本開示の本質及び範囲から逸脱することなく、本開示の方法及び装置に対して様々な改変及び変形を行いうることが、当業者には明らかになろう。ゆえに、本開示は、付随する特許請求の範囲及びその均等物に含まれる改変例及び変形例を含むことが意図されている。

Claims (15)

  1. 第1ケイ素含有膜を形成するために、処理チャンバの、第1ガスポートを備える第1区画内で基板表面の少なくとも一部分をケイ素含有前駆体に曝露することと、
    炭素を含む第2ケイ素含有膜を形成するために、前記処理チャンバの、第2ガスポートを備える第2区画内で前記第1ケイ素含有膜を炭素含有前駆体に曝露することと、
    ケイ素−炭素膜を形成するために、前記処理チャンバの第3区画内で前記第2ケイ素含有膜をプラズマに曝露することとを含み、
    前記処理チャンバの隣接する区画は、不活性ガスにより分離され
    前記第1ガスポート及び前記第2ガスポートのそれぞれは、それぞれの真空ポートによって取り囲まれる、処理方法。
  2. 前記ケイ素含有前駆体がハロゲン化ケイ素を含む、請求項1に記載の処理方法。
  3. 前記ケイ素含有前駆体が実質的にハロゲン化ケイ素からなる、請求項2に記載の処理方法。
  4. 前記炭素含有前駆体が炭素原子及び窒素原子を有する化合物を含む、請求項1に記載の処理方法。
  5. 前記炭素含有前駆体が実質的に酸素原子を含まない、請求項4に記載の処理方法。
  6. 前記炭素含有前駆体が、アルキルアミン、ジアミン、ポリアミン、及び/又は環状アミン[一級アミン、二級アミン、三級アミン、ヘテロ環]のうちの一又は複数を含む、請求項4に記載の処理方法。
  7. 前記炭素含有前駆体が炭素原子及び酸素原子を有する化合物を含む、請求項1に記載の処理方法。
  8. 前記炭素含有前駆体が実質的に窒素原子を含まない、請求項7に記載の処理方法。
  9. 前記炭素含有前駆体が、CO、アルコール、及び/又はエーテルのうちの一又は複数を含む、請求項7に記載の処理方法。
  10. 前記炭素含有前駆体が炭素原子、酸素原子、及び窒素原子を含む、請求項1に記載の処理方法。
  11. 前記炭素含有前駆体が、アルキルアミノ−アルコール、或いは、炭素原子と窒素原子、及び/又は、炭素原子と酸素原子を有する複数の化合物の混合物、のうちの一又は複数を含む、請求項10に記載の処理方法。
  12. 約200℃〜約650℃の範囲内の温度で実施される、請求項1に記載の処理方法。
  13. ケイ素−炭素−酸素膜を形成するために、前記第2ケイ素含有膜を、前記プラズマへの曝露に先立って酸素源に曝露することを更に含む、請求項1に記載の処理方法。
  14. 前記プラズマが少なくとも1つの不活性種と酸素種とを含み、前記ケイ素−炭素膜は酸素を更に含む、請求項1に記載の処理方法。
  15. 前記プラズマが少なくとも1つの不活性種と窒素含有前駆体とを含み、前記ケイ素−炭素膜は窒素を更に含む、請求項1に記載の処理方法。
JP2017557139A 2015-05-02 2016-04-29 低誘電率かつ低湿式エッチング速度の誘電体薄膜を堆積させるための方法 Active JP6968701B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562156257P 2015-05-02 2015-05-02
US62/156,257 2015-05-02
PCT/US2016/030095 WO2016178991A1 (en) 2015-05-02 2016-04-29 Methods for depositing low k and low wet etch rate dielectric thin films

Publications (2)

Publication Number Publication Date
JP2018515921A JP2018515921A (ja) 2018-06-14
JP6968701B2 true JP6968701B2 (ja) 2021-11-17

Family

ID=57204178

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017557139A Active JP6968701B2 (ja) 2015-05-02 2016-04-29 低誘電率かつ低湿式エッチング速度の誘電体薄膜を堆積させるための方法

Country Status (5)

Country Link
US (2) US9799511B2 (ja)
JP (1) JP6968701B2 (ja)
KR (1) KR20180002774A (ja)
TW (1) TWI715572B (ja)
WO (1) WO2016178991A1 (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102646828B1 (ko) * 2017-04-13 2024-03-11 어플라이드 머티어리얼스, 인코포레이티드 저-k 막들의 증착을 위한 방법 및 장치
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR20240010760A (ko) 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
CN115233183A (zh) * 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
KR102155281B1 (ko) * 2017-07-28 2020-09-11 주성엔지니어링(주) 기판처리장치의 가스분사장치, 기판처리장치, 및 기판처리방법
KR102649084B1 (ko) * 2018-09-21 2024-03-20 어플라이드 머티어리얼스, 인코포레이티드 알루미늄 함유 막들을 이용한 갭충전
US11359281B2 (en) * 2020-01-26 2022-06-14 Applied Materials, Inc. Selective deposition of SiCON by plasma ALD

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6410462B1 (en) * 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
KR100468847B1 (ko) 2002-04-02 2005-01-29 삼성전자주식회사 알콜을 이용한 금속산화물 박막의 화학기상증착법
US20040058293A1 (en) * 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7732342B2 (en) 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007221039A (ja) * 2006-02-20 2007-08-30 National Institute For Materials Science 絶縁膜および絶縁膜材料
JP4820864B2 (ja) 2006-03-30 2011-11-24 三井造船株式会社 プラズマ原子層成長方法及び装置
US8080282B2 (en) 2006-08-08 2011-12-20 Asm Japan K.K. Method for forming silicon carbide film containing oxygen
US7727864B2 (en) 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
KR20110069852A (ko) * 2008-10-10 2011-06-23 알타 디바이씨즈, 인크. 연속적인 공급 화학 기상 증착
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
JP5547418B2 (ja) * 2009-03-19 2014-07-16 株式会社Adeka 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8466073B2 (en) * 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9165761B2 (en) * 2011-08-25 2015-10-20 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, method for processing substrate, substrate processing apparatus and recording medium
US8569184B2 (en) * 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
JP6150506B2 (ja) * 2011-12-27 2017-06-21 東京エレクトロン株式会社 成膜方法
JP5806612B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 シリコン酸炭窒化膜の形成方法
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP5882777B2 (ja) * 2012-02-14 2016-03-09 東京エレクトロン株式会社 成膜装置
TWI522490B (zh) * 2012-05-10 2016-02-21 應用材料股份有限公司 利用微波電漿化學氣相沈積在基板上沈積膜的方法
WO2013177326A1 (en) 2012-05-25 2013-11-28 Advanced Technology Materials, Inc. Silicon precursors for low temperature ald of silicon-based thin-films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
JP6022276B2 (ja) * 2012-09-20 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
SG2013083654A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
JP5971144B2 (ja) * 2013-02-06 2016-08-17 東京エレクトロン株式会社 基板処理装置及び成膜方法
JP6146160B2 (ja) * 2013-06-26 2017-06-14 東京エレクトロン株式会社 成膜方法、記憶媒体及び成膜装置
JP6154215B2 (ja) * 2013-06-28 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6246558B2 (ja) * 2013-10-29 2017-12-13 東京エレクトロン株式会社 シリコン酸炭窒化物膜、シリコン酸炭化物膜、シリコン酸窒化物膜の成膜方法および成膜装置

Also Published As

Publication number Publication date
US10147599B2 (en) 2018-12-04
US9799511B2 (en) 2017-10-24
TW201702416A (zh) 2017-01-16
KR20180002774A (ko) 2018-01-08
JP2018515921A (ja) 2018-06-14
WO2016178991A1 (en) 2016-11-10
TWI715572B (zh) 2021-01-11
US20160322214A1 (en) 2016-11-03
US20180040470A1 (en) 2018-02-08

Similar Documents

Publication Publication Date Title
JP6968701B2 (ja) 低誘電率かつ低湿式エッチング速度の誘電体薄膜を堆積させるための方法
JP6946320B2 (ja) スペーサ用の窒化ケイ素膜の選択的堆積
JP7125343B2 (ja) 表面毒化処理によるボトムアップ式間隙充填
KR102579784B1 (ko) 표면 블록 화학작용을 이용한 박막 유전체의 선택적 증착
JP6992089B2 (ja) 誘電体膜の選択的堆積のための方法及び装置
TWI753523B (zh) 高溫熱原子層沉積氮化矽膜
US11549181B2 (en) Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US11702742B2 (en) Methods of forming nucleation layers with halogenated silanes
JP6867382B2 (ja) 共形及び間隙充填型のアモルファスシリコン薄膜の堆積
KR20150121217A (ko) SiCN 또는 SiCON을 포함하는 필름의 저온 원자층 증착
JP6913752B2 (ja) 核形成のない間隙充填aldプロセス
US20160307748A1 (en) Deposition Of Si-H Free Silicon Nitride
US11515144B2 (en) In-situ film annealing with spatial atomic layer deposition
KR20200019769A (ko) 이트륨-함유 막들을 증착하기 위한 방법들 및 장치
TW202117056A (zh) 使用鹵化矽烷基醯胺之SiCO(N)原子層沉積的方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190416

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200710

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200714

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201012

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20201117

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210316

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20210316

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20210324

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20210330

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20210416

C211 Notice of termination of reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C211

Effective date: 20210420

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20210629

C23 Notice of termination of proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C23

Effective date: 20210824

C03 Trial/appeal decision taken

Free format text: JAPANESE INTERMEDIATE CODE: C03

Effective date: 20210928

C30A Notification sent

Free format text: JAPANESE INTERMEDIATE CODE: C3012

Effective date: 20210928

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211027

R150 Certificate of patent or registration of utility model

Ref document number: 6968701

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150