JP6867382B2 - 共形及び間隙充填型のアモルファスシリコン薄膜の堆積 - Google Patents

共形及び間隙充填型のアモルファスシリコン薄膜の堆積 Download PDF

Info

Publication number
JP6867382B2
JP6867382B2 JP2018520551A JP2018520551A JP6867382B2 JP 6867382 B2 JP6867382 B2 JP 6867382B2 JP 2018520551 A JP2018520551 A JP 2018520551A JP 2018520551 A JP2018520551 A JP 2018520551A JP 6867382 B2 JP6867382 B2 JP 6867382B2
Authority
JP
Japan
Prior art keywords
exposure
substrate surface
precursor
amorphous
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018520551A
Other languages
English (en)
Other versions
JP2018533219A (ja
Inventor
イーホン チェン,
イーホン チェン,
ルイ チェン,
ルイ チェン,
プラミット マンナ,
プラミット マンナ,
ケルヴィン チャン,
ケルヴィン チャン,
カーティック ジャナキラマン,
カーティック ジャナキラマン,
アブヒジット バス マリック,
アブヒジット バス マリック,
シュリーニヴァース ガンディコッタ,
シュリーニヴァース ガンディコッタ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2018533219A publication Critical patent/JP2018533219A/ja
Application granted granted Critical
Publication of JP6867382B2 publication Critical patent/JP6867382B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本開示は概して、薄膜を堆積させる方法に関する。詳細には、本開示は、アモルファスシリコンを含む膜を堆積させるためのプロセスに関する。
アモルファスシリコンは、半導体デバイス、フラットパネルディスプレイ、及び太陽電池に、広範に使用される。高アスペクト比フィーチャにおける共形性(すなわち良好なステップカバレッジ)又は間隙充填性能を伴う、アモルファスシリコン堆積プロセスの開発には、重大な技術的課題が残っている。従来型のLPCVDプロセスは、高温(>550°C)かつ低圧に限定され、したがって、不十分なステップカバレッジ及び/又は間隙充填性能を示す。PECVDプロセスも、良好なステップカバレッジ及び/又は間隙充填性能を付与するものではない。
アモルファスシリコン向けの従来型のCVDプロセスは、連続的な様態で行われる。堆積速度が速い場合、膜形成反応により生成される水素ガスが、集合して、膜内に捕捉されることになる。この捕捉は、膜内での気泡形成につながる。この問題を軽減するために、LPCVDプロセスは通常、堆積された膜における低H含有量を確実にするよう高温において、かつ、前駆体分圧を低くすることによって低堆積速度で行われ、そのため、上記の捕捉は発生しなくなる。
良好な共形性及びスループットを伴ってアモルファスシリコン膜を堆積させる方法が、当該技術分野において必要とされている。
本開示の一又は複数の実施形態は、水素を含むアウトガス可能な化学種を有するアモルファスシリコン膜を形成するために、基板表面をシリコン前駆体に曝露することを含む、処理方法を対象としている。アモルファスシリコン膜は、アモルファスシリコン膜からアウトガス可能な化学種を除去して、脱ガスされた(degassed)アモルファスシリコン膜を形成するために、不活性脱ガス環境に曝露される。
本開示の追加の実施形態は、処理チャンバ内に基板表面を配置することを含む、処理方法を対象とする。基板表面は、その上に少なくとも1つのフィーチャを有する。少なくとも1つのフィーチャは、底部、上部、及び側壁を有する間隙を作り出す。基板表面は、少なくとも1つのフィーチャ上に水素を含むアウトガス可能な化学種を有するアモルファス膜を形成するために、シリコン前駆体に曝露される。基板表面は、アモルファス膜からアウトガス可能な化学種を除去して脱ガスされたアモルファス膜を形成するために、不活性脱ガス環境に曝露される。脱ガスされたアモルファス膜を所定の厚さに成長させるために、前駆体への曝露と不活性脱ガス環境への曝露が反復される。
本開示の更なる実施形態は、複数の区域を備える処理チャンバ内に、基板表面を有する基板を置くことを含む、処理方法を対象とする。処理チャンバの各区域は、ガスカーテンによって隣接する区域から分離される。基板表面の少なくとも一部分が、処理チャンバの第1区域において第1プロセス条件に曝露される。第1プロセス条件は、水素を含むアウトガス可能な化学種を有するアモルファスシリコン膜を基板表面に堆積させるための、ジシランを含む。基板表面は、処理チャンバの第2区域へと、ガスカーテンを通って横方向に動かされる。基板表面は、処理チャンバの第2区域内で第2プロセス条件に曝露される。第2プロセス条件が、アウトガス可能な化学種を除去して脱ガスされたアモルファスシリコン膜を形成するために、アモルファスシリコン膜を脱ガスする。基板表面は、処理チャンバの第3区域へと、ガスカーテンを通って横方向に動かされる。基板表面の横方向移動を含む、第1区域及び第2区域への曝露は、所定の厚さの脱ガスされたアモルファスシリコン膜を形成するために反復される。
本発明の上述の特徴を詳しく理解し得るように、上記で簡単に要約されている本発明のより詳細な説明が実施形態を参照することによって得られ、実施形態の一部は付随する図面に示されている。しかし、本発明は他の等しく有効な実施形態も許容しうることから、付随する図面は、この発明の典型的な実施形態のみを示しており、したがって、発明の範囲を限定するとみなされるべきではないことに、留意されたい。
本開示の一又は複数の実施形態によるバッチ処理チャンバの断面図を示す。 本開示の一又は複数の実施形態によるバッチ処理チャンバの部分斜視図を示す。 本開示の一又は複数の実施形態によるバッチ処理チャンバの概略図を示す。 本開示の一又は複数の実施形態によるバッチ処理チャンバにおいて使用するための、くさび形ガス分配アセンブリの一部分の概略図を示す。 本開示の一又は複数の実施形態によるバッチ処理チャンバの概略図を示す。 図6Aから図6Cは、本開示の一又は複数の実施形態による間隙充填プロセスを示す。 図7Aから図7Fは、本開示の一又は複数の実施形態による間隙充填プロセスを示す。
本発明のいくつかの例示的な実施形態について説明する前に、本発明は以下の説明において明示される構造又はプロセスステップの詳細に限定されないということを、理解されたい。本発明は、他の実施形態が可能であり、かつ、様々な方法で実践又は実行されることが可能である。
本書において「基板(substrate)」とは、製造プロセス内で表面上に膜処理が実施される、任意の基板、又は、基板上に形成された任意の材料面のことである。例えば、処理が実施されうる基板表面は、用途に応じて、シリコン、酸化ケイ素、ストレインドシリコン、シリコンオンインシュレータ(SOI)、炭素がドープされた酸化シリコン、アモルファスシリコン、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、並びに、金属、金属窒化物、金属合金、及びその他の導電材料などの、他の任意の材料を含む。基板は半導体ウエハを含むが、それに限定されるわけではない。基板表面を研磨し、エッチングし、還元し、酸化させ、ヒドロキシル化し、アニールし、かつ/又はベイクするために、基板は前処理プロセスに曝露されることがある。本発明では、基板自体の表面に直接的に膜処理を行うことに加えて、開示されている膜処理ステップのうちの任意のものが、より詳細に後述するように、基板に形成された下部層に実施されることもある。「基板表面(substrate surface)」という語は、文脈から分かるように、かかる下部層を含むことを意図している。ゆえに、例えば、膜/層又は部分的な膜/層が基板表面上に堆積されていれば、新たに堆積される膜/層の曝露面が基板表面となる。
この明細書及び付随する特許請求の範囲において、「前駆体(precursor)」、「反応体(reactant)」、「反応性ガス(reactive gas)」などの語は、基板表面と反応しうる任意のガス状種を表わすために、互換可能に使用される。
本開示の実施形態は、パルス堆積(pulsed deposition)モードを使用する、アモルファスシリコン膜の堆積を対象とする。パルス堆積モードでは、膜堆積を可能にするために、数秒間にわたって前駆体の曝露が行われる。基板は次いで不活性環境に動かされ、膜の完全な脱ガスが可能になる。脱ガスの後、基板は、次の曝露のために前駆体環境に戻される。各曝露で堆積される膜は非常に薄いことから、Hは気泡を形成することなく放出されうる。このパルスプロセスモードでは、気泡形成なく、広範にわたる温度及び圧力において膜が堆積さされうる。開示されているプロセスの一又は複数の実施形態は、典型的なアモルファスシリコン堆積よりも低い温度において実施されうる。一部の実施形態では、高い共形性(>90%)を伴って膜が生成される。様々な実施形態の方法により、連続的であり、かつ/又は不具合/気泡のない膜の形成が可能になる。
スループットは、毎回の曝露後のパージポンプが長いオーバヘッド時間を含むことによる影響を受けうる。スループットに対するこの影響を軽減するために、特殊なCVDプロセスが開発された。本開示の実施形態の一部は、前駆体パルスモードのもとで膜が堆積される、空間的CVDプロセスの使用を対象とする。空間的CVDのプロセス及びハードウェアは、全体的なプロセススループットに対して有意な影響を有さない。基板処理チャンバの第1部分には、シリコン前駆体(例えば、ジシラン、トリシラン、テトラシラン、イソテトラシラン、ネオペンタシラン、シクロペンタシラン、シクロヘキサシラン、又は一般に、x=2以上であるSi)が流される。処理チャンバの第2部分には、不活性ガス(Ar、He、Nなど)、或いは、堆積プロセスを変調させうる他の反応性ガス(Hなど)が流される。
従来型のCVDチャンバでは、堆積が開始されると、前駆体圧力は、最初はゼロであり、ターゲット圧力まで上昇する。上昇部分において堆積される膜は、ターゲット圧力において堆積される膜の特性を有さない。様々な実施形態の空間的CVDプロセスで、基板は、プロセスの上昇部分を回避して、ターゲット圧力に事前安定化されている処理チャンバ(又は、処理チャンバの一領域)の中へと動かされうる。
アモルファスシリコンの堆積に関して、様々な実施形態のプロセスが説明されているが、当業者は、本開示の範囲がそれらに限定されないことを理解しよう。本開示の実施形態は、Ge、W、Al、Co、及びCuなどであるがそれらに限定されるわけではない、他の材料の形成においても使用されうる。
一部の実施形態では、表面毒化を使用することで、ステップカバレッジ及び間隙充填特性が向上する。トレンチ/ピラー(表面フィーチャとも称される)の上部が、表面毒化によって選択的に変性される。表面毒化の方法は、遠隔/直流プラズマ処理、及び、表面のシリル化/ヒドロシリル化などの化学的改変を含むが、それらに限定されるわけではない。表面毒化は、フィーチャの上部において、堆積速度を低下させるか、又はインキュベーション遅延を引き伸ばし、トレンチの中の堆積をフィーチャの上部よりも多くしうる。この表面毒化堆積シーケンスは、トレンチが充填されるまで、複数回反復されうる。
一部の実施形態では、堆積エッチングプロセスを使用することで、ステップカバレッジ及び間隙充填特性が向上する。フィーチャ上へのアモルファスシリコンの共形堆積の後に、材料は、エッチングプロセスをチューニングすることによってフィーチャの上部から選択的に除去される。これにより、トレンチの中にフィーチャの上部よりも多くの材料が堆積されることが、可能になりうる。この堆積エッチングシーケンスは、トレンチが充填されるまで、複数回反復されうる。
本開示の一又は複数の実施形態は、基板表面を、アウトガス可能な化学種を有するアモルファス膜(アモルファスシリコン膜など)を形成するために前駆体(シリコン前駆体など)に、そして、アモルファス膜からアウトガス可能な化学種を除去するために脱ガス環境に、順次曝露することを含む、処理方法を対象とする。実施形態は、アモルファスシリコンの堆積に関して説明されているが、当業者には、他の材料(特に、脱ガス種による起泡が発生する材料)も堆積されうることが、理解されよう。
一部の実施形態では、シリコン前駆体の分圧を最大にしつつ、ウエハ温度を最低にすることによって、共形アモルファスシリコン(a−Si)堆積が実現されうる。好適なシリコン前駆体は、ポリシラン(Si)を含むがそれだけに限定されるわけではない。例えば、ポリシランは、ジシラン(Si)、トリシラン(Si)、テトラシラン(Si10)、イソテトラシラン、ネオペンタシラン(Si12)、シクロペンタシラン(Si10)、ヘキサシラン(C14)、シクロヘキサシラン(Si12)、又は一般に、x=2以上であるSi、及びそれらの組み合わせを含む。例えば、中程度の処理温度と高い蒸気圧とを有するジシランが、単独で、又は他の化学種と組み合わされて、シリコン前駆体として使用されうる。
一部の実施形態では、シリコン前駆体は、実質的にジシランのみを含む。この明細書及び付随する特許請求の範囲において、「実質的にジシランのみ(substantially only disilane)」という表現は、活性種の少なくとも95%がジシランであることを意味する。キャリアガス及び不活性ガスなどの他のガスも、任意の量含まれうる。
堆積された膜は、膜から発生又はアウトガスしうるアウトガス可能な化学種(水素など)を含む。不活性脱ガス環境によりガス状種が発生する機会がもたらされ、完成膜の起泡が最少化される。不活性脱ガス環境は、膜のアウトガス可能な化学種の除去を可能にするか又は促進する、任意の条件を含みうる。例えば、脱ガス環境は、実質的に不活性ガスから成りうる。このように使用される場合、「実質的に〜から成る(consists essentially of)」とは、堆積された膜のアウトガスに干渉するガス状種がないことを意味する。膜の脱ガスを抑制しなければ他の反応種も存在しうるが、それでも、実質的に不活性ガスから成る。一部の実施形態では、不活性脱ガス環境は、堆積された膜で化学反応が実質的に発生しない環境である。例えば、堆積された膜と反応しうる化学種が実質的に存在しないことがある。一部の実施形態では、脱ガス環境で、アウトガス可能な化学種の脱ガスを引き起こすために、UV光、プラズマ、又はマイクロ波の放射は使用されない。
一又は複数の実施形態では、アモルファスシリコン膜は、化学気相堆積プロセスによって堆積される。化学気相堆積(CVD)プロセスは原子層堆積(ALD)とは異なる(ただし、類似の処理チャンバが使用されうる)。ALDプロセスは、二元(又はより高次の)反応を使用して材料の単一層が堆積される、自己制限型プロセスである。このプロセスは、基板表面の使用可能な全ての活性部位が反応し終えるまで継続する。CVDプロセスは自己制限型ではなく、膜は任意の所定厚さまで成長しうる。
好適な不活性ガスは、アルゴン、ヘリウム、窒素のうちの一又は複数、及び/又はそれらの混合物を含むが、それらだけに限定されるわけではない。一部の実施形態では、不活性脱ガス環境は、酸素を実質的に含まない。このように使用される場合、「酸素を実質的に含まない(substantially no oxygen)」とは、不活性脱ガス環境が、基板表面に隣接する周囲条件において、(原子ベースで)約1%未満の酸素原子しか有さないことを意味する。
一又は複数の実施形態では、アウトガス可能な化学種は水素を含む。このように使用される場合、水素を含むアウトガス可能な化学種とは、水素、SiH、SiH、SiHのうちの一又は複数、及び/又は、その他の低次シランを含みうる。
処理チャンバ又は処理チャンバの一領域の中の圧力は、前駆体曝露及び脱ガス環境向けに、個別に制御されうる。一部の実施形態では、シリコン前駆体と脱ガス環境のそれぞれへの曝露は、約50 mTorrから約200Torrの範囲内の圧力において行われる。一部の実施形態では、約500mTorr以上、又は約1Torr以上、又は約5Torr以上、又は約10Torr以上、又は約20Torr以上、又は約30Torr以上の圧力において、シリコン前駆体が基板を曝露する。
基板表面が前駆体又は脱ガス環境に曝露される温度は、例えば形成されるデバイスと前駆体との熱収支に応じて、変えられうる。一部の実施形態では、前駆体と脱ガス環境のそれぞれへの曝露は、約350°Cから約700°Cの範囲内の温度において行われる。一又は複数の実施形態では、約375°Cから約600°Cの範囲内、又は約400°Cから約550°Cの範囲内の温度において、ハロゲン化シリコン前駆体が基板を曝露する。
一部の実施形態では、ジシランベースの共形a−Siプロセスにおいて、約450°Cを下回るウエハ温度で堆積が行われ、ジシラン分圧は約20Torr以上になる。例示的な一実施形態では、基板は、約20Torr以上の圧力、約400°Cから約550°Cの範囲内の温度において、シリコン前駆体に曝露される。
本開示の実施形態の一部は、バッチ処理チャンバ(空間的処理チャンバとも称される)を使用する、アモルファスシリコン膜堆積を対象とする。図1は、ガス分配アセンブリ120(インジェクタ又はインジェクタアセンブリとも称される)と、サセプタアセンブリ140とを含む、処理チャンバ100の断面を示している。ガス分配アセンブリ120は、処理チャンバ内で使用される、任意の種類のガス供給デバイスである。ガス分配アセンブリ120は、サセプタアセンブリ140に対面する表側面121を含む。表側面121は、サセプタアセンブリ140に向けてガスの流れを供給するための、任意の数の開口又は様々な開口を有しうる。ガス分配アセンブリ120は、図示されている実施形態では実質的に円形である、外部エッジ124も含む。
使用されるガス分配アセンブリ120の具体的な種類は、使用されている特定のプロセスに応じて変化しうる。本発明の実施形態は、サセプタとガス分配アセンブリとの間の間隙が制御される、任意の種類の処理システムと共に使用されうる。様々な種類のガス分配アセンブリ(シャワーヘッドなど)が採用されうるが、本発明の実施形態は、複数の実質的に平行なガスチャネルを有する空間的ガス分配アセンブリを用いると、特に有用でありうる。この明細書及び付随する特許請求の範囲において、「実質的に平行(substantially parallel)」という文言は、ガスチャネルの細長軸が大体同じ方向に延在することを意味する。ガスチャネルの平行度には、若干の不完全性がありうる。二元反応では、複数の実質的に平行なガスチャネルは、少なくとも1つの第1反応性ガスAのチャネル、少なくとも1つの第2反応性ガスBのチャネル、少なくとも1つのパージガスPのチャネル、及び/又は、少なくとも1つの真空Vのチャネルを含みうる。第1反応性ガスAのチャネル(複数可)、第2反応性ガスBのチャネル(複数可)、及び、パージガスPのチャネル(複数可)から流れるガスは、ウエハの上面に向けて導かれる。ガス流の一部は、ウエハの表面の端から端まで水平に移動し、パージガスPのチャネル(複数可)を通って処理領域から出る。基板は、ガス分配アセンブリの一方の端部から他方の端部まで動くことで、処理ガスの各々に順に曝露され、基板表面上に層が形成されることになる。
一部の実施形態では、ガス分配アセンブリ120は、単一のインジェクタユニットで作られた剛性の静止体である。一又は複数の実施形態では、ガス分配アセンブリ120は、図2に示しているように、複数の個別セクタ(例えば、複数のインジェクタユニット122)で構成される。単一ピース体と複数セクタ体のいずれであっても、説明されている本発明の様々な実施形態と共に使用されうる。
サセプタアセンブリ140は、ガス分配アセンブリ120の下に配置される。サセプタアセンブリ140は、上面141と、上面141における少なくとも1つの凹部142とを含む。サセプタアセンブリ140は、底面143及びエッジ144も有する。凹部142は、処理される基板60の形状及びサイズに応じて、任意の好適な形状及びサイズにされうる。図1に示す実施形態では、凹部142はウエハの底部を支持するための平らな底部を有しているが、凹部の底部は変化しうる。一部の実施形態では、凹部は、凹部の外周エッジに沿って、ウエハの外周エッジを支持するようサイズ決定される段差領域を有する。ウエハの外周エッジの、この段差によって支持される分量は、例えば、ウエハの厚さ、及び、ウエハの裏側に既にあるフィーチャの存在に応じて、変化しうる。
一部の実施形態では、図1に示しているように、サセプタアセンブリ140の上面141における凹部142は、凹部142内で支持される基板60が、サセプタ140の上面141と実質的に同一平面の上面61を有するように、サイズ決定される。この明細書及び付随する特許請求の範囲において、「実質的に同一平面(substantially coplanar)」という文言は、ウエハの上面とサセプタアセンブリの上面が、±0.2mm以内で同一平面になることを意味する。一部の実施形態では、これらの上面が、±0.15 mm、±0.10mm、又は±0.05mmの以内で同一平面になる。
図1のサセプタアセンブリ140は、サセプタアセンブリ140を上昇させ、下降させ、かつ回転させることが可能な、支持ポスト160を含む。サセプタアセンブリは、支持ポスト160の中央内部に、ヒータ又はガスライン又は電気的構成要素を含みうる。支持ポスト160は、サセプタアセンブリ140とガス分配アセンブリ120との間の間隙を広げたり狭めたりして、サセプタアセンブリ140を適切な位置へと動かす、主たる手段でありうる。サセプタアセンブリ140は、サセプタアセンブリ140とガス分配アセンブリ120との間に所定の間隙170を作り出すためにサセプタアセンブリ140に対してマイクロ調整を行いうる、微調整アクチュエータ162も含みうる。
一部の実施形態では、間隙170の距離は、約0.1mm〜約5.0mmの範囲内、若しくは約0.1mm〜約3.0mmの範囲内、若しくは約0.1mm〜約2.0mmの範囲内、若しくは約0.2mm〜約1.8mmの範囲内、若しくは約0.3mm〜約1.7mmの範囲内、若しくは約0.4mm〜約1.6mmの範囲内、若しくは約0.5mm〜約1.5mmの範囲内、若しくは約0.6mm〜約1.4mmの範囲内、若しくは約0.7mm〜約1.3mmの範囲内、若しくは約0.8mm〜約1.2mmの範囲内、若しくは約0.9mm〜約1.1mmの範囲内であるか、又は、約1mmである。
図に示している処理チャンバ100は、内部でサセプタアセンブリ140が複数の基板60を保持しうる、カルーセル型チャンバである。図2に示しているように、ガス分配アセンブリ120は、複数の別個のインジェクタユニット122を含んでよく、各インジェクタユニット122は、ウエハがインジェクタユニットの下で動かされるにつれて、ウエハ上に膜を堆積させることが可能である。サセプタアセンブリ140の上方の、おおよそ対向している両側に配置された、2つのパイ型インジェクタユニット122が示されている。インジェクタユニット122のこの数は、例示のためにのみ示されている。より多い又はより少ない数のインジェクタユニット122が含まれうることが、理解されよう。一部の実施形態では、サセプタアセンブリ140の形状に一致する形状を形成するのに十分な数のパイ型インジェクタユニット122が存在する。一部の実施形態では、個々のパイ型インジェクタユニット122は各々、他のインジェクタユニット122のいずれにも影響を与えることなく、個別に動かされ、取り外され、かつ/又は交換されうる。例えば、ロボットがサセプタアセンブリ140とガス分配アセンブリ120との間の領域にアクセスして、基板60をローディング/アンローディングすることを可能にするために、1つのセグメントが上昇しうる。
複数のウエハが同じプロセスフローを経るようにこれらのウエハを同時に処理するために、複数のガスインジェクタを有する処理チャンバが使用されうる。例えば、図3に示しているように、処理チャンバ100は、4つのガスインジェクタアセンブリ及び4つの基板60を有する。処理開始時に、基板60は、インジェクタアセンブリ30の間に配置されうる。サセプタアセンブリ140を45°回転させること17により、インジェクタアセンブリ120の間にある各基板60が、インジェクタアセンブリ120の下の点線の円で図示しているように、膜堆積のためにインジェクタアセンブリ120の方に動かされることになる。更に45°回転させると、基板60はインジェクタアセンブリ30から離れるように動く。基板60とガス分配アセンブリ120とは、数が同じであっても、異なっていてもよい。一部の実施形態では、処理されるウエハの数は、存在するガス分配アセンブリと同じ数になる。一又は複数の実施形態では、処理されるウエハの数は、ガス分配アセンブリの数の分数又は整数倍になる。例えば、4つのガス分配アセンブリが存在する場合、処理されるウエハの数は4xとなり、ここでxは、1以上の整数値である。例示的な一実施形態では、ガス分配アセンブリ120は、ガスカーテンによって分離された8つの処理領域を含み、サセプタアセンブリ140は6つのウエハを保持しうる。
図3に示す処理チャンバ100は、単に実現可能な一構成を表わしており、本発明の範囲を限定するものと解釈すべきではない。ここでは、処理チャンバ100は複数のガス分配アセンブリ120を含む。図示している実施形態では、処理チャンバ100の周りに均等に離間した4つのガス分配アセンブリ(インジェクタアセンブリ30とも言われる)が存在する。
図示している処理チャンバ100は八角形であるが、これは実現可能な一形状であり、本発明の範囲を限定するものと解釈すべきではないことが、当業者には理解されよう。図示されているガス分配アセンブリ120は台形であるが、単一の円形構成要素であっても、又は、図2に示しているような複数のパイ型セグメントで構成されてもよい。
図3に示す実施形態は、ロードロックチャンバ180、又は、バッファステーションのような補助チャンバを含む。このチャンバ180は、例えば基板(基板60とも称される)がチャンバ100にローディング/チャンバ100からアンローディングされることを可能にするために、処理チャンバ100の1つの面に接続される。サセプタ上に基板を動かすために、チャンバ180内にウエハロボットが配置されうる。
カルーセル(例えばサセプタアセンブリ140)の回転は、連続的であっても、間欠的(非連続的)であってもよい。連続処理においては、ウエハは、インジェクタの各々に順に曝露されるように常に回転している。非連続処理においては、ウエハは、インジェクタ領域に動かされて停止し、次いで、インジェクタ間の領域84に動かされて停止しうる。例えば、カルーセルは、ウエハがインジェクタ間領域からインジェクタを越えて動き(又は、インジェクタに隣接して停止し)、カルーセルが再度止まりうる次のインジェクタ間領域へと続いて動くように、回転しうる。インジェクタ間で止まることで、各層の堆積と堆積との間に、追加の処理ステップ(例えばプラズマへの曝露)のための時間が提供されうる。
図4は、インジェクタユニット122と称されうる、ガス分配アセンブリ220の一セクタ又は一部分を示している。インジェクタユニット122は、個別に、又は他のインジェクタユニットと組み合わされて、使用されうる。例えば、図5に示しているように、図4のインジェクタユニット122が4つ組み合わされて、単一のガス分配アセンブリ220が形成される。(分かりやすくするために、4つのインジェクタユニットを分ける線は図示していない。)図4のインジェクタユニット122は、パージガスポート155及び真空ポート145に加えて、第1反応性ガスポート125と第2ガスポート135の両方を有しているが、インジェクタユニット122に、これらの構成要素の全てが必要なわけではない。
図4と図5の両方を参照するに、一又は複数の実施形態によるガス分配アセンブリ220は、複数のセクタ(又はインジェクタユニット122)を備えてよく、各セクタは同一であるか、又は異なっている。ガス分配アセンブリ220は、処理チャンバの中に配置され、ガス分配アセンブリ220の表側面121に複数の狭長ガスポート125、135、145を備える。複数の狭長ガスポート125、135、145、155は、内周エッジ123に隣接するエリアから、ガス分配アセンブリ220の外周エッジ124に隣接するエリアに向かって延在する。図示している複数のガスポートは、第1反応性ガスポート125と、第2ガスポート135と、第1反応性ガスポートと第2反応性ガスポートの各々を取り囲む真空ポート145と、パージガス真空ポート155とを含む。
図4又は図5に示す実施形態を参照するに、ポートは少なくとも内周領域周辺から少なくとも外周領域周辺まで延在すると記述していても、ポートは、単に内側領域から外側領域まで径方向に延在するだけではないことがある。ポートは、真空ポート145が反応性ガスポート125及び反応性ガスポート135を取り囲んでいることから、接線方向に延在しうる。図4及び図5に示す実施形態では、くさび型の反応性ガスポート125、135は、内周領域及び外周領域に隣接するエッジを含むすべてのエッジが、真空ポート145に囲まれている。
図4を参照するに、基板が経路127に沿って動くにつれて、基板表面の各部分は様々な反応性ガスに曝露される。経路127を辿ると、基板は、パージガスポート155、真空ポート145、第1反応性ガスポート125、真空ポート145、パージガスポート155、真空ポート145、第2ガスポート135、そして真空ポート145に曝露される、すなわちそれらに「遭遇する(see)」ことになる。ゆえに、図4に示す経路127の終わりでは、基板は第1反応性ガス125及び第2反応性ガス135に曝露されて、層が形成されている。図示されている注入器ユニット122は四分円をなしているが、より大きい又はより小さいものである可能性もある。図5に示すガス分配アセンブリ220は、順次接続された、4つの図4のインジェクタユニット122の組み合わせと見なされうる。
図4のインジェクタユニット122は、反応性ガス同士を分離させるガスカーテン150を示している。「ガスカーテン(gas curtain)」という語は、反応性ガスを混合しないように分離させるガス流又は真空の任意の組み合わせを説明するために使用される。図4に示すガスカーテン150は、真空ポート145の第1反応性ガスポート125に隣り合った部分、中間のパージガスポート155、及び、真空ポート145の第2ガスポート135に隣り合った部分を含む。ガス流と真空とのこの組み合わせは、第1反応性ガスと第2反応性ガスとの気相反応を防止するか、又は最少化するために、使用されうる。
図5を参照するに、ガス分配アセンブリ220からのガス流と真空との組み合わせが、複数の処理領域250を分離させている。処理領域は、250同士の間のガスカーテン150を用いて、個々のガスポート125、135の周りに大まかに画定されている。図5に示す実施形態により、8つの別個のガスカーテン150を間に有する、8つの別個の処理領域250が構成されている。処理チャンバは、少なくとも2つの処理領域を有しうる。一部の実施形態では、少なくとも3つ、4つ、5つ、6つ、7つ、8つ、9つ、10、11、又は12の処理領域が存在する。
処理中に、基板は、どの所与の時点においても1を上回る数の処理領域250に曝露されうる。しかし、異なる処理領域に曝露される部分は、その2箇所を分離するガスカーテンを有することになる。例えば、基板の先行エッジが第2ガスポート135を含む処理領域に入る場合、基板の中央部はガスカーテン150の下にあり、かつ、基板の後続エッジは第1反応性ガスポート125を含む処理領域内にあることになる。
処理チャンバ100に接続された、例えばロードロックチャンバでありうるファクトリインターフェース280が図示されている。基準のフレームを提示するために、基板60は、ガス分配アセンブリ220の上に重ね合わされて図示されている。基板60は多くの場合、ガス分配プレート120の表側面121の近くに保持されるよう、サセプタアセンブリ上に置かれうる。基板60は、ファクトリインターフェース280を介して、処理チャンバ100内の基板支持体又はサセプタアセンブリ上にローディングされる(図3参照)。処理領域の中に配置された基板60が図示されうるが、それは、この基板が、第1反応性ガスポート125に隣接して、かつ、2つのガスカーテン150a、150bの間に、配置されているからである。基板60を経路127に沿って回転させることにより、この基板は、処理チャンバ100を回るように反時計回りに動くことになる。ゆえに、基板60は、第1処理領域250aから第8処理領域250hまでの処理領域に曝露される(第1から第8までの領域の間に全ての処理領域が含まれる)。
本発明の実施形態は、複数の処理領域250a〜250hを有し、各処理領域がガスカーテン150によって隣接する領域から分離されている、処理チャンバ100(例えば、図5に示す処理チャンバ)を伴う、処理方法を対象とする。処理チャンバの中のガスカーテンと処理領域の数は、ガス流の配置に応じて、任意の好適な数でありうる。図5に示す実施形態は、8つのガスカーテン150と8つの処理領域250a〜250hとを有している。ガスカーテンの数は概して、処理領域の数と同じか、それを上回る。
複数の基板60は、図1及び図2に示すサセプタアセンブリ140などの基板支持体上に配置される。複数の基板60は、処理ために、処理領域を回るように回転する。通常、ガスカーテン150は、チャンバ内に反応性ガスが流れ込まない期間を含め、処理の間ずっと稼働している(ガスが流れ、真空はオンになっている)。
第1反応性ガスAが処理領域250のうちの一又は複数の中に流れ込んでいる間に、不活性ガスは、第1反応性ガスAが流れ込んでいない処理領域250に流し込まれる。例えば、第1反応性ガスが処理領域250bから処理領域250hまでの処理領域に流れ込んでいる場合、不活性ガスは、処理領域250aに流れ込む。不活性ガスは、第1反応性ガスポート125又は第2ガスポート135を通じて流されうる。
処理領域内の不活性ガス流は、一定であることも、変動することもある。一部の実施形態では、反応性ガスは不活性ガスと共流する。不活性ガスは、キャリア及び希釈物として作用する。キャリアガスと比べて反応性ガスの量は少ないことから、共流によって、隣接する領域間の圧力の差を低減することで、処理領域間のガス圧のバランスを取ることがより容易になりうる。
したがって、本開示の一又は複数の実施形態は、図5に示しているようなバッチ処理チャンバを利用する処理方法を対象とする。基板60は、複数の区域250を有する処理チャンバの中に置かれ、各区域は、ガスカーテン150によって隣接する区域から分離される。基板表面の少なくとも一部分は、処理チャンバの第1区域250aにおいて第1プロセス条件に曝露される。一部の実施形態の第1プロセス条件は、アモルファスシリコン層を形成するためのシリコン前駆体を含む。
基板表面は、第2区域250bへと、ガスカーテン150を通って横方向に動かされる。アモルファスシリコン層は、第2区域250bにおいて第2プロセス条件に曝露される。一部の実施形態の第2プロセス条件は、第1区域250aで形成されたアモルファス膜の脱ガスを可能にする環境を含む。
基板表面は、脱ガスされたアモルファスシリコン膜を伴って、処理チャンバの第3区域250cへと、ガスカーテン150を通って横方向に動かされる。所定の膜厚を有する膜を形成するために、基板表面は次いで、追加の第1プロセス条件及び第2プロセス条件に反復的に曝露されうる。例えば、脱ガスされたアモルファスシリコン膜が形成されうる。
一部の実施形態では、基板表面は、処理チャンバの一区域において前駆体に、そして、処理チャンバの次の区域において脱ガス環境に、反復的に曝露される。この種の一実施形態では、第1プロセス領域250a、第3プロセス領域250c、第5プロセス領域250e、及び第7プロセス領域250gで、前駆体ガスが流されうる一方で、第2プロセス領域250b、第4プロセス領域250d、第6プロセス領域250f、及び第8プロセス領域250hは、脱ガス環境を有する。当業者は、処理領域について説明するための「第1(first)」や「第2(second)」などの序数の使用が、処理チャンバにおける具体的な場所、又は、処理チャンバ内での曝露の順序を示唆するものではないことを、理解しよう。例えば、基板は、最初に脱ガス環境に曝露された後に、第2区域において前駆体に曝露されうる。図5を参照するに、一実施形態では、区域250aは、ロードロック280に隣接するローディング/アンローディング区域である。第1区域250aは脱ガス環境であってよく、その両隣の区域は前駆体を有しうる。処理される基板は、ローディング及びアンローディングの際に、脱ガス環境に曝露されることになる。
脱ガス環境に曝露される前に前駆体を用いて形成される膜の厚さは、変えられうる。一部の実施形態では、前駆体(シリコン前駆体など)と脱ガス環境のそれぞれへの曝露により、約5Åから約20Åの範囲内の厚さだけ膜が成長する。
所定の厚さを有する膜を形成するために、前駆体と脱ガス環境とが、反復的に順次、基板表面を曝露する。一部の実施形態では、アモルファスシリコン膜は、約100Åから約1μmの範囲内の総厚を有する。
一部の実施形態では、基板表面は、その上に少なくとも1つのフィーチャを有する。このフィーチャは、例えば、トレンチ又はピラーでありうる。このように使用される場合、「フィーチャ(feature)」という語は、任意の意図的な表面凹凸を意味する。フィーチャの好適な例は、上部と2つの側壁と底部とを有するトレンチ、及び、上部と2つの側壁とを有するピーク部を含むが、それらに限定されるわけではない。図6Aを参照するに、フィーチャ330は、基板310におけるトレンチとして図示されている。このフィーチャは、上部332と、底部336と、側壁334とを有する。側壁334の間には間隙が作り出される。フィーチャに堆積される膜は、トレンチの上部332、底部336、及び側壁334を覆うことになる。膜被覆の均一性は共形性と称される。共形性は、フィーチャの上部に対するフィーチャの底部の膜厚として測定される。100%の共形性とは、フィーチャの上部332とフィーチャの底部336における厚さが同じであることを意味する。一部の実施形態では、基板表面は上部と側壁とを有する少なくとも1つのフィーチャを備え、アモルファスシリコン膜は、約75%以上、又は約80%以上、又は約85%以上、又は約90%以上、又は約95%以上の、共形性を有する。
プロセスは、例えば、前駆体を用いて堆積された膜を処理し、エッチングし、又は毒化するための、追加のプロセスステップを含みうる。一又は複数の実施形態では、基板表面は、前駆体への曝露と脱ガス環境への曝露との間で、反応体に曝露される。反応体とは、アモルファス膜の特性を改変しうる、任意のガスでありうる。一部の実施形態では、反応体により膜が高密度化される。一部の実施形態では、追加的な膜成長を抑制するために、反応体により、膜の上側部分が毒化される。一部の実施形態では、反応体により膜の一部がエッチングされる。
図6Aから図6Cを参照するに、一又は複数の実施形態は、堆積された膜の成長を抑制するために、フィーチャ330の上部332を毒化することを含む。図6Aでは、少なくとも1つのフィーチャ330を有する基板が、処理チャンバ内に配置されている。基板310は、上面332を毒化して、図6Bに示す被毒化部分340を作り出すために、反応体に曝露される。膜350は、基板310及びフィーチャ330に堆積される。上部352における膜305の厚さが底部356における膜350の厚さを下回ることにより、共形性は100%を上回る。このプロセスは、フィーチャの間隙を充填するよう反復されうる。
表面を毒化するのに使用される反応体は、材料に可逆的な影響を与えうる、任意の好適な反応体でありうる。一部の実施形態では、反応体は塩素含有種を含む。一部の実施形態では、塩素含有種は、ヘキサクロロジシラン又は塩素含有プラズマである。
一部の実施形態では、反応体により、形成中の膜の上面がエッチングされる。図7Aから図7Fを参照するに、堆積/エッチングシステムを使用する間隙充填プロセスが示されている。図7Aでは、表面上にフィーチャ330を有する基板310が、処理チャンバ内に配置されている。フィーチャ330は側壁を有し、側壁の間に間隙338が形成される。図7Bでは、基板310は、前駆体(シリコン前駆体など)に既に曝露されており、基板上には膜350が堆積されている。膜359は、この時点では、相対的に高い共形性を有している。図7Cでは、膜350の上部352(フィーチャの上部とも称される)を選択的にエッチングするエッチング反応体に曝露されたことにより、トレンチの底部において、上部よりも膜350が厚くなっている。基板310は、エッチング反応体に曝露される前、エッチング反応体への曝露中、又はエッチング反応体に曝露された後に、脱ガス環境に曝露されうる。一部の実施形態では、基板は、脱ガス環境と同時にエッチングプロセスに曝露される。
図7Dにおいて、膜350を続けて成長させるために、基板310は再度前駆体に曝露される。フィーチャ330の底部356における膜厚は、上部352におけるものを上回っており、間隙338のサイズは縮小している。図7E及び図7Fは、膜350で間隙338を充填するための、エッチング条件と堆積条件との反復を示している。
一又は複数の実施形態により、基板は、層を形成する前及び/又は形成した後に処理を経る。この処理は、同じチャンバ内、又は、一又は複数の別個の処理チャンバ内で実施されうる。一部の実施形態では、基板は、第1チャンバから、更なる処理のために別個の第2チャンバに動かされる。基板は、第1チャンバから別個の処理チャンバに直接動かされうるか、又は、第1チャンバから一又は複数の移送チャンバに動かされ、次いで別個の処理チャンバへと動かされうる。したがって、処理装置は、移送ステーションと連通している複数のチャンバを備えうる。この種の装置は「クラスタツール(cluster tool)」又は「クラスタシステム(clustered system)」などと称されうる。
通常、クラスタツールは、基板の中心決め及び配向決め、脱ガス、アニール処理、堆積、並びに/又は、エッチングを含む様々な機能を実施する複数のチャンバを備える、モジュールシステムである。一又は複数の実施形態により、クラスタツールは、少なくとも、第1チャンバ及び中央移送チャンバを含む。中央移送チャンバは、複数の処理チャンバ及び複数のロードロックチャンバの間で基板を往復搬送することが可能なロボットを収納しうる。移送チャンバは、典型的には、真空条件に維持され、一つのチャンバから、別のチャンバに、かつ/又はクラスタツールのフロントエンドに配置されたロードロックチャンバに、基板を往復搬送するための中間ステージを提供する。本発明に適合しうる2つの周知のクラスタツールは、Centura(登録商標)及びEndura(登録商標)であり、両方とも、カリフォルニア州サンタクララのApplied Materials,Inc.から入手可能である。しかし、実際のチャンバの配置及び組合せは、本書に記載のプロセスの具体的なステップを実施するという目的のために、変更されうる。使用されうる他の処理チャンバは、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、予洗浄、化学洗浄、RTPなどの熱処理、プラズマ窒化、脱ガス、配向決め、ヒドロキシル化、及びその他の基板処理を含むが、それらに限定されるわけではない。クラスタツールのチャンバ内でプロセスを実行することにより、後続膜の堆積に先立って酸化を起こすことなく、空気中の不純物による基板の表面汚染を回避しうる。
一又は複数の実施形態により、基板は、連続的に真空又は「ロードロック(load lock)」の条件下にあり、一つのチャンバから次のチャンバに動かされる時に周囲空気に曝露されない。 ゆえに、移送チャンバは、真空下にあり、真空圧力のもとで「ポンプダウン(pumped down)」される。処理チャンバ又は移送チャンバの中には不活性ガスが存在しうる。一部の実施形態では、反応体の一部又は全部を除去するために、不活性ガスがパージガスとして使用される。一又は複数の実施形態により、反応体が堆積チャンバから、移送チャンバにかつ/又は追加の処理チャンバに移動することを防止するために、堆積チャンバの出口にパージガスが注入される。ゆえに、不活性ガスの流れがチャンバの出口にカーテンを形成する。
基板は、枚葉式基板堆積チャンバ内で処理されてよく、この枚葉式基板堆積チャンバでは、単一の基板が、別の基板が処理される前に、ローディングされ、処理され、かつアンローディングされる。基板は、複数の基板が個々に、チャンバの第一部分の中へとローディングされ、チャンバを通って動き、かつ、チャンバの第二部分からアンローディングされる、コンベヤシステムに類似した連続的な様態で、処理されることも可能である。チャンバ及び関連するコンベヤシステムの形状により、直線経路又は湾曲経路が形成されうる。加えて、処理チャンバは、複数の基板が、中心軸の周りで動かされ、かつ、カルーセル経路全体を通じて、堆積、エッチング、アニール処理、洗浄などのプロセスに曝露される、カルーセルでありうる。
処理中に、基板は加熱されうるか、又は冷却されうる。かかる加熱又は冷却は、基板支持体の温度を変化させること、及び、基板表面に加熱された又は冷却されたガスを流すことを含む(ただしそれらに限定されるわけではない)、任意の好適な手段によって達成されうる。一部の実施形態では、基板支持体は、伝導によって基板温度を変化させるよう制御されうる、ヒータ/クーラを含む。一又は複数の実施形態では、基板温度を局所的に変化させるために、用いられるガス(反応性ガス或いは不活性ガス)が加熱又は冷却される。一部の実施形態では、基板温度を対流によって変化させるために、ヒータ/クーラは、基板表面に隣接して、チャンバの中に配置される。
基板は、処理中に、静止していることも、回転することも可能である。回転する基板は、連続的に、又は非連続に段階的に、回転しうる。例えば、基板は、処理全体を通じて回転しうるか、又は、種々の反応性ガス若しくはパージガスへの曝露と曝露との間に、少しずつ回転しうる。処理中に基板を(連続的に或いは段階的に)回転させることは、例えばガス流形状の局所的可変性の影響を最少化することによって、より均一な堆積又はエッチングの生成に役立ちうる。
原子層堆積型チャンバ内で、基板は、空間的或いは時間的に分離されたプロセスにおいて、第1と第2の前駆体に曝露されうる。時間的ALDは、第1前駆体がチャンバに流れ込んで表面と反応する、慣習的なプロセスである。第2前駆体を流す前に、第1前駆体はチャンバからパージされる。空間的ALDでは、第1前駆体と第2前駆体の両方が、同時にチャンバに流されるが、前駆体の混合を防止する領域が流れと流れの間に存在するように空間的に分離される。空間的ALDでは、基板がガス分配プレートに対して動かされるか、又はその逆である。
1つのチャンバ内で方法の部分のうちの一又は複数が実行される実施形態では、プロセスは空間的ALDプロセスでありうる。上述の化学作用のうちの一又は複数は親和性(compatible)ではない(すなわち、基板表面以外での反応をもたらし、かつ/又は、チャンバに堆積する)ことがあるが、空間的分離により、試薬同士が気相で互いに曝露されないことが確実になる。例えば、時間的ALDは堆積チャンバのパージを伴う。しかし、実際には、追加試薬を流し込む前に余剰試薬をチャンバ外にパージすることが不可能な時もある。したがって、チャンバ内に残存試薬があれば、それが反応しうる空間的分離があることで、余剰試薬がパージされる必要がなくなり、相互汚染は限定的になる。更に、チャンバをパージするのに多くの時間を要することがあり、したがって、パージステップをなくすことによってスループットが増大しうる。
この明細書全体を通じての、「一実施形態(one embodiment/an embodiment)」、「ある種の実施形態(certain embodiments)」、又は「一又は複数の実施形態(one or more embodiments)」に対する言及は、実施形態に関連して説明されている特定の特徴、構造、材料、又は特性が、本発明の少なくとも1つの実施形態に含まれることを意味する。ゆえに、この明細書全体の様々な箇所での「一又は複数の実施形態で(in one or more embodiments)」、「ある種の実施形態で(in certain embodiments)」、又は「一実施形態で(in one embodiment又はin an embodiment)」などの文言の表出は、必ずしも、本発明の同一の実施形態に言及するものではない。更に、特定の特徴、構造、材料、又は特性は、一又は複数の実施形態において、任意の好適な様態で組み合わされうる。
本書の発明は特定の実施形態を参照して説明されているが、これらの実施形態は本発明の原理及び用途の単なる例示であることを理解されたい。本発明の趣旨及び範囲から逸脱することなく、本発明の方法及び装置に様々な改変及び変形を行いうることが、当業者には明らかになろう。ゆえに、本発明は、付随する特許請求の範囲及びその均等物に含まれる改変例及び変形例を含むことが意図されている。

Claims (13)

  1. 処理方法であって、
    水素を含むアウトガス可能な化学種を有するアモルファス膜を形成するために、基板表面を前駆体に曝露することと、
    前記アモルファス膜から前記アウトガス可能な化学種を除去して脱ガスされたアモルファス膜を形成するために、前記アモルファス膜を不活性脱ガス環境に曝露することと
    を含み、
    前記前駆体への曝露と前記不活性脱ガス環境への曝露との間に、前記アモルファス膜を、前記アモルファス膜を高密度化する反応体に曝露することを更に含み、
    前記アモルファス膜がアモルファスシリコン膜であり、前記前駆体がシリコン前駆体である
    方法。
  2. 処理方法であって、
    処理チャンバ内に基板表面を配置することであって、前記基板表面が、該基板表面上に少なくとも1つのフィーチャを有し、前記少なくとも1つのフィーチャが、底部、上部、及び側壁を有する間隙を作り出す、基板表面を配置することと、
    前記少なくとも1つのフィーチャ上に、水素を含むアウトガス可能な化学種を有するアモルファス膜を形成するために、前記基板表面を前駆体に曝露することと、
    前記アモルファス膜から前記アウトガス可能な化学種を除去して脱ガスされたアモルファス膜を形成するために、前記基板表面を不活性脱ガス環境に曝露することと、
    前記脱ガスされたアモルファス膜を所定の厚さに成長させるために、前記前駆体への曝露と前記不活性脱ガス環境への曝露とを反復することと
    を含み、
    前記前駆体への曝露と前記不活性脱ガス環境への曝露との間に、前記アモルファス膜を、前記アモルファス膜を高密度化する反応体に曝露することを更に含む
    方法。
  3. 処理方法であって、
    処理チャンバ内に基板表面を配置することであって、前記基板表面が、該基板表面上に少なくとも1つのフィーチャを有し、前記少なくとも1つのフィーチャが、底部、上部、及び側壁を有する間隙を作り出す、基板表面を配置することと、
    前記少なくとも1つのフィーチャ上に、水素を含むアウトガス可能な化学種を有するアモルファス膜を形成するために、前記基板表面を前駆体に曝露することと、
    前記アモルファス膜から前記アウトガス可能な化学種を除去して脱ガスされたアモルファス膜を形成するために、前記基板表面を不活性脱ガス環境に曝露することと、
    前記脱ガスされたアモルファス膜を所定の厚さに成長させるために、前記前駆体への曝露と前記不活性脱ガス環境への曝露とを反復することと
    を含み、
    前記前駆体への曝露と前記不活性脱ガス環境への曝露との間に、前記アモルファス膜を反応体に曝露することを更に含み、前記反応体が、前記基板表面の前記フィーチャの上部における前記アモルファス膜の成長を抑制するために、前記アモルファス膜の上側部分を毒化する毒化剤である、
    方法。
  4. 処理方法であって、
    処理チャンバ内に基板表面を配置することであって、前記基板表面が、該基板表面上に少なくとも1つのフィーチャを有し、前記少なくとも1つのフィーチャが、底部、上部、及び側壁を有する間隙を作り出す、基板表面を配置することと、
    前記少なくとも1つのフィーチャ上に、水素を含むアウトガス可能な化学種を有するアモルファス膜を形成するために、前記基板表面を前駆体に曝露することと、
    前記アモルファス膜から前記アウトガス可能な化学種を除去して脱ガスされたアモルファス膜を形成するために、前記基板表面を不活性脱ガス環境に曝露することと、
    前記脱ガスされたアモルファス膜を所定の厚さに成長させるために、前記前駆体への曝露と前記不活性脱ガス環境への曝露とを反復することと
    を含み、
    前記前駆体への曝露と前記不活性脱ガス環境への曝露との間に、前記アモルファス膜を反応体に曝露することを更に含み、前記反応体により、前記基板表面の前記フィーチャの上部において、前記アモルファス膜の少なくとも一部がエッチングされる、
    方法。
  5. 堆積された前記アモルファス膜が、約80%以上の共形性を有する、請求項2から4のいずれか一項に記載の方法。
  6. 前記基板表面の前記フィーチャの上部における前記アモルファス膜の成長を抑制するために、前記基板表面を毒化剤に曝露することを更に含む、請求項2から5のいずれか一項に記載の方法。
  7. 前記前駆体がポリシランを含む、請求項1から6のいずれか一項に記載の方法。
  8. 前記ポリシランが、ジシラン、トリシラン、テトラシラン、イソテトラシラン、ネオペンタシラン、シクロペンタシラン、ヘキサシラン、又はシクロヘキサシランのうちの一又は複数を含む、請求項に記載の方法。
  9. 前記前駆体は、活性種の少なくとも95%がジシランである、請求項に記載の方法。
  10. 前記不活性脱ガス環境が、アルゴン、ヘリウム、及び窒素のうちの一又は複数を含む、請求項1から9のいずれか一項に記載の方法。
  11. 前記前駆体と前記不活性脱ガス環境のそれぞれへの曝露が、約50mTorrから約200Torrの範囲内の圧力、及び、約350°Cから約700°Cの範囲内の温度において行われる、請求項1から10のいずれか一項に記載の方法。
  12. 前記前駆体と前記不活性脱ガス環境へのそれぞれの曝露が、約5Åから約20Åの範囲内の厚さだけ膜を成長させる、請求項1から11のいずれか一項に記載の方法。
  13. 前記前駆体への曝露と、前記反応体への曝露と、前記不活性脱ガス環境への曝露との各々が、互いにガスカーテンによって分離された、処理チャンバの異なる区域内において行われる、請求項1から12のいずれか一項に記載の方法。
JP2018520551A 2015-10-22 2016-10-19 共形及び間隙充填型のアモルファスシリコン薄膜の堆積 Active JP6867382B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562244834P 2015-10-22 2015-10-22
US62/244,834 2015-10-22
PCT/US2016/057665 WO2017070185A1 (en) 2015-10-22 2016-10-19 Deposition of conformal and gap-fill amorphous silicon thin-films

Publications (2)

Publication Number Publication Date
JP2018533219A JP2018533219A (ja) 2018-11-08
JP6867382B2 true JP6867382B2 (ja) 2021-04-28

Family

ID=58558015

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018520551A Active JP6867382B2 (ja) 2015-10-22 2016-10-19 共形及び間隙充填型のアモルファスシリコン薄膜の堆積

Country Status (6)

Country Link
US (1) US20170114453A1 (ja)
JP (1) JP6867382B2 (ja)
KR (1) KR102539130B1 (ja)
CN (1) CN108140562B (ja)
TW (1) TWI715645B (ja)
WO (1) WO2017070185A1 (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10276379B2 (en) 2017-04-07 2019-04-30 Applied Materials, Inc. Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
CN117293018A (zh) * 2017-07-24 2023-12-26 应用材料公司 改善在氧化硅上的超薄非晶硅膜的连续性的预处理方法
JP7319288B2 (ja) 2018-03-09 2023-08-01 アプライド マテリアルズ インコーポレイテッド Pecvdによるsiギャップ充填の方法
CN112513320A (zh) * 2018-08-02 2021-03-16 盖列斯特科技股份有限公司 通过控制气相瞬态物种形成的薄膜沉积工艺
JP7065728B2 (ja) * 2018-08-17 2022-05-12 東京エレクトロン株式会社 成膜方法及び成膜装置
JP7018849B2 (ja) * 2018-08-17 2022-02-14 東京エレクトロン株式会社 成膜方法及び成膜装置
KR20210077779A (ko) * 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
JP2020136678A (ja) * 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7213726B2 (ja) * 2019-03-13 2023-01-27 東京エレクトロン株式会社 成膜方法及び熱処理装置
JP7308774B2 (ja) * 2020-02-06 2023-07-14 東京エレクトロン株式会社 成膜方法及び成膜装置
KR20210152123A (ko) * 2020-06-08 2021-12-15 주성엔지니어링(주) 기판처리방법

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04330717A (ja) * 1991-02-08 1992-11-18 Nippon Sheet Glass Co Ltd 半導体膜の製造方法
JPH07221026A (ja) * 1994-01-28 1995-08-18 Mitsui Toatsu Chem Inc 高品質半導体薄膜の形成方法
JP3464285B2 (ja) * 1994-08-26 2003-11-05 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2746167B2 (ja) * 1995-01-25 1998-04-28 日本電気株式会社 半導体装置の製造方法
JP2689935B2 (ja) * 1995-02-01 1997-12-10 日本電気株式会社 半導体薄膜形成方法
US5800878A (en) * 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
US6162716A (en) * 1999-03-26 2000-12-19 Taiwan Semiconductor Manufacturing Company Amorphous silicon gate with mismatched grain-boundary microstructure
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US7205187B2 (en) * 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
KR20090013286A (ko) * 2007-08-01 2009-02-05 삼성전자주식회사 반도체 소자 제조설비
JP5026248B2 (ja) * 2007-12-27 2012-09-12 株式会社アルバック アモルファスシリコン薄膜製造方法
KR101436564B1 (ko) * 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
JP5327147B2 (ja) * 2009-12-25 2013-10-30 東京エレクトロン株式会社 プラズマ処理装置
JP2013074036A (ja) * 2011-09-27 2013-04-22 Toshiba Corp Cmp用スラリーおよび半導体装置の製造方法
CN103828061B (zh) * 2011-10-07 2018-02-13 应用材料公司 使用氩气稀释来沉积含硅层的方法
US20130196078A1 (en) * 2012-01-31 2013-08-01 Joseph Yudovsky Multi-Chamber Substrate Processing System
JP2014053345A (ja) * 2012-09-05 2014-03-20 Ps4 Luxco S A R L 半導体装置の製造方法
TW201441408A (zh) * 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US9048099B2 (en) * 2013-05-09 2015-06-02 Applied Materials, Inc. Multi-layer amorphous silicon structure with improved poly-silicon quality after excimer laser anneal
US9136355B2 (en) * 2013-12-03 2015-09-15 Intermolecular, Inc. Methods for forming amorphous silicon thin film transistors
US9224783B2 (en) * 2013-12-23 2015-12-29 Intermolecular, Inc. Plasma densification of dielectrics for improved dielectric loss tangent
JP6150724B2 (ja) * 2013-12-27 2017-06-21 東京エレクトロン株式会社 凹部を充填する方法
WO2015103358A1 (en) * 2014-01-05 2015-07-09 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
JP6318869B2 (ja) * 2014-05-30 2018-05-09 東京エレクトロン株式会社 成膜装置

Also Published As

Publication number Publication date
US20170114453A1 (en) 2017-04-27
TW201732065A (zh) 2017-09-16
CN108140562B (zh) 2023-03-21
TWI715645B (zh) 2021-01-11
JP2018533219A (ja) 2018-11-08
WO2017070185A1 (en) 2017-04-27
CN108140562A (zh) 2018-06-08
KR20180058235A (ko) 2018-05-31
KR102539130B1 (ko) 2023-05-31

Similar Documents

Publication Publication Date Title
JP6867382B2 (ja) 共形及び間隙充填型のアモルファスシリコン薄膜の堆積
JP7125343B2 (ja) 表面毒化処理によるボトムアップ式間隙充填
US9978685B2 (en) Conformal amorphous silicon as nucleation layer for W ALD process
US20180312966A1 (en) Methods For Spatial Metal Atomic Layer Deposition
JP6968701B2 (ja) 低誘電率かつ低湿式エッチング速度の誘電体薄膜を堆積させるための方法
KR102626870B1 (ko) 기판 표면 상에 핵형성 층을 형성하는 단계를 포함하는 프로세싱 방법
JP6913752B2 (ja) 核形成のない間隙充填aldプロセス
JP7094367B2 (ja) ルテニウムの選択的原子層堆積
TWI833804B (zh) 含鋁膜的間隙填充

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191009

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20201105

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201117

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210216

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210309

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210408

R150 Certificate of patent or registration of utility model

Ref document number: 6867382

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250