CN105261552B - 半导体器件的制造方法和衬底处理装置 - Google Patents

半导体器件的制造方法和衬底处理装置 Download PDF

Info

Publication number
CN105261552B
CN105261552B CN201510397375.0A CN201510397375A CN105261552B CN 105261552 B CN105261552 B CN 105261552B CN 201510397375 A CN201510397375 A CN 201510397375A CN 105261552 B CN105261552 B CN 105261552B
Authority
CN
China
Prior art keywords
gas
film
raw material
manufacture method
semiconductor devices
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510397375.0A
Other languages
English (en)
Other versions
CN105261552A (zh
Inventor
桥本良知
广濑义朗
原田胜吉
中村吉延
笹岛亮太
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
INTERNATIONAL ELECTRIC CO Ltd
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Publication of CN105261552A publication Critical patent/CN105261552A/zh
Application granted granted Critical
Publication of CN105261552B publication Critical patent/CN105261552B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供一种半导体器件的制造方法和衬底处理装置,可抑制在绝缘膜上进行成膜处理时的潜伏期的产生、转变层的形成。包含如下工序:通过对在表面形成有绝缘膜的衬底供给包含第一元素以及卤族元素的原料,来对所述绝缘膜的表面进行预处理;和将非同时地进行对所述衬底供给所述原料的工序和对所述衬底供给包含第二元素的反应物的工序作为一循环,通过以规定次数进行该循环,在进行了所述预处理的所述绝缘膜的表面上形成包含所述第一元素以及所述第二元素的膜。

Description

半导体器件的制造方法和衬底处理装置
技术领域
本发明涉及半导体器件的制造方法、衬底处理装置。
背景技术
作为半导体器件(器件)的制造工序的一工序,有时进行如下工序:对衬底供给例如包含硅的原料和/或氮化气体和/或氧化气体等反应物(reactant),在衬底上形成氮化膜和/或氧化膜等膜。
发明内容
【发明要解决的问题】
但是,在将缺乏原料吸附性的绝缘膜设为成膜的基底的情况下,有时在成膜处理刚开始后产生潜伏期(incubation time),在成膜处理的初始形成不连续的膜。另外,有时因对成膜的基底供给反应物,而在成膜的基底和要形成的膜的界面形成与想要形成的膜组成不同的层(以下,也称作转变层或者劣化层)。
本发明的目的在于提供能够抑制在绝缘膜上进行成膜处理时的潜伏期的产生、转变层的形成的技术。
【用于解决问题的手段】
根据本发明的一技术方案,提供一种技术,包括如下工序:
通过对在表面形成有绝缘膜的衬底供给包含第一元素以及卤族元素的原料,来对所述绝缘膜的表面进行预处理;和
将非同时地进行对所述衬底供给所述原料的工序和对所述衬底供给包含第二元素的反应物的工序作为一循环,通过以规定次数进行该循环,在进行了所述预处理的所述绝缘膜的表面上形成包含所述第一元素以及所述第二元素的膜。
【发明效果】
根据本发明,能够抑制在绝缘膜上进行成膜处理时的潜伏期的产生、转变层的形成。
附图说明
图1是本发明的实施方式中合适地使用的衬底处理装置的立式处理炉的概略结构图,是将处理炉部分以纵剖视图示出的图。
图2是本发明的实施方式中合适地使用的衬底处理装置的立式处理炉的一部的概略结构图,是将处理炉的一部分以图1的A-A线剖视图示出的图。
图3是本发明的实施方式中合适地使用的衬底处理装置的控制器的概略结构图,是将控制器的控制系统以框图示出的图。
图4是示出本发明的一实施方式的成膜顺序中的气体供给的定时的图。
图5是示出本发明的一实施方式的成膜顺序的变形例4中的气体供给的定时的图。
图6是示出本发明的一实施方式的成膜顺序的变形例6中的气体供给的定时的图。
图7是示出本发明的一实施方式的成膜顺序的变形例8的气体供给的定时的图。
图8是示出本发明的一实施方式的成膜顺序的变形例12中的气体供给的定时的图。
图9是示出成膜处理后的衬底的剖面构造的图。
图10是通过实施例作成的样品1、2的剖面放大照片。
图11是通过比较例作成的样品3、4的剖面放大照片。
图12是(a)是示出环硼氮烷的化学结构式的图,(b)是示出环硼氮烷化合物的化学结构式的图,(c)是示出n、n’、n”-三甲基环硼氮烷的化学结构式的图,(d)是示出n、n’、n”-三-正丙基环硼氮烷的化学结构式的图。
图13是本发明其他的实施方式中合适地使用的衬底处理装置的处理炉的概略结构图,是将处理炉部分以纵剖视图示出的图。
图14是本发明其他的实施方式合适地使用的衬底处理装置的处理炉的概略结构图,是将处理炉部分以纵剖视图示出的图。
【附图标记说明】
121控制器(控制部) 200晶片(衬底) 201处理室 202处理炉 203反应管 207加热器 231排气管 232a~232e气体供给管
具体实施方式
<本发明的一实施方式>
以下,主要使用图1~图3来对本发明的一实施方式进行说明。
(1)衬底处理装置的结构
如图1所示,处理炉202具有作为加热单元(加热机构)的加热器207。加热器207是圆筒形状,通过支承于作为保持板的加热器基座(未图示)而垂直地安装。加热器207也如后述那样作为利用热来使气体活化(激发)的活化机构(激发部)发挥功能。
在加热器207的内侧,与加热器207呈同心圆状地配设有构成反应容器(处理容器)的反应管203。反应管203例如由石英(SiO2)或碳化硅(SiC)等耐热性材料构成,形成为上端封闭、下端开口的圆筒形状。在反应管203的筒中空部形成有处理室201。处理室201被构成为能够通过后述的晶舟217将作为衬底的晶片200以水平姿势且在垂直方向排列多层的状态进行收纳。
在处理室201内,喷嘴249a、249b被设置成贯穿反应管203的下部。喷嘴249a、249b例如由石英或SiC等耐热性材料构成。在喷嘴249a、249b分别连接有气体供给管232a、232b。在气体供给管232b连接有气体供给管232c。这样,在反应管203设置有2根喷嘴249a、249b和3根气体供给管232a~232c,被构成为能够向处理室201内供给多种气体。
但是,本实施方式的处理炉202不限定于上述的形态。例如,也可以在反应管203的下方设置支承反应管203的金属制的集流管,将各喷嘴设置成贯穿集流管的侧壁。在该情况下,也可以在集流管还设置后述的排气管231。即使在该情况下,也可以将排气管231不是设置在集流管的下部,而是设置在反应管203的下部。这样,也可以将处理炉202的炉口部设为金属制,在该金属制的炉口部安装喷嘴等。
在气体供给管232a~232c,从上游方向开始依次分别设置有作为流量控制器(流量控制部)的质量流量控制器(MFC)241a~241c以及作为开闭阀的阀243a~243c。在气体供给管232a、232b的阀243a、243b的下游侧分别连接有供给非活性气体的气体供给管232d、232e。在气体供给管232d、232e,从上游方向开始,依次设置有作为流量控制器(流量控制部)的MFC241d、241e以及作为开闭阀的阀243d、243e。
在气体供给管232a、232b的前端部分别连接有喷嘴249a、249b。如图2所示,在反应管203的内壁与晶片200之间的圆环状的空间,以自反应管203的内壁的下部沿上部朝向晶片200的排列方向上方竖立的方式设置喷嘴249a、249b。即,在排列晶片200的晶片排列区域的侧方的水平包围晶片排列区域的区域,沿着晶片排列区域设置喷嘴249a、249b。喷嘴249a、249b分别被构成为L字型的延伸喷嘴,这些各水平部被设置成贯穿反应管203的下部侧壁,这些各垂直部至少被设置成从晶片排列区域的一端侧朝向另一端侧竖立。在喷嘴249a、249b的侧面分别设置有供给气体的气体供给孔250a、250b。气体供给孔250a、250b分别以朝向反应管203的中心的方式开口,能够朝向晶片200供给气体。在从反应管203的下部到上部的范围内设置有多个气体供给孔250a、250b,分别具有相同的开口面积,而且以相同的开口间距设置。
这样,在本实施方式中,经由配置在由反应管203的内壁与所排列的多张晶片200的端部定义的圆环状的纵长空间内、即配置在圆筒状的空间内的喷嘴249a、249b来输送气体。并且,从分别向喷嘴249a、249b开口的气体供给孔250a、250b在晶片200的近旁首先向反应管203内喷出气体。然后,使反应管203内的气体的主要流动成为与晶片200的表面平行的方向,即水平方向。通过这样的构成,能够向各晶片200均匀地供给气体,能够提高形成于各晶片200的薄膜的膜厚均匀性。在晶片200的表面上流动的气体、即反应后的残余气体朝向排气口、即后述的排气管231的方向流动。但是,该残余气体的流动方向根据排气口的位置而适当确定,不限于垂直方向。
从气体供给管232a,经由MFC241a、阀243a、喷嘴249a而向处理室201内供给例如含有作为规定元素的硅(Si)以及卤族元素的卤代硅烷原料气体,作为包含规定元素(第一元素)以及卤族元素的原料。
所谓卤代硅烷(halosilane)原料气体,是指气体状态的卤代硅烷原料,例如,通过使常温常压下为液体状态的卤代硅烷原料汽化而得到的气体、常温常压下为气体状态的卤代硅烷原料等。所谓卤代硅烷原料,是指具有卤基的硅烷原料。卤族元素包含选自由氯(Cl)、氟(F)、溴(Br)、碘(I)组成的组中的至少1种。即,卤代硅烷原料包含选自由氯基、氟基、溴基、碘基组成的组中的至少1个卤基。卤代硅烷原料也可以说是卤化物的一种。在本说明书中,在使用“原料”这一措辞的情况下,有时指“液体状态的液体原料”,有时指“气体状态的原料气体”,或有时指这两方。
作为卤代硅烷原料气体,例如,能够使用包含Si以及Cl的原料气体、即氯代硅烷原料气体。作为氯代硅烷原料气体,例如,能够使用二氯甲硅烷(SiH2Cl2,简称:DCS)气体。
从气体供给管232b经由MFC241b、阀243b、喷嘴249b向处理室201内供给例如作为反应气体的含氮(N)气体,作为包含与上述的规定元素不同的元素(第二~第四元素)的反应物。作为含N气体,例如能够使用氮化氢系气体。氮化氢系气体可以说是仅由N以及H这2元素构成的物质,在后述的衬底处理工序中,作为氮化气体、即N源发挥作用。作为氮化氢系气体,例如能够使用氨(NH3)气体。
从气体供给管232b经由MFC241b、阀243b、喷嘴249b向处理室201内供给例如作为反应气体的含氧(O)气体,作为包含与上述的规定元素不同的元素(第二~第四元素)的反应物。含O气体在后述的衬底处理工序中,作为氧化气体、即O源发挥作用。作为含O气体,例如能够使用氧(O2)气体。
另外,从气体供给管232b经由MFC241b、阀243b、喷嘴249b向处理室201内供给例如作为反应气体的包含氮(N)以及碳(C)的气体,作为包含与上述的规定元素不同的元素(第二~第四元素)的反应物。作为包含N以及C的气体,例如能够使用胺系气体。
所谓胺系气体,是指气体状态的胺、例如通过使常温常压下为液体状态的胺汽化而得到的气体、常温常压下为气体状态的胺等包含胺基的气体。胺系气体包含乙胺、甲胺、丙胺、异丙胺、丁胺、异丁胺等胺。所谓胺,是将氨(NH3)的氢(H)以烷基等烃基取代后的形式的化合物的总称。胺包含烷基等烃基,作为包含C的配位基、即有机配位基。胺系气体包含C、N以及H这三种元素,由于不含有Si,所以也可以说是不含Si气体,由于不包含Si以及金属,所以也可以说是不含Si且不含金属的气体。胺系气体也可以说是仅由C、N以及H这三种元素构成的物质。胺系气体在后述的衬底处理工序中,作为N源发挥作用,也作为C源发挥作用。在本说明书中,在使用“胺”这一措辞的情况下,有时指“液体状态的胺”,有时指“气体状态的胺系气体”,或有时指这两方。
作为胺系气体,例如能够使用其化学结构式中(1分子中)的包含C的配位基(乙基)的数量是多个、在1分子中C的数量比N的数量多的三乙胺((C2H5)3N,简称:TEA)气体。在使用TEA那样常温常压下为液体状态的胺的情况下,通过汽化器、起泡器等汽化系统使液体状态的胺汽化,作为胺系气体(TEA气体)而供给。
另外,从气体供给管232b经由MFC241b、阀243b、喷嘴249b向处理室201内供给例如作为反应气体的不含环硼氮烷环骨架的含硼(B)气体,作为包含与上述的规定元素不同的元素(第二~第四元素)的反应物。作为不含环硼氮烷环骨架的含B气体,例如能够使用硼烷系气体。
所谓硼烷系气体,是指气体状态的硼烷化合物,例如通过使常温常压下为液体状态的硼烷化合物汽化而得到的气体、常温常压下为气体状态的硼烷化合物等。在硼烷化合物中,包含卤硼烷化合物(其包含B和卤族元素),例如包含B以及Cl的氯代硼烷化合物。另外,在硼烷化合物中,含有甲硼烷(BH3)、乙硼烷(B2H6)这样的硼烷(硼化氢)和/或将硼烷的H以其他的元素等取代后的形式的硼烷化合物(硼烷衍生物)。硼烷系气体在后述的衬底处理工序中作为B源发挥作用。作为硼烷系气体,例如能够使用三氯代硼烷(BCl3)气体。BCl3气体是不含有后述的环硼氮烷化合物的含B气体、即非环硼氮烷系的含B气体。
另外,从气体供给管232b经由MFC241b、阀243b、喷嘴249b向处理室201内供给包含与上述的规定元素不同的元素(第二~第四元素)的反应物,例如包含作为反应气体的环硼氮烷环骨架的气体。作为包含环硼氮烷环骨架的气体,例如能够使用包含环硼氮烷环骨架以及有机配位基的气体、即有机环硼氮烷系气体。
作为有机环硼氮烷系气体,例如,能够使用使作为有机环硼氮烷化合物的烷基环硼氮烷化合物汽化而成的气体。能够将有机环硼氮烷系气体也称作环硼氮烷化合物气体或者环硼氮烷系气体。
此处,所谓环硼氮烷,是由B、N以及H这三种元素构成的杂环化合物,组成式能够由B3H6N3表示,能够由图12(a)所示的化学结构式表示。环硼氮烷化合物是包含构成环硼氮烷环(其由3个B和3个N构成)的环硼氮烷环骨架(也称作环硼氮烷骨架)的化合物。有机环硼氮烷化合物是包含C的环硼氮烷化合物,也可以说是含有包含C的配位基、即有机配位基的环硼氮烷化合物。烷基环硼氮烷化合物是包含烷基的环硼氮烷化合物,也可以说是包含烷基作为有机配位基的环硼氮烷化合物。烷基环硼氮烷化合物通过将环硼氮烷所包含的6个H中的至少任意个以包含1个以上的C的烃取代而成,能够由图12(b)所示的化学结构式表示。此处,图12(b)所示的化学结构式中的R1~R6是H,或者是包含1~4个C的烷基。R1~R6可以是相同种类的烷基,也可以是不同种类的烷基。但是,不包括R1~R6全部是H的情况。烷基环硼氮烷化合物具有构成环硼氮烷环的环硼氮烷环骨架,也可以说是包含B、N、H以及C的物质。另外,烷基环硼氮烷化合物也可以说是具有环硼氮烷环骨架、包含烷基配位基的物质。此外,R1~R6是H,或者是包含1~4个C的链烯基、炔基。R1~R6可以是相同种类的链烯基、炔基,也可以是不同种类的链烯基、炔基。但是,不包括R1~R6全部是H的情况。
环硼氮烷系气体在后述的衬底处理工序中,作为B源发挥作用,也作为N源发挥作用,还作为C源发挥作用。
作为环硼氮烷系气体,例如能够使用n、n’、n”-三甲基环硼氮烷(简称:TMB)气体、n、n’、n”-三乙基环硼氮烷(简称:TEB)气体、n、n’、n”-三-正丙基环硼氮烷(简称:TPB)气体、n、n’、n”-三异丙基环硼氮烷(简称:TIPB)气体、n、n’、n”-三-正丁基环硼氮烷(简称:TBB)气体、n、n’、n”-三异丁基环硼氮烷(简称:TIBB)气体等。对于TMB,图12(b)所示的化学结构式中的R1、R3、R5是H,R2、R4、R6是甲基,是能够由图12(c)所示的化学结构式表示的环硼氮烷化合物。TEB是图12(b)所示的化学结构式中的R1、R3、R5为H、R2、R4、R6为乙基的环硼氮烷化合物。对于TPB,图12(b)所示的化学结构式中的R1、R3、R5是H,R2、R4、R6是丙基,是能够由图12(d)所示的化学结构式表示的环硼氮烷化合物。TIPB是图12(b)所示的化学结构式中的R1、R3、R5为H、R2、R4、R6为异丙基的环硼氮烷化合物。TIBB是图12(b)所示的化学结构式中的R1、R3、R5为H、R2、R4、R6为异丁基的环硼氮烷化合物。
在使用TMB等那样常温常压下为液体状态的环硼氮烷化合物的情况下,通过汽化器、起泡器等的汽化系统使液体状态的环硼氮烷化合物汽化,作为环硼氮烷系气体(TMB气体等)而供给。
从气体供给管232c经由MFC241c、阀243c、气体供给管232b、喷嘴249b向处理室201内供给例如作为反应气体的含碳(C)气体,作为包含与上述的规定元素不同的元素(第二~第四元素)的反应物。作为含C气体,例如能够使用烃系气体。烃系气体也可以说是由仅C以及H这2元素构成的物质,在后述的衬底处理工序中作为C源发挥作用。作为烃系气体,例如,能够使用丙烯(C3H6)气体。
从气体供给管232d、232e,分别经由MFC241d、241e、阀243d、243e、气体供给管232a、232b、喷嘴249a、249b向处理室201内供给例如氮(N2)气体,作为非活性气体。从气体供给管232d、232e供给的非活性气体,在后述的衬底处理工序中,作为吹扫气体、稀释气体或者载体气体发挥作用。
主要由气体供给管232a、MFC241a、阀243a构成原料供给系统。也可以将喷嘴249a包含于原料供给系统。也能够将原料供给系统称作原料气体供给系统。在从气体供给管232a流动卤代硅烷原料的情况下,也能够将原料供给系统称作卤代硅烷原料供给系统或者卤代硅烷原料气体供给系统。
另外,在从气体供给管232b供给含N气体的情况下,主要由气体供给管232b、MFC241b、阀243b构成含N气体供给系统。也可以考虑将喷嘴249b包含于N气体供给系统。也能够将含N气体供给系称作氮化气体供给系统或者氮化剂供给系统。在从气体供给管232b流动氮化氢系气体的情况下,也能够将含N气体供给系统称作氮化氢系气体供给系统或者氮化氢供给系统。
另外,在从气体供给管232b供给含O气体的情况下,主要由气体供给管232b、MFC241b、阀243b构成含O气体供给系统。也可以考虑将喷嘴249b包含于含O气体供给系统。也能够将含O气体供给系统称作氧化气体供给系或者氧化剂供给系统。
另外,在从气体供给管232b供给包含N以及C的气体的情况下,主要由气体供给管232b、MFC241b、阀243b构成包含N以及C的气体供给系统。也可以考虑将喷嘴249b包含于包含N以及C的气体供给系统。在从气体供给管232b供给胺系气体的情况下,也能够将包含N以及C的气体供给系称作胺系气体供给系统或者胺供给系统。包含N以及C的气体既是含N气体,又是含C气体,也能够考虑将包含N以及C的气体供给系统包含于含N气体供给系统和/或后述的含C气体供给系统。
另外,在从气体供给管232b供给含B气体的情况下,主要由气体供给管232b、MFC241b、阀243b构成含B气体供给系统。也可以考虑将喷嘴249b包含于含B气体供给系统。在从气体供给管232b流动硼烷系气体的情况下,也能够将含B气体供给系统称作硼烷系气体供给系统或者硼烷化合物供给系统。在从气体供给管232b流动环硼氮烷系气体的情况下,也能够将含B气体供给系统称作环硼氮烷系气体供给系统、有机环硼氮烷系气体供给系统或者环硼氮烷化合物供给系统。环硼氮烷系气体既是包含N以及C的气体,也是含N气体,还是含C气体,所以也能够考虑将环硼氮烷系气体供给系统包含于包含N以及C的气体供给系统和/或含N气体供给系统和/或含C气体供给系统。
另外,主要由气体供给管232c、MFC241c、阀243c构成含C气体供给系统。也可以考虑在气体供给管232b的与气体供给管232c的连接部的下游侧,将喷嘴249b包含于含C气体供给系统。在从气体供给管232b供给烃系气体的情况下,也能够将含C气体供给系统称作烃系气体供给系统或者烃供给系统。
也能够将上述的含N气体供给系统、含O气体供给系统、含N及C气体供给系统、含B气体供给系统以及含C气体供给系统中的某一个或者全部气体供给系统称作反应物供给系统或者反应气体供给系统。
另外,主要由气体供给管232d、232e、MFC241d、241e、阀243d、243e构成非活性气体供给系统。也能够将非活性气体供给系统称作吹扫气体供给系统、稀释气体供给系统或者载体气体供给系统。
在反应管203设置有对处理室201内的气氛进行排气的排气管231。在排气管231,经由检测处理室201内的压力的作为压力检测器(压力检测部)的压力传感器245以及作为压力调整器(压力调整部)的APC(Auto Pressure Controller:自动压力控制器)阀244,连接有作为真空排气装置的真空泵246。APC阀244被构成为如下阀:通过在使真空泵246工作的状态下开闭阀,能够进行处理室201内的真空排气以及真空排气停止,进而通过在使真空泵246工作的状态下基于由压力传感器245检测到的压力信息调节阀开度,能够调整处理室201内的压力。主要由排气管231、APC阀244、压力传感器245构成排气系统。也可以考虑将真空泵246包含于排气系统。
在反应管203的下方,设置有能够将反应管203的下端开口气密地封闭的作为炉口盖体的密封盖219。密封盖219被构成为从垂直方向下侧抵接于反应管203的下端。密封盖219例如由SUS等金属构成,形成为圆盘状。在密封盖219的上表面设置有与反应管203的下端抵接的作为密封部件的O型圈220。在密封盖219的处理室201的相反侧,设置有使后述的晶舟217旋转的旋转机构267。旋转机构267的旋转轴255贯穿密封盖219而连接于晶舟217。旋转机构267被构成为通过使晶舟217旋转来使晶片200旋转。密封盖219被构成为通过在反应管203的外部垂直设置的作为升降机构的晶舟升降机115而在垂直方向上升降。晶舟升降机115被构成为能够通过使密封盖219升降,来将晶舟217相对于处理室201内外搬入以及搬出。即,晶舟升降机115被构成为将晶舟217即晶片200向处理室201内外输送的输送装置(输送机构)。
作为衬底支承具的晶舟217被构成为将多张例如25~200张晶片200以水平姿势且彼此中心对齐的状态在垂直方向排列地呈多层支承,也就是使多张晶片200隔开间隔地排列。晶舟217由例如石英、SiC等耐热性材料构成。在晶舟217的下部,由例如石英、SiC等耐热性材料构成的绝热板218以水平姿势多层地支承。根据该结构,来自加热器207的热不易传递到密封盖219侧。但是,本实施方式不限定于上述的实施方式。例如,也可以不在晶舟217的下部设置绝热板218,而设置构成为由石英、SiC等耐热性材料构成的筒状的部件的绝热筒。
在反应管203内,设置有作为温度检测器的温度传感器263。被构成为通过基于由温度传感器263检测到的温度信息来调整向加热器207的通电情况,使得处理室201内的温度成为期望的温度分布。温度传感器263与喷嘴249a、249b同样地构成为L字型,被设置成沿反应管203的内壁。
如图3所示,作为控制部(控制单元)的控制器121被构成为具备CPU(CentralProcessing Unit:中央处理单元)121a、RAM(Random Access Memory:随机存取存储器)121b、存储装置121c以及I/O端口121d的计算机。RAM121b、存储装置121c、I/O端口121d被构成为能够经由内部总线121e与CPU121a进行数据交换。在控制器121连接有例如构成为触摸板等的输入输出装置122。
存储装置121c例如由闪存、HDD(Hard Disk Drive:硬盘驱动器)等构成。在存储装置121c内,以能够读出的方式存储有控制衬底处理装置的动作的控制程序、记载有后述的衬底处理的步骤和/或条件等的工艺制程等。工艺制程是以使控制器121执行后述的衬底处理工序中的各步骤、来得到规定的结果的方式组合而成的,作为程序发挥功能。以下,作为该工艺制程、控制程序等的总称,也简称为程序。在本说明书中,在使用程序这一措辞的情况下,有时指工艺制程这一方,有时指控制程序这一方,或有时包含这双方。RAM121b被构成为暂时保持由CPU121a读出的程序、数据等的存储器区域(工作区)。
I/O端口121d连接于上述的MFC241a~241e、阀243a~243e、压力传感器245、APC阀244、真空泵246、加热器207、温度传感器263、旋转机构267、晶舟升降机115等。
CPU121a被构成为从存储装置121c读出并执行控制程序,并且根据来自输入输出装置122的操作指令的输入等来从存储装置121c读出工艺制程。CPU121a被构成为以沿着读出的工艺制程的内容的方式,控制MFC241a~241e的各种气体的流量调整动作、阀243a~243e的开闭动作、APC阀244的开闭动作以及基于压力传感器245的APC阀244的压力调整动作、真空泵246的启动以及停止、基于温度传感器263的加热器207的温度调整动作、旋转机构267的晶舟217的旋转以及旋转速度调节动作、晶舟升降机115的晶舟217的升降动作等。
控制器121不限于被构成为专用的计算机的情况,也可以构成为通用的计算机。例如,准备存储了上述的程序的外部存储装置(例如,磁带、软盘、硬盘等磁盘、CD、DVD等光盘、MO等光磁盘、USB存储器、存储卡等半导体存储器)123,能够通过使用该外部存储装置123向通用的计算机安装程序等,来构成本实施方式的控制器121。但是,用于向计算机供给程序的手段不限于经由外部存储装置123供给的情况。例如,也可以设为使用互联网、专用线路等的通信手段,不经由外部存储装置123地供给程序。存储装置121c、外部存储装置123被构成为计算机可读取的记录介质。以下,作为它们的总称,也简称为记录介质。在本说明书中,在使用记录介质这一措辞的情况下,有时指存储装置121c这一方,有时指外部存储装置123这一方,或指这双方。
(2)衬底处理工序
使用图4,对使用上述的衬底处理装置、作为半导体器件(device)的制造工序的一工序、在表面形成有绝缘膜的衬底上,形成包含多种元素的膜的顺序例进行说明。在以下的说明中,构成衬底处理装置的各部的动作由控制器121控制。
在图4所示的成膜顺序中,通过对在表面作为绝缘膜形成有硅氧化膜(SiO膜)的晶片200(以下,也简称为晶片200)供给DCS气体作为原料,由此预处理SiO膜的表面,然后以规定次数(1次以上)进行非同时即非同步地进行对通过晶片200供给DCS气体的步骤、对晶片200供给C3H6气体作为反应物的步骤以及对晶片200供给NH3气体作为反应物的步骤的循环,由此在进行了预处理的SiO膜的表面上,形成包含C的硅氮化膜(SiN膜)Si作为包含C以及N的膜。也将包含C的SiN膜称作添加(掺杂)了C的SiN膜、C-掺杂SiN膜、含C的SiN膜或者简称为SiCN膜。
此外,在本说明书中,也有时如以下那样示出上述的成膜顺序。
DCS→(DCS→C3H6→NH3)×n→SiCN膜
在本说明书中,在使用“晶片”这一措辞的情况下,有时指“晶片本身”、“晶片和在其表面形成的规定的层、膜等的层叠体(集合体)”,即有时包含在表面形成的规定的层、膜等而称为晶片。另外,在本说明书中,在使用“晶片的表面”这一措辞的情况下,有时指“晶片其本身的表面(露出面)”、“在晶片上形成的规定的层、膜等表面,即作为层叠体的晶片的最外表面”。
因此,在本说明书中,在记载为“对晶片供给规定的气体”的情况下,有时指“对晶片其本身的表面(露出面)直接供给规定的气体”、“对在晶片上形成的层、膜等、即对作为层叠体的晶片的最外表面供给规定的气体”。另外,在本说明书中,在记载为“在晶片上形成规定的层(或膜)”的情况下,有时指“在晶片其本身的表面(露出面)上直接形成规定的层(或膜)”、“在形成于晶片上的层、膜等上、即作为层叠体的晶片的最外表面上形成规定的层(或膜)”。
另外,在本说明书中,在使用“衬底”这一措辞的情况下,与使用“晶片”这一措辞的情况是同样的,在该情况下,在上述说明中将“晶片”置换为“衬底”来考虑即可。
(填充晶片以及装载晶舟)
多张晶片200被装填(晶片填充)于晶舟217时,如图1所示,支承多张晶片200的晶舟217通过晶舟升降机115而被抬起,搬入(晶舟装载)到处理室201内。在该状态下,成为密封盖219经由O型圈220密封反应管203的下端的状态。
此外,在晶片200的表面的至少一部分,如上述那样,预先形成有作为氧化膜的SiO膜作为绝缘膜。该膜在后述的成膜工序中,成为成膜处理的基底膜的至少一部分。该膜可以形成为覆盖晶片200的表面整个区域,也可以形成为仅覆盖其一部分。此外,作为绝缘膜,除SiO膜之外,还可以形成例如硅氮化膜(SiN膜)、硅氧氮化膜(SiON膜)、硅氧碳氮化膜(SiOCN膜)、硅氧碳化膜(SiOC膜)、硅碳氮化膜(SiCN膜)等含Si膜、铝氧化膜(AlO膜)、铪氧化膜(HfO膜)、锆氧化膜(ZrO膜)、钛氧化膜(TiO膜)等金属氧化膜,即高介电常数绝缘膜(High-k膜)。此处所说的绝缘膜(氧化膜、氮化膜、氮氧化膜、碳氧氮化膜、碳氧化膜、碳氮化膜)中,例如,除了包含通过实施CVD处理、等离子CVD处理、热氧化处理、热氮化处理等离子氧化处理、等离子氮化处理等规定处理而有意识地形成的膜之外,还包含在输送中等因暴露于大气而自然形成的自然氧化膜等。
(压力调整以及温度调整)
通过真空泵246进行真空排气(减压排气),以使处理室201内、即晶片200所存在的空间成为期望的压力(真空度)。此时,处理室201内的压力由压力传感器245测定,基于该测定出的压力信息反馈控制APC阀244。真空泵246至少在对晶片200的处理结束为止的期间维持始终工作的状态。另外,通过加热器207加热处理室201内的晶片200,以使其成为期望的成膜温度。此时,基于温度传感器263所检测到的温度信息反馈控制向加热器207的通电情况,以使处理室201内成为期望的温度分布。加热器207的处理室201内的加热至少在对晶片200的处理结束为止的期间持续进行。另外,通过旋转机构267开始晶舟217以及晶片200的旋转。通过旋转机构267进行的晶舟217以及晶片200的旋转至少在对晶片200的处理结束为止的期间持续进行。
(预处理工序)
其后,对在晶片200上形成的SiO膜的表面,进行预处理(三加工处理)。在该处理中,在SiO膜的表面上,作为初始层,形成包含作为规定元素(第一元素)的Si的层、即作为种晶层的含Si层。也能够将种晶层称作Si种晶层。
此处,打开阀243a,向气体供给管232a内流动DCS气体。DCS气体通过MFC241a进行流量调整,经由喷嘴249a向处理室201内供给,从排气管231排气。此时,会对晶片200供给DCS气体。此时同时打开阀243d,向气体供给管232d内流动N2气体。N2气体通过MFC241d进行流量调整,与DCS气体一起向处理室201内供给,从排气管231排气。
另外,为了防止DCS气体向喷嘴249b内的浸入,打开阀243e,向气体供给管232e内流动N2气体。N2气体经由气体供给管232b、喷嘴249b向处理室201内供给,从排气管231排气。
此时,适当调整APC阀244,将处理室201内的压力设为例如1~2666Pa的范围内的压力,优选设为67~1333Pa的范围内的压力。另外,由MFC241a控制的DCS气体的供给流量设为例如1~2000sccm的范围内的流量,优选设为10~1000sccm的范围内的流量。由MFC241d、241e控制的N2气体的供给流量分别设为例如100~10000sccm的范围内的流量。
对晶片200供给DCS气体的时间、即气体供给时间(照射时间)比后述的成膜工序中每1循环的DCS气体的供给时间长。具体而言,DCS气体的气体供给时间设为例如60秒以上且1200秒以下,优选设为120秒以上且900秒以下,更加优选设为300秒以上且600秒以下的范围内的时间。
若气体供给时间不足60秒,则在SiO膜的表面上形成的种晶层的厚度变得过薄(例如成为不足0.05nm的厚度),有时种晶层成为不连续的层。在该情况下,后述的成膜工序会在基底的SiO膜部分露出的状态下进行。其结果,在成膜工序中使用的NH3气体等反应物直接供给到SiO膜的表面而与其接触,有时SiO膜的表面被改性(氮化)。作为结果,有时在SiO膜与含C的SiN膜的界面形成具有例如硅氧氮化层(SiON层)等非期望的组成的层、即转变层。另外,因种晶层成为不连续的层,容易在含C的SiN膜产生后述的针孔。另外,含C的SiN膜的晶片200面内的膜厚均匀性变得容易下降,另外,台阶覆盖性变得容易下降。
通过将气体供给时间设为60秒以上,能够连续地形成种晶层,即能够将种晶层设为连续的层,通过将气体供给时间设为120秒(2分钟)以上,进而设为300秒(5分钟)以上,会更加容易且可靠地将种晶层设为连续的层。通过将种晶层设为连续的层,能够防止反应物向SiO膜的直接的供给以及接触,能够抑制转变层的形成。另外,即使在将含C的SiN膜的膜厚设为例如1nm()以上且10nm()以下,优选设为2nm()以上且5nm()以下,更加优选2nm()以上且3nm()以下的所谓的薄膜区域的情况下,也能够将含C的SiN膜设为不具有针孔的连续的膜。另外,也能够提高含C的SiN膜表面的平坦性,即提高含C的SiN膜的晶片200面内的膜厚均匀性。另外,也能够提高含C的SiN膜的台阶覆盖性。
若气体供给时间超过1200秒(20分钟),则在SiO膜的表面上形成的种晶层的厚度变得过厚(例如成为超过0.2nm的厚度),在将在SiO膜上形成的种晶层和含C的SiN膜的层叠膜作为整体观察时,有时膜质会变化,例如膜中(尤其是下层)的Cl等的杂质浓度增大。另外,有时预处理工序中的DCS气体的总消耗量增加,成膜成本增加。通过将气体供给时间设为1200秒以下,能够消除这些问题。尤其是通过将气体供给时间设为900秒(15分钟)以下、进而设为600秒(10分钟)以下,能够将在SiO膜上形成的种晶层和含C的SiN膜的层叠膜的整体的膜质设为更加适当的膜质。另外,能够更加适当地抑制预处理工序中的DCS气体的消耗量,能够使成膜成本更加减低。
此外,在形成种晶层时,也可以将DCS气体的供给流量设为比后述的成膜工序中的DCS气体的供给流量大。在该情况下,例如,可以将形成种晶层时的DCS气体的供给流量设定为1000~2000sccm,将后述的成膜工序中的DCS气体的供给流量设定为1~900sccm。另外,也可以将处理室201内的压力设为比后述的成膜工序中的DCS气体供给时的处理室201内的压力大。在该情况下,例如可以将形成种晶层时的处理室201内的压力设定为1333~2666Pa,将后述的成膜工序中的DCS气体供给时的处理室201内的压力设定为1~1000Pa。在这些情况下,能够增加种晶层的形成率,提高成膜处理整体的生产性。另外,变得更容易且可靠地将种晶层设为连续的层,变得更容易抑制成膜工序中的转变层的形成。另外,也变得更容易将含C的SiN膜设为连续的膜,更容易分别提高含C的SiN膜的膜厚均匀性、台阶覆盖性。
晶片200的温度设为例如250~700℃,优选设为300~650℃,更优选设为350~600℃的范围内的温度。
若晶片200的温度不足250℃,则难以在SiO膜的表面上形成种晶层,有时不能得到实用的种晶层的形成率。通过将晶片200的温度设为250℃以上,能够消除该问题。此外,通过将晶片200的温度设为300℃以上,进一步设为350℃以上,能够在SiO膜的表面上更加可靠地形成种晶层,另外,能够进一步提高种晶层的形成率。
若晶片200的温度超过700℃,则CVD反应变得过强(产生过剩的气相反应),从而晶片200面内的种晶层的厚度的均匀性容易恶化,难以进行其控制。通过将晶片200的温度设为700℃以下,使得能够产生适当的气相反应,由此能够抑制晶片200面内的种晶层的厚度的均匀性的恶化,能够进行其控制。尤其是通过将晶片200的温度设为650℃以下,进一步设为600℃以下,从而表面反应优先于气相反应,可容易确保晶片200面内的种晶层的厚度的均匀性,容易进行其控制。
因而,晶片200的温度设为250~700℃比较好,优选设为300~650℃,更加优选设为350~600℃的范围内的温度。若处于该温度范围,则能够适当地推进对晶片200的预处理、即种晶层在SiO膜的表面上的形成。
通过在上述的条件下对晶片200供给DCS气体,在晶片200表面的SiO膜上形成包含Si以及Cl的层、即包含Cl的含Si层,作为例如0.05nm()以上0.2nm()以下的厚度的种晶层。种晶层也可以包含H。即,种晶层也可以是包含Si、Cl以及H的层。另外,种晶层成为包含Si-Si键的层,如上述那样,遍及晶片200的面内整个区域,成为连续且平坦的层。另外,种晶层的表面成为由Si-Cl键进行封端(以下,也简称为Cl封端)的面。种晶层的表面的至少一部也有时成为由Si-H键封端(以下,也简称为H封端)的面。种晶层的表面通过被Cl封端,或者至少其一部分被H封端,由此与SiO膜的表面相比,成为在后述的成膜工序中第一层容易成长的面。
(残留气体除去)
在形成了种晶层后,关闭阀243a,停止DCS气体的供给。此时,APC阀244保持打开,通过真空泵246对处理室201内进行真空排气,将残留于处理室201内的未反应或者对种晶层的形成作出贡献后的
DCS气体从处理室201内排除。此时,阀243d、243e保持打开,维持N2气体向处理室201内的供给。N2气体被用作吹扫气体,由此,能够提高将残留于处理室201内的气体从处理室201内排除的效果。
此时,可以不将残留于处理室201内的气体完全排除,可以不将处理室201内完全吹扫。若残留于处理室201内的气体为微量,则在其后进行的成膜工序中也不会产生坏影响。向处理室201内供给的N2气体的流量也不需设为大流量,例如通过供给与反应管203(处理室201)的容积相同程度的量的N2气体,能够进行在步骤2中不产生坏影响的程度的吹扫。这样,通过不将处理室201内完全吹扫,能够缩短吹扫时间,提高总处理能力。N2气体的消耗也能够抑制为必要的最小限度。
作为原料,除了DCS气体之外,例如也可以使用氯硅烷(SiH3Cl,简称:MCS)气体、六氯乙硅烷(Si2Cl6,简称:HCDS)气体、四氯硅烷即四氯化硅(SiCl4,简称:STC)气体、三氯硅烷(SiHCl3,简称:TCS)气体、四氟硅烷(SiF4,简称:TFS)气体、六氟乙硅烷(Si2F6,简称:HFDS)气体、三硅烷(Si3H8,简称:TS)气体、乙硅烷(Si2H6,简称:DS)气体、甲硅烷(SiH4,简称:MS)气体等无机原料气体、四(二甲基氨基)硅烷(Si[N(CH3)2]4,简称:4DMAS)气体、三(二甲胺基)硅烷(Si[N(CH3)2]3H,简称:3DMAS)气体、二(二乙氨基)硅烷(Si[N(C2H5)2]2H2,简称:BDEAS)气体、二叔丁基氨基硅烷(SiH2[NH(C4H9)]2,简称:BTBAS)气体等有机原料气体。
但是,在预处理工序中,与使用有机原料气体相比,使用无机原料气体更能够防止C、N等杂质混入种晶层中,因而优选。即,作为在形成种晶层时所使用的原料,优选使用不含N或不含C的原料,更加优选使用不含N以及C的原料。另外,在无机原料中,还更加优选使用包含卤族元素的原料。此外,在使用包含Cl作为卤族元素的原料的情况下,可减低种晶层中所包含的Cl的浓度,所以优选使用组成式中(1分子中)Cl数量少的原料,例如优选使用DCS气体、MCS气体等包含Si、H以及Cl的气体。
作为非活性气体,除了N2气体之外,还能够使用Ar气、He气、Ne气、Xe气等稀有气体。
(成膜工序)
一旦对SiO膜的表面的预处理、即种晶层在SiO膜的表面上的形成完成,依次执行以下的3个步骤、即步骤1~3。
[步骤1]
(DCS气体供给)
在预处理工序结束后,对处理室201内的晶片200供给DCS气体。
在该步骤中,以与预处理工序中的阀243a、243d、243e的开闭控制同样的顺序进行阀243a、243d、243e的开闭控制。DCS气体经由气体供给管232a、喷嘴249a被供给到处理室201内,从排气管231排气。此时,会对晶片200供给DCS气体。对晶片200供给DCS气体的时间、即气体供给时间(照射时间)例如设为1~120秒,优选设为1~60秒的范围内的时间,设定成比预处理工序中的DCS气体的供给时间短的时间。例如,在将预处理工序中的DCS气体的供给时间设定为60~120秒的情况下,将该步骤中的DCS气体的供给时间设定为1~30秒。其他的处理条件与预处理工序的处理条件设为同样。
通过在上述的条件下对晶片200供给DCS气体,在种晶层上形成包含例如从不足1原子层到数原子层程度的厚度的包含Cl的含Si层作为第一层。包含Cl的含Si层可以是包含Cl的Si层,也可以是DCS的吸附层,还可以包含这双方。
所谓包含Cl的Si层,是除了由Si构成、包含Cl的连续的层之外,还包含不连续的层、将它们重叠而成的包含Cl的Si薄膜的总称。也有时将由Si构成、包含Cl的连续的层称作包含Cl的Si薄膜。构成包含Cl的Si层的Si除了包含与Cl的键没有完全切断的情况之外,还包含与Cl的键没有完全切断的情况。包含Cl的Si层也可以包含H。
DCS的吸附层除了包含由DCS分子构成的连续的吸附层之外,还包含不连续的吸附层。即,DCS的吸附层包含由DCS分子构成的1分子层或者不足1分子层的厚度的吸附层。构成DCS的吸附层的DCS分子包含Si与Cl的键、Si与H的键一部分切断的情况。即,DCS的吸附层可以是DCS的物理吸附层,也可以是DCS的化学吸附层,还可以包含这双方。
此处,所谓不足1原子层的厚度的层,指不连续地形成的原子层,所谓1原子层的厚度的层,指连续地形成的原子层。所谓不足1分子层的厚度的层,指不连续地形成的分子层,所谓1分子层的厚度的层,指连续地形成的分子层。包含Cl的含Si层可包含含Cl的Si层和DCS的吸附层的双方。但是,如上述那样,对于包含Cl的含Si层,可以使用“1原子层”、“数原子层”等表达来表现。
通过在DCS气体自体分解(热分解)的条件下、即产生DCS气体的热分解反应的条件下,在晶片200上堆积Si,来形成包含Cl的Si层。通过在DCS气体不自体分解(热分解)的条件下、即不产生DCS气体的热分解反应的条件下,在晶片200上吸附DCS,来形成DCS的吸附层。与在晶片200上形成DCS的吸附层相比,在晶片200上形成包含Cl的Si层在提高成膜率这一点是优选的。
若在晶片200上形成的第一层的厚度超过数原子层,则后述的步骤3中的改性的作用变得到达不了第一层的整体。另外,能够在晶片200上形成的第一层的厚度的最小值不足1原子层。因而,第一层的厚度优选设为从不足1原子层到数原子层左右的范围。通过将第一层的厚度设为1原子层以下、即1原子层或不足1原子层,能够相对提高后述的步骤3中的改性反应的作用,能够缩短步骤3中的改性反应所需要的时间。能够缩短步骤1中的第一层的形成所需要的时间。作为结果,能够缩短每1循环的处理时间,也能够缩短整体的处理时间。即,也能够提高成膜率。另外,通过将第一层的厚度设为1原子层以下,也能够提高膜厚均匀性的控制性。
(残留气体除去)
在形成第一层后,关闭阀243a,停止DCS气体的供给。然后,通过与预处理工序同样的处理顺序,将残留于处理室201内的未反应或者对第一层的形成进行贡献后的的DCS气体从处理室201内排除。此时,在也可以不将残留于处理室201内的气体完全排除这一点,与预处理工序是同样的。
作为原料,除了DCS气体之外,也能够使用上述的无机原料气体、有机原料气体。作为非活性气体,除了N2气体体之外,例如还能够使用Ar气、He气、Ne气、Xe气等的稀有气体。
[步骤2]
(C3H6气体供给)
在步骤1结束后,对处理室201内的晶片200供给C3H6气体。
在该步骤中,以与预处理工序中的阀243a、243d、243e的开闭控制同样的顺序进行阀243c、243d、243e的开闭控制。C3H6气体经由气体供给管232c、232b、喷嘴249b被供给到处理室201内,从排气管231排气。此时,会对晶片200供给C3H6气体。由MFC241c控制的C3H6气体的供给流量例如设为100~10000sccm的范围内的流量。处理室201内的压力例如设为1~5000Pa,优选设为1~4000Pa的范围内的压力。处理室201内的C3H6气体的分压例如设为0.01~4950Pa的范围内的压力。对C3H6气体供给晶片200的时间、即气体供给时间(照射时间)例如设为1~200秒,优选设为1~120秒,更加优选设为1~60秒的范围内的时间。其他的处理条件例如设为与步骤1同样的处理条件。
通过在上述的条件下对晶片200供给C3H6气体,在形成于晶片200上的第一层(包含Cl的含Si层)的表面上形成不足1原子层的厚度的含C层、即不连续的含C层。含C层可以是C层,也可以是C3H6的化学吸附层,还可以包含这双方。此外,在后述的步骤3中,为了可靠地进行在表面形成有含C层的第一层与NH3气体的反应、即含C硅氮化层(含C的SiN层)的形成,优选是在C3H6向第一层的表面上的吸附反应饱和之前、即在第一层的表面上形成的C3H6的吸附层(化学吸附层)等含C层成为连续层之前(在为不连续层的期间),停止C3H6气体的供给。
(残留气体除去)
在第一层的表面上形成含C层后,关闭阀243c,停止C3H6气体的供给。然后,通过与预处理工序同样的处理顺序,将残留于处理室201内的未反应或者对含C层的形成进行贡献后的C3H6气体、反应副产物从处理室201内排除。此时,在也可以不将残留于处理室201内的气体等完全排除这一点,与预处理工序是同样的。
作为含C气体,除了C3H6气体之外,例如也能够使用乙炔(C2H2)气体、乙烯(C2H4)气体等烃系气体。
[步骤3]
(NH3气体供给)
步骤2结束后,向处理室201内的晶片200供给NH3气体。
在该步骤中,以与预处理工序中的阀243a、243d、243e的开闭控制同样的顺序进行阀243b、243d、243e的开闭控制。NH3气体从气体供给管232b经由喷嘴249b供给到处理室201内,从排气管231排气。此时,会对晶片200供给NH3气体。由MFC241b控制的NH3气体的供给流量例如设为100~10000sccm的范围内的流量。处理室201内的压力例如设为1~4000Pa,优选设为1~3000Pa的范围内的压力。处理室201内的NH3气体的分压例如设为0.01~3960Pa的范围内的压力。通过将处理室201内的压力设为这样的比较高的压力带,能够以非等离子方式使NH3气体热活化。NH3气体通过热而活化并供给更能够产生比较温和的反应,能够比较温和地进行后述的氮化。将通过热而活化的NH3气体向晶片200供给的时间、即气体供给时间(照射时间)例如设为1~120秒,优选设为1~60秒的范围内的时间。其他的处理条件例如设为与步骤1同样的处理条件。
通过在上述的条件下对晶片200供给NH3气体,在表面形成有含C层的第一层(以下,也称作“含C层/第一层”)的至少一部分被氮化(改性)。通过使含C层/第一层改性,会在晶片200上形成包含Si、C以及N的第二层、即包含C的SiN层。在形成第二层时,包含于含C层/第一层的Cl、H等杂质在NH3气体的改性反应的过程中,至少构成包含Cl、H的气体状物质,从处理室201内排出。即,含C层/第一层中的Cl等杂质通过从含C层/第一层中抽出或脱离,而从含C层/第一层分离。由此,第二层成为与含C层/第一层相比Cl、H等杂质少的层。
(残留气体除去)
在形成第二层后,关闭阀243b,停止NH3气体的供给。然后,通过与步骤1同样的处理顺序,将残留于处理室201内的未反应或者对第二层的形成进行贡献后的NH3气体、反应副产物从处理室201内排除。此时,在也可以不将残留于处理室201内的气体等完全排除这一点与步骤1是同样的。
作为含N气体,除了NH3气体之外,例如也能够使用二氮烯(N2H2)气体、联氨(N2H4)气体、N3H8气体等氮化氢系气体、包含它们的化合物的气体等。作为非活性气体,除了N2气体之外,例如还能够使用Ar气、He气、Ne气、Xe气等的稀有气体。
(实施规定次数)
通过将非同时进行上述步骤1~3的循环进行1次以上(规定次数),能够在晶片200上形成规定组成以及规定膜厚的含C的SiN膜。在图9中示出在表面依次形成有SiO膜、含C的SiN膜的成膜处理后的晶片200的剖面构造。
此处,在将含C的SiN膜用作在对晶片200进行蚀刻处理时保护SiO膜的膜、所谓保护膜的情况下,含C的SiN膜的膜厚设为0.2nm()以上且10nm()以下,优选设为0.5nm()以上且10nm()以下,更加优选设为1nm()以上且10nm()以下的厚度。
在含C的SiN膜的膜厚不足0.2nm时,有时该膜不足以作为保护膜发挥功能。通过将含C的SiN膜的膜厚设为0.2nm以上的厚度,能够使该膜足以作为保护膜发挥功能。通过将含C的SiN膜的膜厚设为0.5nm以上、进一步设为1nm以上,能够进一步提高该膜所具有的作为保护膜的功能。
此外,在膜厚超过10nm时,即使在SiN膜中不添加C、即即使由不含C的SiN膜构成保护膜,也能够使该膜足以作为保护膜发挥功能。这是因为在SiN膜的膜厚超过10nm时,SiN膜中的针孔的影响变得足够小。
此处,所谓针孔,是指在对膜供给蚀刻气体、蚀刻液等蚀刻剂时,蚀刻剂朝向该膜的基底侧、在本实施方式中为SiO膜侧浸入的路径。针孔不限于被构成为物理性的孔的情况。例如,可由于在膜产生的局部的龟裂、局部的膜密度的下降、局部的缺陷密度的增加、局部的组成、晶体构造的变化等各种各样的原因而形成针孔。若在保护膜存在针孔,则在对保护膜供给蚀刻剂时,有时蚀刻剂经由针孔到达基底,基底受蚀刻损伤。另外,因蚀刻剂浸入针孔内,也有时保护膜自身被蚀刻,招致作为保护膜的功能的下降。
不含C的SiN膜在膜厚变薄时容易产生针孔。在由不含C的SiN膜构成保护膜的情况下,在将膜厚设为10nm以下时,有时产生针孔的影响,在将膜厚设为3nm以下时,因针孔的影响变大而作为保护膜的功能会变得不充分。与此相对,通过在SiN膜中添加C,即通过由含C的SiN膜构成保护膜,能够抑制针孔的产生,提高作为保护膜的功能。在由含C的SiN膜构成保护膜的情况下,确认了即使将其膜厚设为10nm以下,甚至设为3nm以下,也能够作为保护膜充分发挥功能。由此可知,可认为由含C的SiN膜构成保护膜在需要将保护膜的膜厚设为10nm以下的薄膜的情况下尤其具有大的意义。
由以上情况可知,在将含C的SiN膜用作保护膜的情况下,其膜厚设为0.2nm以上且10nm以下,优选设为0.5nm以上且10nm以下,更加优选设为1nm以上且10nm以下的厚度。此外,确认了,即使将含C的SiN膜的膜厚设为0.2nm以上且3nm以下,优选设为0.5nm以上且3nm以下,更加优选设为1nm以上且3nm以下的厚度,也能够抑制针孔的产生,作为保护膜充分地发挥功能。
此外,优选上述的循环反复进行多次。即,优选,每1循环中形成的含C的SiN层的厚度比期望的膜厚小,反复进行多次上述的循环,直到成为期望的膜厚。
(吹扫以及大气压恢复)
在成膜工序结束后,打开阀243d、243e,从气体供给管243d、243e分别向处理室201内供给N2气体,从排气管231排气。N2气体作为吹扫气体发挥作用。由此,吹扫处理室201内,残留于处理室201内的气体、反应副产物被处理室201内除去(吹扫)。其后,处理室201内的气氛被置换成非活性气体(非活性气体置换),处理室201内的压力恢复至常压(大气压恢复)。
(卸载晶舟以及取出晶片)
其后,通过晶舟升降机115使密封盖219下降,反应管203的下端开口。然后,处理完毕的晶片200以支承于晶舟217的状态从反应管203的下端被搬出到反应管203的外部(卸载晶舟)。自晶舟217取出处理完毕的晶片200(取出晶片)。
(3)本实施方式的效果
根据本实施方式,可得到以下所示的1个或者多个效果。
(a)含C的SiN膜形成于进行了预处理工序后的SiO膜的表面上、即以连续地覆盖SiO膜的表面整个区域的方式形成的种晶层上。连续地形成的种晶层在进行成膜工序时,能够作为阻碍反应物向成膜的基底即SiO膜的直接供给以及接触的阻挡层发挥功能。由此,能够避免SiO膜的表面通过NH3气体等反应物而改性。并且,能够抑制SiO膜与含C的SiN膜的界面处的转变层的形成。
此外,抑制转变层的形成,在将含C的SiN膜的膜厚设为上述的薄膜区域的情况下,尤其具有重要的意义。这是因为,在SiO膜与含C的SiN膜之间形成转变层的情况下,含C的SiN膜的膜厚越薄,则转变层相对于含C的SiN膜的厚度的比例越大,有时其影响变得不能无视。另外,也有时在含C的SiN膜的表面形成有自然氧化膜。在该情况下,也是含C的SiN膜的膜厚越薄,自然氧化膜相对于含C的SiN膜的比例越大。即,含C的SiN膜的膜厚越薄,则含C的SiN膜中被控制成期望的组成的区域所占的比例越容易减小。与此相对,通过如本实施方式这样抑制转变层的形成,即使在将含C的SiN膜的膜厚设为薄的情况下,也能够加大含C的SiN膜中被控制成期望的组成的区域所占的比例。
(b)种晶层遍及晶片200面内整个区域而连续地形成,所以能够使含C的SiN膜的潜伏期(incubation time)、即第一层开始成长的定时遍及晶片200面内整个区域地均匀地一致。由此,能够抑制由成长开始的定时偏离带给膜厚的影响,能够提高含C的SiN膜的晶片200面内的膜厚均匀性。并且,在将含C的SiN膜的膜厚设为上述的薄膜区域的情况下,也能够形成膜厚均匀性良好无针孔的膜。另外,能够提高含C的SiN膜的台阶覆盖性。
(c)含C的SiN膜不是形成在SiO层上,而是形成在种晶层上。因而,在以规定次数进行包含步骤1~3的循环时,会从比较早的阶段不迟滞地开始第一层的形成。即,能够缩短含C的SiN膜的潜伏期,能够提高成膜处理的整体的生产性。另外,能够抑制原料的总消耗量,能够减低成膜成本。
(d)通过将预处理工序中的DCS气体的供给时间设为比成膜工序中的每1循环的DCS气体的供给时间长,或将预处理工序中的DCS气体的供给流量设为比成膜工序中的DCS气体的供给流量大,或将预处理工序中的处理室201内的压力设为比成膜工序中的DCS气体供给时的处理室201内的压力大,能够可靠地形成适当的(牢固的)种晶层,另外,可容易且可靠地将种晶层设为连续的层。作为结果,可容易得到上述的效果。
另外,通过将预处理工序中的DCS气体的供给流量设为比成膜工序中的DCS气体的供给流量大,或将预处理工序中的处理室201内的压力设为比成膜工序中的DCS气体供给时的处理室201内的压力大,也能够增加种晶层的形成率,提高成膜处理的整体的生产性。
(e)通过使SiN膜中含有C,即使在使该膜薄膜化的情况下,也能够设为无针孔的膜、即不受针孔限制的膜。因而,在将该膜用作保护膜的情况下,能够避免伴随蚀刻处理的SiO膜的蚀刻损伤。另外,通过将保护膜设为无针孔的膜,能够也抑制蚀刻处理相伴的保护膜自身的蚀刻,能够避免含C的SiN膜所具有的作为保护膜的功能的下降。
(f)通过使SiN膜中含有C,能够将该膜设为对HF等蚀刻剂的耐性(耐蚀刻性)高的膜。因而,在将该膜用作保护膜的情况下,能够抑制蚀刻处理相伴的保护膜自身的蚀刻,能够维持作为保护膜的功能。
(g)在成膜处理中,非同时地进行对晶片200的DCS气体的供给、对晶片200的C3H6气体的供给以及对晶片200的NH3气体的供给,所以与同时进行这些气体的供给的情况相比,能够提高含C的SiN膜的台阶覆盖性、膜厚控制性。
(h)在将DCS气体以外的气体用作原料气体的情况下、在将C3H6气体以外的气体用作含C气体的情况下、在将NH3气体以外的气体用作含N气体的情况下、在将N2气体以外的非活性气体用作吹扫气体的情况下,也能够同样地得到上述的效果。
(5)变形例
本实施方式的成膜处理不限定于上述的方式,也能够如以下所示的变形例那样进行变更。
例如,也可以通过以下所示的成膜顺序,在SiO膜的表面上形成硅碳氮化膜(SiCN膜)、即含C的SiN膜,作为包含Si、C以及N的膜。
DCS→(DCS→TEA)×n→SiCN膜(变形例1)
另外,例如也可以通过以下所示的成膜顺序,在SiO膜的表面上形成硅氧碳氮化膜(SiOCN膜),作为包含Si、O、C以及N的膜,另外,也可以形成硅氧碳化膜(SiOC膜)作为包含Si、O以及C的膜。即,也可以在SiO膜的表面上形成含C的SiON膜或者含C的SiO膜。
DCS→(DCS→TEA→O2)×n→SiOCN膜、SiOC膜(变形例2)
另外,例如,也可以通过以下所示的成膜顺序,在SiO膜的表面上形成SiOCN膜、即含C的SiON膜。图5是示出变形例4中的气体供给的定时的图。
DCS→(DCS→C3H6→NH3→O2)×n→SiOCN膜(变形例3)
DCS→(DCS→C3H6→O2→NH3)×n→SiOCN膜(变形例4)
DCS→(C3H6→DCS→C3H6→O2→NH3)×n→SiOCN膜(变形例5)
另外,例如,也可以通过以下所示的成膜顺序,在SiO膜的表面上形成硅氧氮化膜(SiON膜),作为包含Si、O以及N的膜。图6是示出变形例6的气体供给的定时的图。
DCS→(DCS→NH3→O2)×n→SiON膜(变形例6)
另外,例如也可以通过以下所示的成膜顺序,在SiO膜的表面上形成硅氮化膜(SiN膜),作为包含Si以及N的膜。
DCS→(DCS→NH3)×n→SiN膜(变形例7)
另外,例如也可以通过以下所示的成膜顺序,在SiO膜的表面上形成硅硼碳氮化膜(SiBCN膜)、即含C的SiBN膜,作为包含Si、B、C以及N的膜。图7是示出变形例8的气体供给的定时的图。
DCS→(DCS→C3H6→BCl3→NH3)×n→SiBCN膜(变形例8)
DCS→(DCS→BCl3→C3H6→NH3)×n→SiBCN膜(变形例9)
另外,例如也可以通过以下所示的成膜顺序,在SiO膜的表面上形成包含环硼氮烷环骨架的SiBCN膜、即包含环硼氮烷环骨架的含C的SiBN膜。
DCS→(DCS→TMB→NH3)×n→SiBCN膜(变形例10)
DCS→(DCS→TMB)×n→SiBCN膜(变形例11)
另外,例如也可以通过以下所示的成膜顺序,在SiO膜的表面上形成硅硼氮化膜(SiBN膜),作为包含Si、B以及N的膜。图8是示出变形例12的气体供给的定时的图。
DCS→(DCS→BCl3→NH3)×n→SiBN膜(变形例12)
在上述的变形例中,在对晶片200供给TEA气体的步骤中,将由MFC241b控制的TEA气体的供给流量设为例如100~10000sccm的范围内的流量。其他的处理条件例如设为与图4所示的成膜顺序的步骤3同样的处理条件。作为包含N以及C的气体,除了TEA气体之外,例如也能够使用二乙胺((C2H5)2NH,简称:DEA)气体、单乙胺(C2H5NH2,简称:MEA)气体等乙胺系气体、三甲胺((CH3)3N,简称:TMA)气体、二甲胺((CH3)2NH,简称:DMA)气体、单甲胺(CH3NH2,简称:MMA)气体等甲胺系气体等。
另外,在对晶片200供给O2气体的步骤中,将由MFC241b控制的O2气体的供给流量例如设为100~10000sccm的范围内的流量。其他的处理条件例如设为与图4所示的成膜顺序的步骤3同样的处理条件。作为含O气体,除了O2气体之外,例如也能够使用氧化亚氮(N2O)气体、一氧化氮(NO)气体、二氧化氮(NO2)气体、臭氧(O3)气体、氢(H2)气体+O2气体、H2气体+O3气体、水蒸气(H2O)、一氧化碳(CO)气体、二氧化碳(CO2)气体等。
另外,在对晶片200供给BCl3气体的步骤中,将由MFC241b控制的BCl3气体的供给流量例如设为100~10000sccm的范围内的流量。其他的处理条件例如设为与图4所示的成膜顺序的步骤3同样的处理条件。作为含B气体,除了BCl3气体之外,也能够使用一氯硼烷(BClH2)气体、二氯硼烷(BCl2H)气体、三氟硼烷(BF3)气体、三溴硼烷(BBr3)气体、乙硼烷(B2H6)气体等。
另外,在对晶片200供给TMB气体的步骤中,将由MFC241b控制的TMB气体的供给流量设为例如1~1000sccm的范围内的流量。其他的处理条件例如设为与图4所示的成膜顺序的步骤3同样的处理条件。作为包含环硼氮烷环骨架的含B气体,除了TMB气体之外,例如能够使用TEB气体、TPB气体、TIPB气体、TBB气体、TIBB气体等。
其他的步骤中的处理顺序、处理条件能够设为与图4所示的成膜顺序中的各步骤的处理顺序、处理条件同样。
<其他的实施方式>
以上,具体地说明了本发明的实施方式。但是,本发明不限定于上述的实施方式,也能够在不脱离其主旨的范围内进行各种变更。
例如,在上述的实施方式中,对通过对在表面形成有绝缘膜的晶片供给DCS气体、在绝缘膜的表面上形成种晶层的例子进行了说明。但是,本发明不限定于这样的方式。
例如,也可以通过对在表面形成有绝缘膜的晶片供给具有Si-Si键的卤代硅烷原料,在SiO膜的表面上形成种晶层。例如,通过对在表面形成有SiO膜的晶片供给HCDS气体,能够在SiO膜的表面上形成种晶层。
另外,例如也可以通过对在表面形成有绝缘膜的晶片供给具有Si-Si-C键的烃基卤代硅烷原料,来在绝缘膜的表面上形成种晶层。例如,能够通过对在表面形成有SiO膜的晶片供给1,1,2,2-四氯-1,2-二甲基二硅烷((CH3)2Si2Cl4,简称:TCDMDS)气体,在SiO膜的表面上形成种晶层。
另外,例如也可以通过对在表面形成有绝缘膜的晶片供给多个种类的原料,来形成种晶层。例如,能够通过对在表面形成有SiO膜的晶片交替以规定次数供给HCDS气体和3DMAS气体,来在SiO膜的表面上形成种晶层。
在这些情况下,处理条件也能够例如设为与上述的实施方式的处理条件同样。
在绝缘膜的表面上形成包含钛(Ti)、锆(Zr)、铪(Hf)、钽(Ta)、铌(Nb)、铝(Al)、钼(Mo)、钨(W)等金属元素的金属系薄膜的情况下,上述的成膜顺序也能够适当地应用。
在形成金属系薄膜的情况下,作为原料,例如能够使用四氯化钛(TiCl4)气体、四氟化钛(TiF4)气体、四氯化锆(ZrCl4)气体、四氟化锆(ZrF4)气体、四氯化铪(HfCl4)气体、四氟化铪(HfF4)气体、五氯化钽(TaCl5)气体、五氟化钽(TaF5)气体、五氯化铌(NbCl5)气体、五氟化铌(NbF5)气体、三氯化铝(AlCl3)气体、三氟化铝(AlF3)气体、五氯化钼(MoCl5)气体、五氟化钼(MoF5)气体、六氯化钨(WCl6)气体、六氟化钨(WF6)气体等包含金属元素以及卤族元素的无机金属气体。另外,作为原料,例如也能够使用三甲基铝(Al(CH3)3,简称:TMA)气体等包含金属元素以及C的有机金属气体。此外,在形成种晶层时,优选使用不含N或不含C的原料,更加优选使用不含N以及C的原料,在这一点上与上述的实施方式同样。作为反应物,能够使用与上述的实施方式同样的气体。
例如,能够通过以下所示的成膜顺序,在形成于晶片上的绝缘膜的表面上形成钽碳氮化膜(TaCN膜)、钽铝碳化膜(TaAlC膜)、钽氮化膜(TaN膜)、钽硅氮化膜(TaSiN膜)、钽铝氮化膜(TaAlN膜)、钛碳氮化膜(TiCN膜)、钛铝碳化膜(TiAlC膜)、钛氮化膜(TiN膜)、钛硅氮化膜(TiSiN膜)、钛铝氮化膜(TiAlN膜)。这些成膜顺序的各步骤中的处理顺序、处理条件能够设为例如与上述的实施方式同样的处理顺序、处理条件。
TaCl5→(TaCl5→C3H6→NH3)×n→TaCN膜
TaCl5→(TaCl5→TMA)×n→TaAlC膜
TaCl5→(TaCl5→NH3)×n→TaN膜
TaCl5→(TaCl5→DCS→NH3)×n→TaSiN膜
DCS→(DCS→TaCl5→NH3)×n→TaSiN膜
TaCl5→(TaCl5→TMA→NH3)×n→TaAlN膜
TiCl4→(TiCl4→C3H6→NH3)×n→TiCN膜
TiCl4→(TiCl4→TMA)×n→TiAlC膜
TiCl4→(TiCl4→NH3)×n→TiN膜
TiCl4→(TiCl4→DCS→NH3)×n→TiSiN膜
DCS→(DCS→TiCl4→NH3)×n→TiSiN膜
TiCl4→(TiCl4→TMA→NH3)×n→TiAlN膜
这样,在形成包含选自包含半导体元素以及金属元素的群中的至少一个作为第一元素、包含选自包含C、N、O、以及B的群中的至少1个作为第二~第四元素的膜的情况下,能够适当应用本发明。此外,第二~第四元素也可以包含选自包含半导体元素以及金属元素的群中的至少1种。例如,第二~第四元素也可以包含选自包含C、N、O、B、Si、Ge、Ti、Zr、Hf、Nb、Ta、Mo、W、以及Al的群中的至少1种。优选第一~第四元素设为互不相同的元素。
优选,这些使用于各种薄膜的成膜处理的工艺制程(记载了成膜处理的处理顺序、处理条件等的程序)根据成膜处理的内容(形成的薄膜的膜种类、组成比、膜质,膜厚,处理顺序,处理条件等)而分别分开地准备(准备多个)。并且,优选在开始衬底处理时,根据衬底处理的内容,从多个制程中适当选择适当的制程。具体而言,优选将根据衬底处理的内容而分开准备的多个制程经由电通信线路、记录了该制程的记录介质(外部存储装置123)预先存储(安装)于衬底处理装置所具备的存储装置121c内。并且,优选在开始成膜处理时,衬底处理装置所具备的CPU121a根据衬底处理的内容而从存储于存储装置121c内的多个制程中适当选择适当的制程。通过这样地构成,能够通过1台衬底处理装置通用地且再现性好地形成各种膜种类、组成比、膜质、膜厚的薄膜。另外,既能减低操作员的操作负担(处理顺序、处理条件等的输入负担等),避免操作错误,又能迅速地开始衬底处理。
上述的工艺制程不限于新作成的情况,例如,也可以通过变更衬底处理装置中已经安装的已经存在的制程来准备。在变更制程的情况下,也可以将变更后的制程经由电通信线路、记录有该制程的记录介质安装于衬底处理装置。另外,也可以操作已经存在的衬底处理装置所具备的输入输出装置122,直接变更已经安装于衬底处理装置中的已经存在的制程。
在上述的实施方式中,对使用一次处理多张衬底的批量式的衬底处理装置来形成薄膜的例子进行了说明。本发明不限定于上述的实施方式,例如,在使用一次处理1张或数张的衬底的单片式的衬底处理装置来形成薄膜的情况下,也能够合适地适用。另外,在上述的实施方式中,对使用具有热壁(Hot Wall)型的处理炉的衬底处理装置来形成薄膜的例子进行了说明。本发明不限定于上述的实施方式,在使用具有冷壁(Cold Wall)型的处理炉的衬底处理装置来形成薄膜的情况下,也能够合适地适用。在这些情况下,处理顺序、处理条件也能够设为例如与上述的实施方式同样的处理顺序、处理条件。
例如,在使用具备图13所示的处理炉302的衬底处理装置来形成薄膜的情况下,本发明也能够合适地适用。处理炉302具备:形成处理室301的处理容器303、作为以淋浴状向处理室301内供给气体的气体供给部的喷射头303s、将1张或数张的晶片200以水平姿势支承的支承台317、将支承台317从下方支承的旋转轴355、以及设置于支承台317的加热器307。在喷射头303s的接入口(气体导入口)连接有供给上述的原料的气体供给端口332a和供给上述的反应物的气体供给端口332b。在气体供给端口332a连接有与上述的实施方式的原料供给系统同样的原料供给系统。在气体供给端口332b连接有与上述的实施方式的反应物供给系同样的反应物供给系统。在喷射头303s的出口(气体排出口)设置有将气体以淋浴状向处理室301内供给的气体分散板。喷射头303s设置在与搬入处理室301内的晶片200的表面相对(面对)的位置。在处理容器303设置有使处理室301内排气的排气端口331。在排气端口331连接有与上述的实施方式的排气系统同样的排气系统。
另外例如,在使用具备图14所示的处理炉402的衬底处理装置来形成薄膜的情况下,本发明也能够合适地适用。处理炉402具备形成处理室401的处理容器403、将1张或数张的晶片200以水平姿势支承的支承台417、将支承台417从下方支承的旋转轴455、朝向处理容器403内的晶片200进行光照射的灯加热器407、使灯加热器407的光透过的石英窗403w。在处理容器403连接有供给上述的原料的气体供给端口432a和供给上述的反应物的气体供给端口432b。在气体供给端口432a连接有与上述的实施方式的原料供给系统同样的原料供给系统。在气体供给端口432b连接有与上述的实施方式的反应物供给系统同样的反应物供给系统。气体供给端口432a、432b分别设置于搬入处理室401内的晶片200的端部的侧方、即与搬入处理室401内的晶片200的表面不相对的位置。在处理容器403设置有使处理室401内排气的排气端口431。在排气端口431连接有与上述的实施方式的排气系统同样的排气系统。
在使用这些衬底处理装置的情况下,也能够以与上述的实施方式、变形例同样的顺序、处理条件进行成膜。
另外,上述的实施方式、变形例等能够适当组合来使用。另外,此时的处理条件例如能够设为与上述的实施方式同样的处理条件。
【实施例】
作为实施例,使用上述的实施方式的衬底处理装置,通过图4所示的成膜顺序,来作成样品1、2。在样品1中,在露出的硅晶片的表面上直接形成了含C的SiN膜。在样品2中,在形成于硅晶片上的SiO膜的表面上形成了含C的SiN膜。任一样品都使用了DCS气体作为原料气体,使用了NH3气体作为含N气体,使用了C3H6气体作为含C气体来作成。预处理工序中的DCS气体的气体供给时间设为60~90秒的范围内的时间。成膜工序中的DCS气体的气体供给时间设为了10~15秒的范围内的时间。其他的处理条件设为了上述的实施方式所记载的处理条件范围内的条件。样品1、2的处理顺序、处理条件除了成膜的基底之外使用了相同的处理顺序、处理条件。
作为比较例,使用上述的实施方式的衬底处理装置,作成了样品3、4。在比较例中,在成膜工序之前进行了预处理工序。其他的处理顺序、处理条件设为与实施例的处理顺序、处理条件同样。即,在样品3中,在露出的硅晶片的表面上不进行预处理工序,而直接形成含C的SiN膜。另外,在样品4中,在形成于硅晶片上的SiO膜的表面上不进行预处理工序而形成了含C的SiN膜。样品3、4的处理顺序、处理条件除了成膜的基底之外设为了相同的处理顺序、处理条件。
然后,测定了由各样品形成的含C的SiN膜的膜厚。图10是由实施例作成的样品1、2的剖面放大照片,图11是由比较例作成的样品3、4的剖面放大照片。
如图10所示,样品1的含C的SiN膜的膜厚是样品2的含C的SiN膜的膜厚是另外,如图11所示,样品3的含C的SiN膜的膜厚是样品4的含C的SiN膜的膜厚是即,可知,进行了预处理工序的样品3、4的含C的SiN膜的膜厚差是与此相对,进行了预处理工序的样品1、2的含C的SiN膜的膜厚差是比较小的由此可知,通过进行预处理工序,在向SiO膜的表面上进行成膜处理时,也能够大幅缩短潜伏期,无延迟地开始成膜处理。
<本发明优选的实施方式>
以下,对本发明优选的技术方案附加进行说明。
(附记1)
本发明的一技术方案,提供一种半导体器件的制造方法以及衬底处理方法,具有:
通过对在表面形成有绝缘膜的衬底供给包含第一元素以及卤族元素的原料,来预处理所述绝缘膜的表面的工序;和
将非同时地进行对所述衬底供给所述原料的工序和对所述衬底供给包含第二元素的反应物的工序作为一循环,通过以规定次数进行该循环,在进行了所述预处理的所述绝缘膜的表面上形成包含所述第一元素以及所述第二元素的膜的工序。
(附记2)
在附记1所述的方法中,优选,
所述预处理的工序中的所述原料的供给条件与形成所述膜的工序中的每一所述循环的所述原料的供给条件不同。
(附记3)
在附记1或2所述的方法中,优选,
所述预处理的工序中的所述原料的供给时间比形成所述膜的工序中的每一所述循环的所述原料的供给时间长。
(附记4)
在附记1至3的任一个所述的方法中,优选,
所述预处理的工序中的所述原料的供给流量比形成所述膜的工序中的所述原料的供给流量大。
(附记5)
在附记1至4的任一个所述的方法中,优选,
所述预处理的工序中的所述原料供给时的所述衬底所存在的空间的压力比形成所述膜的工序中的所述原料供给时的所述衬底所存在的空间的压力大。
(附记6)
在附记1至5的任一个所述的方法中,优选,
在所述预处理的工序中,在所述绝缘膜的表面形成种晶层。
(附记7)
在附记1至6的任一个所述的方法中,优选,
在所述预处理的工序中,在所述绝缘膜的表面形成包含所述卤族元素的种晶层。
(附记8)
在附记1至7的任一个所述的方法中,优选,
在所述预处理的工序中,在所述绝缘膜的表面形成包含所述第一元素和所述卤族元素的种晶层。
(附记9)
在附记6至8的任一个所述的方法中,优选,
所述种晶层的厚度是0.05nm()以上且0.2nm()以下。
(附记10)
在附记1至9的任一个所述的方法中,优选,
所述循环还包含对所述衬底供给包含第三元素的反应物的工序,
通过以规定次数进行所述循环,在进行了所述预处理的所述绝缘膜的表面上形成包含所述第一元素、所述第二元素以及所述第三元素的膜。
更加优选,非同时地进行供给所述原料的工序、供给包含所述第二元素的反应物的工序以及供给包含所述第三元素的反应物的工序。
(附记11)
在附记10所述的方法中,优选,
所述循环还包含对所述衬底供给包含第四元素的反应物的工序,
通过以规定次数进行所述循环,在进行了所述预处理的所述绝缘膜的表面上形成包含所述第一元素、所述第二元素、所述第三元素以及所述第四元素的膜。
更加优选,非同时地进行供给所述原料的工序、供给包含所述第二元素的反应物的工序、供给包含所述第三元素的反应物的工序以及供给包含所述第四元素的反应物的工序。
(附记12)
在附记1至11的任一个所述的方法中,优选,
所述原料不含氮。另外优选,所述原料不含碳。更加优选,所述原料不含氮以及碳。
(附记13)
在附记1至12的任一个所述的方法中,优选,
所述第一元素包含选自半导体元素以及金属元素中的至少1种,所述卤族元素包含选自Cl以及F中的至少1种。例如,所述半导体元素包含选自Si以及Ge中的至少1种。另外,例如,所述金属元素包含选自Ti、Zr、Hf、Nb、Ta、Mo、W、以及Al中的至少1种。
(附记14)
在附记1至13的任一个所述的方法中,优选,
所述第二元素(所述第三元素、所述第四元素)包含选自C、N、O、以及B中的至少1种。所述第二元素(所述第三元素、所述第四元素)也可以包含选自半导体元素以及金属元素中的至少1种。例如,所述第二元素(第三元素、第四元素)也可以包含选自C、N、O、B、Si、Ge、Ti、Zr、Hf、Nb、Ta、Mo、W、以及Al中的至少1种。优选,所述第一元素、所述第二元素、所述第三元素以及所述第四元素设为互不相同的元素。
(附记15)
在附记1至14的任一个所述的方法中,优选,
所述绝缘膜包含选自氧化膜系的绝缘膜以及氮化膜系的绝缘膜中的至少1种。例如,所述绝缘膜包含选自氧化膜、氮化膜、氮氧化膜、碳氧氮化膜、碳氧化膜以及碳氮化膜中的至少1种。
(附记16)
在附记1至15的任一个所述的方法中,优选,
所述膜的厚度是1nm()以上且10nm()以下,优选是2nm()以上且5nm()以下,更加优选是2nm()以上且3nm()以下。
(附记17)
根据本发明其他的技术方案,提供一种衬底处理装置,具有:
收纳衬底的处理室;
对所述处理室内的衬底供给包含第一元素以及卤族元素的原料的第一供给系统;
对所述处理室内的衬底供给包含第二元素的反应物的第二供给系统;以及
控制部,其构成为控制所述第一供给系统以及所述第二供给系统,以进行第一处理和第二处理,在所述第一处理中,通过对所述处理室内的在表面形成有绝缘膜的衬底供给所述原料,来预处理所述绝缘膜的表面的工序;和第二处理,在所述第二处理中,将非同时进行对所述处理室内的所述衬底供给所述原料的处理和对所述处理室内的所述衬底供给所述反应物的处理作为一循环,以规定次数进行所述循环,从而在进行了所述预处理的所述绝缘膜的表面上形成包含所述第一元素以及所述第二元素的膜。
(附记18)
根据本发明的另一技术方案,提供使计算机执行如下步骤的程序以及记录有该程序的计算机可读取的记录介质:
通过对在表面形成有绝缘膜的衬底供给包含第一元素以及卤族元素的原料,来预处理所述绝缘膜的表面的步骤;和
通过以规定次数进行非同时地进行对所述衬底供给所述原料的步骤和对所述衬底供给包含第二元素的反应物的步骤的循环,在进行了所述预处理的所述绝缘膜的表面上形成包含所述第一元素以及所述第二元素的膜的步骤。

Claims (16)

1.一种半导体器件的制造方法,包括如下工序:
通过对在表面形成有绝缘膜的衬底供给包含第一元素以及卤族元素且不含氮的原料,来对所述绝缘膜的表面进行预处理;和
将非同时地进行对所述衬底供给所述原料的工序和对所述衬底供给包含第二元素的反应物的工序作为一循环,通过以规定次数进行该循环,在进行了所述预处理的所述绝缘膜的表面上形成包含所述第一元素以及所述第二元素的膜。
2.根据权利要求1所述的半导体器件的制造方法,其中,
所述预处理的工序中的所述原料的供给条件与形成所述膜的工序中的每一所述循环的所述原料的供给条件不同。
3.根据权利要求1所述的半导体器件的制造方法,其中,
所述预处理的工序中的所述原料的供给时间比形成所述膜的工序中的每一所述循环的所述原料的供给时间长。
4.根据权利要求1所述的半导体器件的制造方法,其中,
所述预处理的工序中的所述原料的供给流量比形成所述膜的工序中的所述原料的供给流量大。
5.根据权利要求1所述的半导体器件的制造方法,其中,
所述预处理的工序中的所述原料供给时的所述衬底所存在的空间的压力比形成所述膜的工序中的所述原料供给时的所述衬底所存在的空间的压力大。
6.根据权利要求1所述的半导体器件的制造方法,其中,
在所述预处理的工序中,在所述绝缘膜的表面形成种晶层。
7.根据权利要求1所述的半导体器件的制造方法,其中,
在所述预处理的工序中,在所述绝缘膜的表面形成包含所述卤族元素的种晶层。
8.根据权利要求1所述的半导体器件的制造方法,其中,
在所述预处理的工序中,在所述绝缘膜的表面形成包含所述第一元素和所述卤族元素的种晶层。
9.根据权利要求6所述的半导体器件的制造方法,其中,
所述种晶层的厚度是0.05nm以上且0.2nm以下。
10.根据权利要求1所述的半导体器件的制造方法,其中,
所述循环还包含对所述衬底供给包含第三元素的反应物的工序,
通过以规定次数进行所述循环,在进行了所述预处理的所述绝缘膜的表面上形成包含所述第一元素、所述第二元素以及所述第三元素的膜。
11.根据权利要求10所述的半导体器件的制造方法,其中,
所述循环还包含对所述衬底供给包含第四元素的反应物的工序,
通过以规定次数进行所述循环,在进行了所述预处理的所述绝缘膜的表面上形成包含所述第一元素、所述第二元素、所述第三元素以及所述第四元素的膜。
12.根据权利要求1所述的半导体器件的制造方法,其中,
所述第一元素包含选自半导体元素以及金属元素中的至少1种,所述卤族元素包含选自Cl以及F中的至少1种。
13.根据权利要求1所述的半导体器件的制造方法,其中,
所述第二元素包含选自C、N、O以及B中的至少1种。
14.根据权利要求1所述的半导体器件的制造方法,其中,
所述绝缘膜包含选自氧化膜系的绝缘膜以及氮化膜系的绝缘膜中的至少1种。
15.根据权利要求1所述的半导体器件的制造方法,其中,
所述膜的厚度是1nm以上且10nm以下。
16.一种衬底处理装置,具有:
处理室,其收纳衬底;
第一供给系统,其对所述处理室内的衬底供给包含第一元素以及卤族元素且不含氮的原料;
第二供给系统,其对所述处理室内的衬底供给包含第二元素的反应物;以及
控制部,其构成为控制所述第一供给系统以及所述第二供给系统,以进行第一处理和第二处理,在所述第一处理中,通过对所述处理室内的在表面形成有绝缘膜的衬底供给所述原料,来对所述绝缘膜的表面进行预处理,在所述第二处理中,将非同时地进行对所述处理室内的所述衬底供给所述原料的处理和对所述处理室内的所述衬底供给所述反应物的处理作为一循环,通过以规定次数进行所述循环,由此在进行了所述预处理的所述绝缘膜的表面上形成包含所述第一元素以及所述第二元素的膜。
CN201510397375.0A 2014-07-09 2015-07-08 半导体器件的制造方法和衬底处理装置 Active CN105261552B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014141089A JP6347544B2 (ja) 2014-07-09 2014-07-09 半導体装置の製造方法、基板処理装置およびプログラム
JP2014-141089 2014-07-09

Publications (2)

Publication Number Publication Date
CN105261552A CN105261552A (zh) 2016-01-20
CN105261552B true CN105261552B (zh) 2018-02-13

Family

ID=55068108

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510397375.0A Active CN105261552B (zh) 2014-07-09 2015-07-08 半导体器件的制造方法和衬底处理装置

Country Status (4)

Country Link
US (1) US9691606B2 (zh)
JP (1) JP6347544B2 (zh)
KR (1) KR101743824B1 (zh)
CN (1) CN105261552B (zh)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5384291B2 (ja) 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP6686308B2 (ja) * 2015-07-13 2020-04-22 横浜ゴム株式会社 難燃ホース用ゴム組成物及び難燃ホース
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
JP6560991B2 (ja) 2016-01-29 2019-08-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR102130459B1 (ko) * 2016-02-29 2020-07-07 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6690496B2 (ja) 2016-03-17 2020-04-28 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6576277B2 (ja) * 2016-03-23 2019-09-18 東京エレクトロン株式会社 窒化膜の形成方法
JP6635839B2 (ja) * 2016-03-23 2020-01-29 東京エレクトロン株式会社 窒化膜の形成方法
JP6613213B2 (ja) * 2016-07-26 2019-11-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP6689179B2 (ja) * 2016-11-30 2020-04-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP6814057B2 (ja) * 2017-01-27 2021-01-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP6728087B2 (ja) 2017-02-22 2020-07-22 東京エレクトロン株式会社 成膜方法及び成膜装置
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
JP6873007B2 (ja) 2017-08-09 2021-05-19 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
JP7066829B2 (ja) * 2018-03-28 2022-05-13 株式会社Kokusai Electric 基板処理装置、ガスノズルおよび半導体装置の製造方法
CN111902918B (zh) 2018-04-27 2024-07-26 株式会社国际电气 半导体装置的制造方法、基板处理装置以及记录介质
JP7085929B2 (ja) 2018-07-13 2022-06-17 東京エレクトロン株式会社 成膜方法
JP7090568B2 (ja) 2019-01-30 2022-06-24 東京エレクトロン株式会社 成膜方法
KR102671300B1 (ko) * 2019-09-18 2024-06-03 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP7065818B2 (ja) * 2019-10-28 2022-05-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US11965239B2 (en) 2020-06-17 2024-04-23 Entegris, Inc. Method for nucleation of conductive nitride films
JP2022137982A (ja) 2021-03-09 2022-09-22 東京エレクトロン株式会社 タングステン膜を成膜する方法、及びシステム

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101532126A (zh) * 2008-03-14 2009-09-16 东京毅力科创株式会社 半导体处理用的成膜装置及其使用方法
CN102034702A (zh) * 2009-09-30 2011-04-27 株式会社日立国际电气 半导体装置的制造方法及衬底处理装置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100366792C (zh) * 2000-12-12 2008-02-06 东京毅力科创株式会社 薄膜形成方法及薄膜形成装置
JP4617574B2 (ja) * 2001-01-16 2011-01-26 ソニー株式会社 不揮発性半導体記憶装置およびその製造方法
JP4009550B2 (ja) * 2003-03-27 2007-11-14 エルピーダメモリ株式会社 金属酸化膜の形成方法
US20050037578A1 (en) * 2003-08-14 2005-02-17 Wei Wen Chen [method for forming an oxide/ nitride/oxide stacked layer]
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US20110042686A1 (en) * 2009-08-18 2011-02-24 Qs Semiconductor Australia Pty Ltd. Substrates and methods of fabricating doped epitaxial silicon carbide structures with sequential emphasis
EP2553141A4 (en) * 2010-04-01 2013-08-21 Air Liquide DEPOSITION OF FILMS CONTAINING METAL NITRIDES USING A COMBINATION OF AMINOUS AND HALOGENATED METAL PRECURSORS
JP6042656B2 (ja) * 2011-09-30 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5792101B2 (ja) * 2012-03-15 2015-10-07 東京エレクトロン株式会社 積層半導体膜の成膜方法
JP5815443B2 (ja) * 2012-03-19 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP6125279B2 (ja) * 2013-03-05 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101532126A (zh) * 2008-03-14 2009-09-16 东京毅力科创株式会社 半导体处理用的成膜装置及其使用方法
CN102034702A (zh) * 2009-09-30 2011-04-27 株式会社日立国际电气 半导体装置的制造方法及衬底处理装置

Also Published As

Publication number Publication date
CN105261552A (zh) 2016-01-20
JP2016018907A (ja) 2016-02-01
JP6347544B2 (ja) 2018-06-27
KR101743824B1 (ko) 2017-06-05
US20160013042A1 (en) 2016-01-14
KR20160006631A (ko) 2016-01-19
US9691606B2 (en) 2017-06-27

Similar Documents

Publication Publication Date Title
CN105261552B (zh) 半导体器件的制造方法和衬底处理装置
KR102459905B1 (ko) 가스 공급 노즐, 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
CN105225926B (zh) 清洁方法、半导体器件的制造方法及衬底处理装置
US12037677B2 (en) Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium
KR101657874B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101983437B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
CN104805414B (zh) 半导体器件的制造方法及衬底处理装置
CN104109846B (zh) 半导体器件的制造方法及衬底处理装置
CN103620745B (zh) 半导体器件的制造方法、衬底处理方法、衬底处理装置及记录介质
CN105296963B (zh) 半导体器件的制造方法及衬底处理装置
US9741555B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP6496510B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
US9711348B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
CN107112235A (zh) 半导体器件的制造方法、衬底处理装置及记录介质
KR20140040648A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
JP6529780B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
CN109385613A (zh) 硅膜的形成方法、形成装置以及存储介质
JP2019054291A (ja) 半導体装置の製造方法、基板処理装置およびプログラム

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20181128

Address after: Tokyo, Japan, Japan

Patentee after: International Electric Co., Ltd.

Address before: Tokyo, Japan, Japan

Patentee before: Hitachi Kunisai Electric Corp.

TR01 Transfer of patent right