JP6576277B2 - 窒化膜の形成方法 - Google Patents

窒化膜の形成方法 Download PDF

Info

Publication number
JP6576277B2
JP6576277B2 JP2016057911A JP2016057911A JP6576277B2 JP 6576277 B2 JP6576277 B2 JP 6576277B2 JP 2016057911 A JP2016057911 A JP 2016057911A JP 2016057911 A JP2016057911 A JP 2016057911A JP 6576277 B2 JP6576277 B2 JP 6576277B2
Authority
JP
Japan
Prior art keywords
gas
film
forming
nitride film
chlorine
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016057911A
Other languages
English (en)
Other versions
JP2017174919A (ja
Inventor
博紀 村上
博紀 村上
鈴木 大介
大介 鈴木
孝広 宮原
孝広 宮原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2016057911A priority Critical patent/JP6576277B2/ja
Priority to US15/466,264 priority patent/US10312078B2/en
Publication of JP2017174919A publication Critical patent/JP2017174919A/ja
Application granted granted Critical
Publication of JP6576277B2 publication Critical patent/JP6576277B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Description

本発明は、シリコン窒化膜等の窒化膜の形成方法に関する。
半導体デバイスの製造シーケンスにおいては、シリコンウエハに代表される半導体ウエハに対して絶縁膜としてシリコン窒化膜(SiN膜)等の窒化膜を成膜する成膜処理が存在する。このようなSiN膜の成膜処理には、化学蒸着法(CVD法)が広く用いられている(例えば特許文献1)。
一方、近年、半導体デバイスの微細化・高集積化の進展にともない、特性向上の観点から、従来のCVD法による成膜よりも低温で、良質な膜を成膜することができる原子層堆積法(ALD法)のようなシーケンシャルなガス供給によりSiN膜を成膜することが行われている。ALD法によりSiN膜形成する場合には、例えば、被処理基板上にSi原料を吸着させ、引き続き窒化ガスを供給してSi原料と反応させ、SiNを原子層または分子層レベルで形成し、これを所定回数繰り返すことにより所定の膜厚のSiN膜を形成する。
ALD法によるSiN膜の成膜手法として、Si原料ガスであるジクロロシラン(DCS;SiHCl)ガスと窒化ガスであるアンモニア(NH)ガスとを用い、これらを交互に供給し、NHガスを供給するときに高周波電力を印加してプラズマを生成し、窒化反応を促進する技術が提案されている(例えば特許文献2)。
また、プラズマを用いる場合は装置構成が複雑になることから、サーマルALD等の、プラズマを用いずにシーケンシャルなガス供給によりSiN膜を形成することが検討されている。
特開2000−174007号公報 特開2004−281853号公報
ところで、2種類以上の異なる下地が露出している構造に対してALD法やCVD法によりSiN膜等の窒化膜を成長させる際に、特定の下地のみに窒化膜を選択的に成長させるといった要求がある。
したがって、本発明は、下地膜によって選択的な膜形成が可能な窒化膜の形成方法を提供することを課題とする。
上記課題を解決するため、本発明は、第1の下地膜と第2の下地膜を有する被処理基板を所定温度に加熱しつつ塩素含有ガスを供給し、前記第1の下地膜と前記第2の下地膜の表面に塩素含有ガスを吸着させる前処理を行う工程と、その後、前記塩素含有ガスを停止し、前記被処理基板を所定温度に加熱しつつ、前記前処理工程が施された前記第1の下地膜と前記第2の下地膜上に、Si原料ガスおよび窒化ガスを用いて、ALDまたはCVDによりシリコン窒化膜を成膜する工程とを有し、前記第1の下地膜は前記塩素含有ガスの吸着性が相対的に高く、前記第2の下地膜は前記塩素含有ガスの吸着性が相対的に低く、
前記塩素含有ガスは前記Si原料ガスに対する吸着阻害効果を有することを特徴とする窒化膜の形成方法を提供する。
本発明において、前記窒化膜を成膜する工程をALDにより行う場合に、最初に成膜原料を供給することが好ましい。
記第1の下地膜がシリコン酸化膜であり、前記第2の下地膜がシリコン窒化膜であることが好ましい。
前記シリコン窒化膜を成膜する際に用いる前記Si原料ガスとしては、ジクロロシラン、モノクロロシラン、トリクロロシラン、シリコンテトラクロライド、ヘキサクロロジシラン、モノシラン、ジシラン、有機シラン系化合物のいずれかを用いることができる。前記窒化膜を成膜する工程は、ALDの場合に400〜700℃、CVDの場合に600〜800℃で行うことができる。
前記前処理工程は、200〜800℃で行うことができる。また、前記前処理工程と、前記窒化膜を成膜する工程は同一装置内で連続的に実施することが好ましい。この場合に、前記前処理工程と、前記窒化膜を成膜する工程は、同じ温度で行われることが好ましい。
前記前処理工程に用いられる前記塩素含有ガスとしては、Clガス、HClガス、BClガスから選択された少なくとも1種のガスを用いることができる。
前記窒化膜の成膜に用いられる前記窒化ガスとしては、アンモニアガス、またはヒドラジンガス、またはヒドラジンの誘導体のガスを用いることができる。
本発明はまた、コンピュータ上で動作し、処理装置を制御するためのプログラムが記憶された記憶媒体であって、前記プログラムは、実行時に、上記窒化膜の形成方法が行われるように、コンピュータに前記処理装置を制御させることを特徴とする記憶媒体を提供する。
本発明によれば、第1の下地膜に対して第2の下地膜の上に選択的にシリコン窒化膜を成膜することができる。
本実施形態に係る窒化膜の形成方法の一例を示すフロー図である。 本実施形態に係る窒化膜の形成方法を実施する際の被処理基板の一例を模式的に示す図である。 図2の被処理基板に塩素含有ガスを吸着させた状態を模式的に示す図である。 図3の塩素含有ガスを吸着させた被処理基板にSiN膜を成膜した状態を模式的に示す図である。 工程2と工程3を同一装置により連続して行う際のガス供給シーケンスの一例を示す図である。 本発明の実施形態に係る窒化膜の形成方法の適用例を模式的に示す断面図である。 本発明の実施形態に係る窒化膜の形成方法を実際のデバイスに適用した例を模式的に示す断面図である。 下地膜として熱酸化膜およびSiN膜を用いた実験例において、Clガスによる前処理の有無によるSiN膜成膜の際のインキュベーションサイクル(インキュベーションタイム)の変化を示す図である。 本発明の窒化膜の形成方法を実施するための成膜装置の第1の例を示す縦断面図である。 図9の成膜装置の横断面図である。 本発明の窒化膜の形成方法を実施するための成膜装置の第2の例を示す断面図である。 本発明の窒化膜の形成方法を実施するための成膜装置の第3の例を示す断面図である。
以下、添付図面を参照して本発明の実施形態について説明する。
<本発明に至った経緯>
一般的に、サーマルALDまたはCVDによりSiN膜を形成する際には、被処理基板を処理容器内に収容し、被処理基板を所定温度に加熱した状態で、Si原料ガスとしてDCSガスおよび窒化ガスとしてNHガスをシーケンシャルに所定回数繰り返すことにより、または同時に供給することにより、被処理基板の表面に所定膜厚のSiN膜を形成する。
ALDやCVDによりSiN膜を成膜する場合、特にサーマルALDでSiN膜を成膜する場合、下地膜によってSiN膜成膜時の初期のインキュベーションタイムが異なり、これによって成膜が開始される時期が多少変化する。このため、下地膜のインキュベーションタイム差分だけ下地による膜厚差が生じる。
例えば、下地膜がSiN膜と熱酸化膜(SiO膜)の場合、SiN膜上のほうがSiN膜成膜時のインキュベーションタイムが短いため、そのインキュベーションタイム差の分だけ膜成長に差が生じる。
しかし、このような下地膜固有の性質によるインキュベーションタイム差では、膜厚差は不十分であり、有効にSiN膜を選択成長させるためには、下地膜による膜厚差を拡大することが求められる。
そこで、さらに検討した結果、2種類以上の下地膜に対して窒化膜を形成する場合、下地膜に対して塩素含有ガスにより前処理を施すことにより、このような下地膜固有の性質によるインキュベーションタイム差を拡大できることを見出した。具体的には、下地膜として熱酸化膜(SiO膜)とSiN膜が存在している場合、塩素含有ガスで前処理を施すことにより、熱酸化膜上でのインキュベーションタイムがより長くなり、SiN膜上でのインキュベーションタイムは前処理前と同等か、またはより短くなるため、インキュベーションタイム差を拡大できることを見出した。本発明はこのような知見に基づいて完成されたものである。
<窒化膜の形成方法>
次に、本発明の窒化膜の形成方法の一実施形態について説明する。本実施形態では窒化膜としてシリコン窒化膜(SiN膜)を形成する場合を例にとって説明する。
図1は、本実施形態に係る窒化膜の形成方法の一例を示すフロー図である。
まず、被処理基板として、図2に模式的に示すような、半導体基体201上に第1の下地膜202と第2の下地膜203が形成された半導体ウエハ(以下、単にウエハと記す)Wを準備する(工程1)。
第1の下地膜202および第2の下地膜203は、いずれも塩素含有ガスに対する耐性を有するものであり、酸化物、窒化物、炭窒化物等からなる。金属単体の場合は、塩素含有ガスにより膜がエッチングされてしまうおそれがある。第1の下地膜202および第2の下地膜203は、SiN膜を形成した際にインキュベーションタイムが異なる材料であることが好ましく、例えば、一方が熱酸化膜(SiO膜)であり、他方がSiN膜である。
次に、下地膜202,203が形成されたウエハWに対し、塩素含有ガスによる前処理を行う(工程2)。工程2の塩素含有ガスによる前処理は、下地膜202、203に塩素含有ガスを吸着させるための処理である。
次に、前処理後のウエハWに対し、Si原料ガス、例えばDCSガスと、窒化ガス、例えばNHガスを用いたALDまたはCVDによりSiN膜を成膜する(工程3)。
工程2の前処理において、塩素含有ガスの吸着性(反応性)は下地膜によって異なる。また、塩素含有ガスは、次のSiN膜を形成する際に用いるSi原料ガスの吸着を阻害する作用を有する。このため、塩素含有ガスの吸着性のよい下地膜においてSiN膜が成膜され難くなり、インキュベーションタイムを長くすることができる。これにより、下地膜によるSiN膜の膜厚差をつけることができ、下地膜によって選択的な膜形成が可能となる。
具体的には、下地膜202が熱酸化膜(SiO膜)であり、下地膜203がSiN膜である場合、塩素含有ガス、例えばClガスで前処理を行うと、図3に示すように、ClガスはSiO膜に対する反応性が良いため、下地膜202にClガス204が多く吸着するが、SiN膜との反応性は低いため、下地膜203に吸着するClガス204はわずかである。
一方、下地膜が熱酸化膜(SiO膜)の場合、SiN膜成膜の際のインキュベーションタイムは元々長く、Clガスの吸着量が多いことによりSi原料ガスの吸着阻害効果が加わるため、インキュベーションタイムは一層長くなる。これに対し、下地膜がSiN膜の場合、インキュベーションタイムは元々SiO膜よりも短く、またClガスの吸着量がわずかであることにより、インキュベーションタイムは前処理前と同等か、またはむしろより短くなる。
このため、下地膜202と下地膜203のインキュベーションタイム差が拡大され、図4に示すように、下地膜202上のSiN膜205の膜厚よりも、下地膜203上のSiN膜205の膜厚を十分に厚くすることができる。すなわち、下地膜による選択的なSiN膜の形成が可能となる。
工程2の温度は、塩素含有ガスが吸着可能な温度であればよく、200〜800℃の範囲とすることができる。また、圧力は、0.1〜100Torr(13.3〜13330Pa)の範囲とすることができる。また、工程2の処理時間は、60〜1800secが好ましい。工程2に用いることができる塩素含有ガスとしては、Clガスの他、HClガス、BClガス等を挙げることができる。これらの中では反応性が高いClガスが好ましい。
工程3のSiN膜の成膜は、上述したように、ALDでもCVDでもよいが、工程2によって下地膜に吸着した塩素含有ガスにより、Si原料ガスの吸着を阻害する効果を十分に得るためにはALDが好ましい。すなわち、ALDでSiN膜を成膜する場合には、Si原料ガスと窒化ガスとを交互に繰り返し供給するため、塩素含有ガスが吸着された下地膜の上にSi原料ガスを吸着させることができ、塩素含有ガスによるSi原料ガスの吸着阻害効果を得やすい。CVDの場合には、Si原料ガスと窒化ガスとを同時に供給するため、塩素含有ガスと窒化ガスとの反応により塩素含有ガスの効果が多少減じられる。また、同様の観点から、ALDの場合は先にSi原料ガスを供給することが好ましい。
工程3のSiN膜の成膜をALDで行う場合には、Si原料ガスを供給するステップと、窒化ガスを供給するステップを繰り返すが、それぞれのステップの後は、それぞれのステップで用いた余分なガスをウエハWから除去する処理、例えばパージが行われる。このような処理は、NガスやArガス等の不活性ガスを用いて行うことができる。なお、このようなガスを除去する処理は、後述するように成膜装置によって適宜設定される。
工程3における成膜温度は、400〜700℃とすることができる。好ましくは600〜650℃である。また、処理の際の圧力は、0.1〜5Torr(13.3〜667Pa)とすることができる。ALDの場合は、窒化ガスを供給するときに窒化ガスをプラズマ化して窒化反応を促進してもよい。この場合の成膜温度は450〜630℃の範囲とすることができる。
また、工程3のSiN膜の成膜をCVDで行う場合には、成膜温度は、600〜800℃とすることができる。好ましくは700〜780℃である。また、処理の際の圧力は、0.1〜5Torr(13.3〜667Pa)とすることができる。
SiN膜の成膜の際に用いられるSi原料としては、上述のDCS、モノクロロシラン(MCS;SiClH)、トリクロロシラン(TCS;SiHCl)、シリコンテトラクロライド(STC;SiCl)、ヘキサクロロジシラン(HCD;SiCl)等の塩素含有シラン化合物、モノシラン(SiH)、ジシラン(Si)等のシラン化合物、アミノシラン系化合物等の有機シラン系化合物を用いることができる。
また、SiN膜の成膜の際に用いられる窒化ガスとしては、上述のNHガスの他、ヒドラジン(N)ガスや、その誘導体、例えばモノメチルヒドラジン(MMH)ガス等を用いることができる。
塩素含有ガスを吸着する工程2と、SiN膜を成膜する工程3とは、同一の装置によりin−situで連続して行うことが好ましい。これにより、これらの処理を真空を破らずに高スループットで行うことができる。この場合に、工程2と工程3とを400〜700℃の範囲内の同一の温度で行うことが好ましい。このように工程2と工程3を同一装置により連続して行う際のガス供給シーケンスの一例は、図5に示すようになる。すなわち、図2に示すようなウエハWを適宜の処理装置の処理容器内にセットし、最初に塩素含有ガスを供給して工程2に対応する前処理を適宜の時間行い(ステップ1)、次いで、Si原料ガスの供給(ステップ2)および窒化ガスの供給(ステップ3)を所定回数繰り返す。それぞれのステップの後は、上述したように、それぞれのステップで用いた余分なガスをウエハWから除去する処理、例えばパージが行われる。
<適用例>
次に、本実施形態における選択的な膜形成の適用例について説明する。
近時、デバイスの微細化にともない、例えばエッチングのプロセスマージンが益々小さくなっており、エッチング誤差が生じやすくなっている。例えば、図6(a)のような、SiO膜211とSiN膜212の積層構造210をエッチングにより形成する場合、図6(b)のように、SiN膜212が予定よりも余分にエッチングされることがある。そのような場合に、図6(c)に示すように、本実施形態の選択的なSiN膜の形成方法により、SiN膜212に選択的SiN膜213を成膜することにより、極めて簡便に修復することができる。
具体例として、図7(a)のように、ゲート電極221(詳細構造は省略)にSiN膜からなるスペーサ222が形成された構造部220をスペーサ222のエッチングにより形成する場合について説明する。図中、223は熱酸化膜である。スペーサ222のエッチングが進み過ぎた場合、図7(b)のように、スペーサ222が予定より薄くなり、絶縁性がスペックを満たさなくなる。このような場合、修復することができれば極めて便利である。そこで、図7(c)のように、本実施形態の選択的なSiN膜の形成方法により、スペーサ222に選択的SiN膜224を成膜してスペーサ222を修復する。このとき、修復すべきSiN膜の厚さは僅かであり、熱酸化膜223上にはSiN膜がほとんど成膜されない。このため、修復後は、通常の場合と同様、熱酸化膜223をエッチング除去するだけであり、選択的なSiN膜の形成以外の付加的な工程は不要である。
<実験例>
次に、下地膜として熱酸化膜(SiO膜)およびSiN膜を用い、これらの表面にClガスによる前処理を施した場合と施さない場合について、その上にサーマルALDによりSiN膜を成膜した際のインキュベーションタイムを比較した実験例について説明する。
ここでは、熱酸化膜(SiO膜)上にClガスにより前処理を施した後にSiN膜を成膜した場合(ケースA)、熱酸化膜(SiO膜)上にClガスにより前処理を施さずにSiN膜を成膜した場合(ケースB)、SiN膜上にClガスにより前処理を施した後にSiN膜を成膜した場合(ケースC)、SiN膜上にClガスにより前処理を施さずにSiN膜を成膜した場合(ケースD)について、サイクル数とSiN膜の膜厚の関係を求めた。その結果を図8に示す。
図8に示すように、Clガスによる前処理を行わない従来の場合は、成膜が開始されるまでのインキュベーションサイクル(インキュベーションタイムに相当)が、SiN膜上(ケースD)では約62回であり、熱酸化膜上(ケースB)では約89回であったのが、Clガスによる前処理を行った上記実施形態の場合は、インキュベーションサイクルがSiN膜上(ケースC)では約52回であり、熱酸化膜上(ケースA)では約103回であった。
以上の結果から、上記実施形態に従ってClガスの前処理を行うことにより、下地膜である熱酸化膜およびSiN膜に対してSiN膜を成膜する際のインキュベーションタイム差を拡大することができ、SiN膜成膜の選択性をより高められることが確認された。
<成膜装置>
次に、本発明の窒化膜の形成方法を実施するための成膜装置の例について説明する。
(成膜装置の第1の例)
本例では成膜装置として縦型バッチ式成膜装置の例を示す。
図9は本発明に係る窒化膜の形成方法を実施するための成膜装置の第1の例を示す縦断面図、図10は図9の成膜装置を示す横断面図である。なお、図10においては、加熱装置を省略している。
本例の成膜装置100は、下端が開口された有天井の円筒体状の処理容器1を有している。この処理容器1の全体は、例えば石英により形成されており、この処理容器1内の天井には、石英製の天井板2が設けられて封止されている。後述するように、処理容器1は加熱装置により加熱されるようになっており、ホットウォールタイプの成膜装置として構成される。また、この処理容器1の下端開口部には、例えばステンレススチールにより円筒体状に成形されたマニホールド3がOリング等のシール部材4を介して連結されている。
上記マニホールド3は処理容器1の下端を支持しており、このマニホールド3の下方から被処理体として多数枚、例えば50〜150枚の半導体ウエハ(以下単にウエハと記す)Wを多段に載置された石英製のウエハボート5が処理容器1内に挿入可能となっている。このウエハボート5は3本の支柱6を有し(図10参照)、支柱6に形成された溝により多数枚のウエハWが支持されるようになっている。
このウエハボート5は、石英製の保温筒7を介してテーブル8上に載置されており、このテーブル8は、マニホールド3の下端開口部を開閉する例えばステンレススチール製の蓋部9を貫通する回転軸10上に支持される。
そして、この回転軸10の貫通部には、例えば磁性流体シール11が設けられており、回転軸10を気密にシールしつつ回転可能に支持している。また、蓋部9の周辺部とマニホールド3の下端部との間には、例えばOリングよりなるシール部材12が介設されており、これにより処理容器1内のシール性を保持している。
回転軸10は、例えばボートエレベータ等の昇降機構(図示せず)に支持されたアーム13の先端に取り付けられており、ウエハボート5および蓋部9等を一体的に昇降して処理容器1内に挿入されるようになっている。なお、上記テーブル8を上記蓋部9側へ固定して設け、ウエハボート5を回転させることなくウエハWの処理を行うようにしてもよい。
成膜装置100は、処理容器1内へ窒化ガス、例えばNHガスを供給する窒化ガス供給機構14と、処理容器1内へSi原料ガス、例えばDCSガスを供給するSi原料ガス供給機構15と、処理容器1内へ塩素含有ガス、例えばClガスを供給する塩素含有ガス供給機構16とを有している。また、処理容器1内へパージガスとして不活性ガス、例えばNガスを供給するパージガス供給機構26を有している。
窒化ガス供給機構14は、窒化ガス供給源17と、窒化ガス供給源17から窒化ガスを導く窒化ガス配管18と、この窒化ガス配管18に接続され、マニホールド3の側壁を内側へ貫通して上方向へ屈曲されて垂直に延びる石英管よりなる窒化ガス分散ノズル19とを有している。この窒化ガス分散ノズル19の垂直部分には、複数のガス吐出孔19aが所定の間隔を隔てて形成されており、各ガス吐出孔19aから水平方向に処理容器1に向けて略均一に窒化ガスを吐出することができるようになっている。
Si原料ガス供給機構15は、Si原料ガス供給源20と、Si原料ガス供給源20からSi原料ガスを導くSi原料ガス配管21と、このSi原料ガス配管21に接続され、マニホールド3の側壁を内側へ貫通して上方向へ屈曲されて垂直に延びる石英管よりなるSi原料ガス分散ノズル22とを有している。Si原料ガス分散ノズル22には、その長さ方向に沿って複数のガス吐出孔22aが所定の間隔を隔てて形成されており、各ガス吐出孔22aから水平方向に処理容器1内に略均一にSi原料ガスを吐出することができるようになっている。
塩素含有ガス供給機構16は、塩素含有ガス供給源23と、塩素含有ガス供給源23から塩素含有ガスを導く塩素含有ガス配管24と、この塩素含有ガス配管24に接続され、マニホールド3の側壁を貫通して設けられた塩素含有ガス分散ノズル25とを有している。塩素含有ガス分散ノズル25には、その長さ方向に沿って複数のガス吐出孔25aが所定の間隔を隔てて形成されており、各ガス吐出孔25aから水平方向に処理容器1内に略均一に塩素含有ガスを吐出することができるようになっている。
さらに、パージガス供給機構26は、パージガス供給源27と、パージガス供給源27からパージガスを導くパージガス配管28と、このパージガス配管28に接続され、マニホールド3の側壁を貫通して設けられたパージガスノズル29とを有している。
窒化ガス配管18には、開閉バルブ18aおよびマスフローコントローラのような流量制御器18bが設けられており、窒化ガスを流量制御しつつ供給することができるようになっている。また、Si原料ガス配管21には、開閉バルブ21aおよびマスフローコントローラのような流量制御器21bが設けられており、Si原料ガスを流量制御しつつ供給することができるようになっている。さらに、塩素含有ガス配管24には、開閉バルブ24aおよびマスフローコントローラのような流量制御器24bが設けられており、塩素含有ガスを流量制御しつつ供給することができるようになっている。パージガス配管28には開閉バルブ28aおよびマスフローコントローラのような流量制御器28bが設けられており、パージガスを流量制御しつつ供給することができるようになっている。
処理容器1の一方の側面には高さ方向に沿って突出部1aが形成されており、図10に示すように、突出部1aの内部空間には窒化ガス分散ノズル19が配置されている。そして、Si原料ガス分散ノズル22と、塩素含有ガス分散ノズル25は、窒化ガス分散ノズル19を挟むように設けられている。
処理容器1の突出部1aと反対側の部分には、処理容器1内を真空排気するための排気口37が、処理容器1の側壁の上下方向に細長く形成されている。処理容器1の排気口37に対応する部分には、排気口37を覆うように断面コ字状に成形された排気口カバー部材38が取り付けられている。この排気口カバー部材38は、処理容器1の側壁に沿って上方に延びており、処理容器1の上方にガス出口39を規定している。そして、このガス出口39には排気管40が接続されており、排気管40には圧力調整バルブおよび真空ポンプ等からなる排気機構41が設けられている。そして、排気機構41により処理容器1内が排気されるとともに、処理容器1内が所定の減圧状態に調整される。
処理容器1の外側には、処理容器1を囲むようにして、処理容器1およびその内部のウエハWを加熱するための筒体状の加熱装置42が設けられている。
成膜装置100は制御部50を有している。制御部50は、成膜装置100の各構成部、例えばバルブ類、流量制御器であるマスフローコントローラ、昇降機構等の駆動機構、ヒータ電源等を制御する、CPUを有する主制御部と、キーボードやマウス等の入力装置、出力装置、表示装置、記憶装置を有している。制御部50の主制御部は、記憶装置に処理レシピが記憶された記憶媒体をセットすることにより、記憶媒体から呼び出された処理レシピに基づいて成膜装置100に所定の動作を実行させる。
次に、以上のように構成される成膜装置100によりSiN膜を形成する際の動作について説明する。以下の処理動作は制御部50における記憶部の記憶媒体に記憶された処理レシピに基づいて実行される。
最初に、図2に示したような2種類の下地膜、例えば熱酸化膜と窒化膜であるSiN膜が形成されたウエハWをウエハボート5に例えば50〜150枚搭載し、テーブル8に保温筒7を介してウエハボート5を載置し、昇降機構によりアーム13を上昇させることにより、下方開口部から処理容器1内へウエハボート5を搬入する。
そして、処理容器1内を0.1〜100Torr(13.3〜13330Pa)の圧力に調整した後、開閉バルブ28aを開けて所定流量でパージガス、例えばNガスを流した状態で、加熱装置42によりウエハボート5のセンター部(上下方向の中央部)の温度を、例えば、400〜700℃の範囲の所定温度になるように処理容器1内を予め加熱する。
その後、パージガスを流したまま、開閉バルブ24aを開き、塩素含有ガス、例えばClガスを処理容器1内に供給して前処理を行う(図5のステップ1)。所定時間経過後、開閉バルブ24aを閉じ、流したままの状態のパージガスにより処理容器1内を所定時間パージする。その後、連続してサーマルALDによりSiN膜を成膜する。具体的には開閉バルブ21aを開き、Si原料ガス、例えばDCSガスを処理容器1内に供給し、ウエハWにDCSガスを吸着させる(図5のステップ2)。所定時間経過後、開閉バルブ21aを閉じ、流したままの状態のパージガスにより処理容器1内をパージする。所定時間経過後、開閉バルブ18aを開き、窒化ガス、例えばNHガスを処理容器1内に供給し、窒化処理を行う(図5のステップ3)。所定時間経過後、開閉バルブ18aを閉じ、流したままの状態のパージガスにより処理容器1内をパージする。このようなDCSガスの供給および窒化ガスの供給を所定回数繰り返す。これにより、一方の下地膜、例えばSiN膜上にSiN膜が厚く形成され、他方の下地膜、例えば熱酸化膜上にはSiN膜が薄く形成されて、SiN膜の選択的成膜が実現される。
SiN膜の成膜が終了後、排気機構41により排気管40を介して処理容器1内を排気しつつ、パージガスにより処理容器1内のパージを行う。そして、処理容器1内を常圧に戻した後、昇降機構のアーム13を下降させてウエハボート5を搬出する。
なお、本例の装置では、塩素含有ガスによる前処理の後、Si原料ガスおよびNHガスを処理容器1内に同時に供給してCVDによりSiN膜を成膜してもよい。
成膜装置100におけるガス供給条件の例は以下の通りである。
Clガス流量:50〜5000sccm
DCSガス流量:500〜2000sccm
NHガス流量:1000〜10000sccm
ガス(パージガス)流量:50〜5000sccm
1回当たりのDCSガス供給時間:3〜60sec
1回当たりのNHガス供給時間:5〜60sec
1回当たりのパージ時間:1〜30sec
(成膜装置の第2の例)
本例では成膜装置として水平バッチ式成膜装置の例を示す。
図11は本発明に係る窒化膜の形成方法を実施するための成膜装置の第2の例を概略的に示す水平断面図である。
本例の成膜装置101は、円筒状をなす金属製の処理容器61を有しており、コールドウォールタイプの成膜装置として構成される。処理容器61内には、複数枚、例えば、5枚のウエハWを載置するターンテーブル62が設けられている。ターンテーブル62は、例えば時計回りに回転される。
処理容器61の周壁には、隣接する搬送室(図示せず)からウエハWを搬入出するための搬入出口63が設けられており、搬入出口63はゲートバルブ64により開閉されるようになっている。
処理容器61内の搬入出口63に対応する部分は搬入出部65となっており、この搬入出部65において、ターンテーブル62上へのウエハWの搬入およびターンテーブル62上のウエハWの搬出が行われる。
処理容器61内は、ターンテーブル62の回転領域に沿って、搬入出部65を除いて6つのエリアに分かれている。すなわち、搬入出部65側から、時計回りに設けられた、第1処理エリア71、第2処理エリア72、および第3処理エリア73、ならびに搬入出部65と第1処理エリア71との間、第1処理エリア71と第2処理エリア72との間、第2処理エリア72と第3処理エリア73との間にそれぞれ設けられた、第1分離エリア81、第2分離エリア82、および第3分離エリア83に分かれている。そして、ターンテーブル62が回転することによって、ウエハWはこれら6つのエリアを順番に通過する。第1〜第3分離エリア81〜83は、第1〜第3処理エリア71〜73のガス雰囲気を分離する機能を有している。
第1処理エリア71、第2処理エリア72、および第3処理エリア73には、それぞれターンテーブル62上のウエハWに処理ガスを吐出する第1処理ガスノズル74、第2処理ガスノズル75、および第3処理ガスノズル76が処理容器61の径方向に沿って放射状に設けられている。
また、第1分離エリア81、第2分離エリア82、および第3分離エリア83には、それぞれターンテーブル62上のウエハWに不活性ガス、例えばNガスを吐出する第1不活性ガスノズル84、第2不活性ガスノズル85、および第3不活性ガスノズル86が処理容器61の径方向に沿って放射状に設けられている。そして、これらノズルから不活性ガスが吐出されることによりガス雰囲気が分離される。
処理容器61の底部には、3つの排気口87、88および89が形成されている。これら排気口87、88および89を介して処理容器61内が排気される。
成膜装置101においては、第1処理ガスノズル74からSi原料ガス、例えばDCSガスが供給され、第2処理ガスノズル75から塩素含有ガス、例えばClガスが供給され、第3処理ガスノズル76から窒化ガス、例えばNHガスが供給される。したがって、第1処理エリア71はSi原料ガス供給エリアとなり、第2処理エリアは塩素含有ガス供給エリアとなり、第3処理エリア73は窒化エリアとなる。
成膜装置101は制御部90を有している。制御部90は、第1の例の成膜装置100の制御部50と同様に構成されている。
なお、図11では、Si原料ガス供給機構、塩素含有ガス供給機構、窒化ガス供給機構、不活性ガス供給機構の詳細は省略しているが、これらは成膜装置100と同様に構成されている。また、ターンテーブル62内には加熱装置(図示せず)が設けられている。さらに、排気口87、88、89には排気管(図示せず)が接続され、排気管には圧力調整バルブおよび真空ポンプを有する排気機構(図示せず)が設けられている。
このような成膜装置101においては、制御部90の制御によって上記実施形態のSiN膜の形成方法が実現される。
最初に、ゲートバルブ64を開放して搬入出口63を介して隣接する搬送室(図示せず)から、搬送装置(図示せず)により複数枚、例えば5枚の、図2に示したような2種類の下地膜、例えば熱酸化膜と窒化膜であるSiN膜が形成されたウエハWを、順次搬入し、ターンテーブル62上に載置する。そして、排気機構により、処理容器61内を0.1〜5Torr(13.3〜667Pa)に調圧する。このときターンテーブル62は予め加熱されており、ウエハWが400〜700℃の所定温度に加熱される。
次いで、第1〜第3不活性ガスノズル84〜86から不活性ガス、例えばNガスを吐出した状態で、ターンテーブル62を回転させ、第2処理ガスノズル75から塩素含有ガス、例えばClガスを吐出し、ターンテーブル62を所定回転させ、塩素含有ガスによる前処理を施す(図5のステップ1)。
その後、塩素含有ガス、例えばClガスを停止する。このとき、第3不活性ガスノズル86からの不活性ガスによりClガスがウエハから除去される。
その後、ターンテーブル62を回転させたまま、第1処理ガスノズル74からSi原料ガス、例えばDCSガスを吐出し、第3処理ガスノズル76から窒化ガス、例えばNHガスを吐出する。
この際に、ウエハWは、第1処理エリア71、第2分離エリア82、第2処理エリア72、第3分離エリア83、第3処理エリア73、第1分離エリア81を順次通過する。そして、最初に第1処理エリア71において、ウエハWにDCSガスが吸着され(図5のステップ2)、次いで第2分離エリア82においてNガスによりウエハWの余分なDCSガスが除去され、次いで第3処理エリア73においてNHガスによりウエハW上で窒化処理が行われ(図5のステップ3)、次いで第1分離エリア81においてNガスによりウエハW上の余分なNHガスが除去される。ターンテーブル62の1回転によりALDの1サイクルが行われ、ターンテーブル62を所定回数回転させる。これにより、一方の下地膜、例えばSiN膜上にSiN膜が厚く形成され、他方の下地膜、例えば熱酸化膜上にはSiN膜が薄く形成されて、SiN膜の選択的成膜が実現される。
SiN膜の成膜が終了後、排気機構により処理容器61内を排気しつつ、第1〜第3不活性ガスノズル84〜86から不活性ガスを供給して処理容器61内のパージを行う。そして、処理容器1内を搬送室の圧力に調整し、ゲートバルブ64を開けて、搬入出口63を介して搬送装置によりウエハWを順次搬出する。
なお、本例の装置では、SiN膜の成膜は専らALDにより行われ、CVDによるSiN膜の成膜は行わない。
成膜装置101におけるガス供給条件の例は以下の通りである。
Clガス流量:50〜5000sccm
DCSガス流量:500〜2000sccm
NHガス流量:1000〜10000sccm
ガス(不活性ガス)流量:50〜10000sccm
(成膜装置の第3の例)
本例では成膜装置として枚葉式成膜装置の例を示す。
図12は本発明に係る窒化膜の形成方法を実施するための成膜装置の第3の例を概略的に示す水平断面図である。
本例の成膜装置102は、円筒状をなす金属製の処理容器111を有しており、コールドウォールタイプの成膜装置として構成される。処理容器111内の底部には、基板載置台112が設けられており、基板載置台112には、被処理基板としてのウエハWが載置されるようになっている。基板載置台112内には加熱ヒータ113が設けられている。
処理容器111の側面の所定部分には、Si原料ガス、例えばDCSガスを処理容器111内に導入するSi原料ガス配管114、塩素含有ガス、例えばClガスを処理容器111内に導入する塩素含有ガス配管115、窒化ガス、例えばNHガスを処理容器111内に導入する窒化ガス配管116が隣接して接続されている。
また、処理容器111の側面のSi原料ガス配管114等が接続されている部分と反対側の部分には、パージガスとして不活性ガス、例えばNガスを供給するパージガス配管117と、処理容器111内を排気する排気管118が接続されている。
成膜装置102は制御部120を有している。制御部120は、第1の例の成膜装置100の制御部50と同様に構成されている。
なお、図12では、Si原料ガス供給機構、塩素含有ガス供給機構、窒化ガス供給機構、不活性ガス供給機構の詳細は省略しているが、これらは成膜装置100と同様に構成されている。また、排気管には圧力調整バルブおよび真空ポンプを有する排気機構(図示せず)が設けられている。
このような成膜装置102においては、制御部120の制御によって上記実施形態のSiN膜の形成方法が実現される。
最初に、ゲートバルブを開放して搬入出口を介して隣接する搬送室から、搬送装置により(いずれも図示せず)、図2に示したような2種類の下地膜、例えば熱酸化膜と窒化膜であるSiN膜が形成されたウエハWを1枚、処理容器111内に搬入し、基板載置台112上に載置する。そして、排気機構により、処理容器111内を0.1〜5Torr(13.3〜667Pa)に調圧する。このとき基板載置台112は加熱ヒータ113により予め加熱されており、ウエハWが400〜700℃の所定温度に加熱される。
その後、パージガス、例えばNガスを流したまま、塩素含有ガス、例えばClガスを処理容器1内に供給し前処理を行う(図5のステップ1)。所定時間経過後、Clガスを停止し、流したままの状態のパージガスにより処理容器111内を所定時間パージする。その後、連続してサーマルALDによりSiN膜を成膜する。具体的にはSi原料ガス、例えばDCSガスを処理容器111内に供給し、ウエハWにDCSガスを吸着させる(図5のステップ2)。所定時間経過後、DCSガスを停止し、流したままの状態のパージガスにより処理容器111内をパージする。所定時間経過後、窒化ガス、例えばNHガスを処理容器111内に供給し、窒化処理を行う(図5のステップ3)。所定時間経過後、NHガスを停止し、流したままの状態のパージガスにより処理容器111内をパージする。このようなDCSガスの供給および窒化ガスの供給を所定回数繰り返す。これにより、一方の下地膜、例えばSiN膜上にSiN膜が厚く形成され、他方の下地膜、例えば熱酸化膜上にはSiN膜が薄く形成されて、SiN膜の選択的成膜が実現される。
SiN膜の成膜が終了後、排気機構により排気管118を介して処理容器111内を排気しつつ、パージガスにより処理容器111内のパージを行う。そして、処理容器111内を搬送室の圧力に調整し、ゲートバルブを開け、搬入出口を介してウエハWを搬出する。
なお、本例の装置では、塩素含有ガスによる前処理の後、Si原料ガスおよびNHガスを処理容器1内に同時に供給してCVDによりSiN膜を成膜してもよい
成膜装置102におけるガス供給条件の例は以下の通りである。
Clガス流量:50〜5000sccm
DCSガス流量:10〜2000sccm
NHガス流量:1000〜5000sccm
ガス(パージガス)流量:50〜5000sccm
1回当たりのDCSガス供給時間:0.1〜60sec
1回当たりのNHガス供給時間:0.1〜60sec
1回当たりのパージ時間:0.1〜60sec
<他の適用>
以上、本発明の実施形態について説明したが、本発明は、上記の実施形態に限定されず、その思想を逸脱しない範囲で種々変形可能である。
例えば、上記実施形態では、Si原料と窒化ガスとを用いてシリコン窒化膜を形成する場合を例にとって説明したが、これに限らず、原料ガスと窒化ガスを用いて他の窒化膜を形成する場合にも適用することができる。例えば、Ti原料を用いてTiN膜を成膜する場合や、B原料を用いてBN膜を成膜する場合、W原料を用いてWN膜を成膜する場合等、種々の窒化膜に適用することができる。
また、上記実施形態では、下地膜としてSiO膜およびSiN膜を有する被処理基板を用いた例を示したが、塩素含有ガスの吸着性の異なる2種類以上の下地膜を有する被処理基板であれば適用可能である。例えば、一方の下地膜がSiO以外の酸化膜であり、他方の下地膜がSiN膜以外の他の窒化膜であってもよく、また、酸化膜と窒化膜との組み合わせ以外であってもよい。
また、成膜装置の典型例として、縦型バッチ式成膜装置、水平バッチ式成膜装置、枚葉式成膜装置を例示したが、本発明の窒化膜の形成方法が実現できるものであれば例示したものに限定されない。
さらに、上記実施形態では被処理基板として半導体ウエハを例にとって示したが、これに限らず、フラットパネルディスプレイのガラス基板やセラミックス基板等他の基板でも適用可能なことはいうまでもない。
1,61,111;処理容器
5;ウエハボート
14;窒化ガス供給機構
15;Si原料ガス供給機構
16;塩素含有ガス供給機構
26;パージガス供給機構
41;排気機構
42;加熱装置
62;ターンテーブル
65;搬入出部
71;第1処理エリア(Si原料ガス供給エリア)
72;第2処理エリア(塩素含有ガス供給エリア)
73;第3処理エリア(窒化エリア)
100,101,102;成膜装置
112;基板載置台
113;加熱ヒータ
114;Si原料ガス配管
115;塩素含有ガス配管
116;窒化ガス配管
117;パージガス配管
118;排気管
201;半導体基体
202;第1の下地膜
203;第2の下地膜
204;Clガス
205;SiN膜
211;SiO
212;SiN膜
213;選択的SiN膜
221;ゲート電極
222;スペーサ
223;熱酸化膜
224;選択的SiN膜
W;半導体ウエハ

Claims (11)

  1. 第1の下地膜と第2の下地膜を有する被処理基板を所定温度に加熱しつつ塩素含有ガスを供給し、前記第1の下地膜と前記第2の下地膜の表面に塩素含有ガスを吸着させる前処理を行う工程と、
    その後、前記塩素含有ガスを停止し、前記被処理基板を所定温度に加熱しつつ、前記前処理工程が施された前記第1の下地膜と前記第2の下地膜上に、Si原料ガスおよび窒化ガスを用いて、ALDまたはCVDによりシリコン窒化膜を成膜する工程と
    を有し、
    前記第1の下地膜は前記塩素含有ガスの吸着性が相対的に高く、前記第2の下地膜は前記塩素含有ガスの吸着性が相対的に低く、
    前記塩素含有ガスは前記Si原料ガスに対する吸着阻害効果を有することを特徴とする窒化膜の形成方法。
  2. 前記窒化膜を成膜する工程をALDにより行う場合に、最初に成膜原料を供給することを特徴とする請求項1に記載の窒化膜の形成方法。
  3. 前記第1の下地膜がシリコン酸化膜であり、前記第2の下地膜がシリコン窒化膜であることを特徴とする請求項1または請求項2に記載の窒化膜の形成方法。
  4. 前記シリコン窒化膜を成膜する際に用いる前記Si原料ガスは、ジクロロシラン、モノクロロシラン、トリクロロシラン、シリコンテトラクロライド、ヘキサクロロジシラン、モノシラン、ジシラン、有機シラン系化合物のいずれかであることを特徴とする請求項1から請求項3のいずれか1項に記載の窒化膜の形成方法。
  5. 前記窒化膜を成膜する工程は、ALDの場合に400〜700℃、CVDの場合に600〜800℃で行うことを特徴とする請求項1から請求項4のいずれか1項に記載の窒化膜の形成方法。
  6. 前記前処理工程は、200〜800℃で行うことを特徴とする請求項1から請求項のいずれか1項に記載の窒化膜の形成方法。
  7. 前記前処理工程と、前記窒化膜を成膜する工程は同一装置内で連続的に実施することを特徴とする請求項1から請求項のいずれか1項に記載の窒化膜の形成方法。
  8. 前記前処理工程と、前記窒化膜を成膜する工程は、同じ温度で行われることを特徴とする請求項に記載の窒化膜の形成方法。
  9. 前記前処理工程に用いられる前記塩素含有ガスは、Clガス、HClガス、BClガスから選択された少なくとも1種のガスであることを特徴とする請求項1から請求項のいずれか1項に記載の窒化膜の形成方法。
  10. 前記窒化膜の成膜に用いられる前記窒化ガスは、アンモニアガス、またはヒドラジンガス、またはヒドラジンの誘導体のガスであることを特徴とする請求項1から請求項のいずれか1項に記載の窒化膜の形成方法。
  11. コンピュータ上で動作し、処理装置を制御するためのプログラムが記憶された記憶媒体であって、前記プログラムは、実行時に、請求項1から請求項10のいずれかの窒化膜の形成方法が行われるように、コンピュータに前記処理装置を制御させることを特徴とする記憶媒体。
JP2016057911A 2016-03-23 2016-03-23 窒化膜の形成方法 Active JP6576277B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2016057911A JP6576277B2 (ja) 2016-03-23 2016-03-23 窒化膜の形成方法
US15/466,264 US10312078B2 (en) 2016-03-23 2017-03-22 Nitride film forming method and storage medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2016057911A JP6576277B2 (ja) 2016-03-23 2016-03-23 窒化膜の形成方法

Publications (2)

Publication Number Publication Date
JP2017174919A JP2017174919A (ja) 2017-09-28
JP6576277B2 true JP6576277B2 (ja) 2019-09-18

Family

ID=59899048

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016057911A Active JP6576277B2 (ja) 2016-03-23 2016-03-23 窒化膜の形成方法

Country Status (2)

Country Link
US (1) US10312078B2 (ja)
JP (1) JP6576277B2 (ja)

Families Citing this family (277)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6728087B2 (ja) 2017-02-22 2020-07-22 東京エレクトロン株式会社 成膜方法及び成膜装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) * 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
JP6873007B2 (ja) * 2017-08-09 2021-05-19 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
KR102394352B1 (ko) * 2017-10-06 2022-05-06 어플라이드 머티어리얼스, 인코포레이티드 금속 막들의 선택적 증착을 위한 방법들 및 전구체들
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP6929209B2 (ja) * 2017-12-04 2021-09-01 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
CN112166489A (zh) * 2018-05-28 2021-01-01 株式会社国际电气 半导体器件的制造方法、衬底处理装置及程序
JP7110468B2 (ja) * 2018-05-28 2022-08-01 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム及び基板処理方法。
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP7085929B2 (ja) * 2018-07-13 2022-06-17 東京エレクトロン株式会社 成膜方法
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
SG11202100492RA (en) * 2018-07-17 2021-03-30 Kokusai Electric Corp Method of manufacturing semiconductor device, substrate processing apparatus, and program
JP7345283B2 (ja) * 2018-07-26 2023-09-15 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) * 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7090568B2 (ja) 2019-01-30 2022-06-24 東京エレクトロン株式会社 成膜方法
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP7321730B2 (ja) * 2019-03-14 2023-08-07 キオクシア株式会社 半導体装置の製造方法
JP6860605B2 (ja) * 2019-03-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP6960953B2 (ja) 2019-03-20 2021-11-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
CN113316836B (zh) * 2019-03-20 2024-04-09 株式会社国际电气 半导体器件的制造方法、衬底处理方法、衬底处理装置及记录介质
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
JP7135190B2 (ja) * 2019-07-31 2022-09-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
JP6953480B2 (ja) * 2019-07-31 2021-10-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
JP7221187B2 (ja) 2019-09-30 2023-02-13 東京エレクトロン株式会社 成膜方法、及び成膜装置
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP7227122B2 (ja) * 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
JP7072012B2 (ja) * 2020-02-27 2022-05-19 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP2021150382A (ja) * 2020-03-17 2021-09-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JPWO2022138280A1 (ja) * 2020-12-25 2022-06-30
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7305013B2 (ja) * 2021-09-29 2023-07-07 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
CN116065139A (zh) 2021-11-02 2023-05-05 东京毅力科创株式会社 成膜方法和成膜装置

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5178682A (en) * 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
JP2000174007A (ja) 1998-12-07 2000-06-23 Tokyo Electron Ltd 熱処理装置
JP2004281853A (ja) 2003-03-18 2004-10-07 Hitachi Kokusai Electric Inc 基板処理装置
KR101106336B1 (ko) * 2004-07-29 2012-01-18 인텔렉츄얼 벤처스 투 엘엘씨 신호대잡음비를 개선할 수 있는 이미지센서 및 그 제조 방법
US9034774B2 (en) * 2011-04-25 2015-05-19 Tokyo Electron Limited Film forming method using plasma
US20140199854A1 (en) * 2013-01-16 2014-07-17 United Microelectronics Corp. Method of forming film on different surfaces
JP6347544B2 (ja) * 2014-07-09 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム

Also Published As

Publication number Publication date
US20170278705A1 (en) 2017-09-28
US10312078B2 (en) 2019-06-04
JP2017174919A (ja) 2017-09-28

Similar Documents

Publication Publication Date Title
JP6576277B2 (ja) 窒化膜の形成方法
JP6671262B2 (ja) 窒化膜の形成方法および形成装置
KR101174953B1 (ko) 반도체 처리용 성막 장치 및 그 사용 방법과, 컴퓨터로 판독 가능한 매체
CN108122736B (zh) 半导体装置的制造方法、基板处理装置以及存储介质
JP6568508B2 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
US20160376699A1 (en) Substrate processing apparatus, and storage medium
CN109671611B (zh) 半导体器件的制造方法、衬底处理装置及记录介质
US10854449B2 (en) Method and apparatus for forming silicon film
JP2007035740A (ja) 成膜方法、成膜装置及び記憶媒体
US20180171467A1 (en) Method of Manufacturing Semiconductor Device, Substrate Processing Apparatus and Non-Transitory Computer-Readable Recording Medium
US9972486B2 (en) Nitride film forming method and storage medium
JP7166431B2 (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US10781515B2 (en) Film-forming method and film-forming apparatus
JP7065178B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP7159446B2 (ja) 基板処理方法、基板処理装置、プログラムおよび半導体装置の製造方法
JP7186909B2 (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP5051180B2 (ja) 成膜方法
WO2021145077A1 (ja) 成膜方法、成膜装置、および半導体装置の製造方法
JP2020077890A (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
JP2020080422A (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
JP2021110030A (ja) 成膜方法、成膜装置、および半導体装置の製造方法
TW202338990A (zh) 基板處理裝置、基板處理方法、半導體裝置之製造方法及程式

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180903

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190507

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190514

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190708

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190723

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190820

R150 Certificate of patent or registration of utility model

Ref document number: 6576277

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250