JP7221187B2 - 成膜方法、及び成膜装置 - Google Patents

成膜方法、及び成膜装置 Download PDF

Info

Publication number
JP7221187B2
JP7221187B2 JP2019178592A JP2019178592A JP7221187B2 JP 7221187 B2 JP7221187 B2 JP 7221187B2 JP 2019178592 A JP2019178592 A JP 2019178592A JP 2019178592 A JP2019178592 A JP 2019178592A JP 7221187 B2 JP7221187 B2 JP 7221187B2
Authority
JP
Japan
Prior art keywords
film
gas
substrate
nitride film
oxide film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019178592A
Other languages
English (en)
Other versions
JP2021057439A (ja
Inventor
聡 ▲高▼木
和也 北村
秀林 蔡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2019178592A priority Critical patent/JP7221187B2/ja
Priority to KR1020200120248A priority patent/KR102591376B1/ko
Priority to CN202011002086.3A priority patent/CN112582254A/zh
Priority to US17/032,915 priority patent/US11557476B2/en
Publication of JP2021057439A publication Critical patent/JP2021057439A/ja
Application granted granted Critical
Publication of JP7221187B2 publication Critical patent/JP7221187B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/28Deposition of only one other non-metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02499Monolayers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Description

本開示は、成膜方法、及び成膜装置に関する。
特許文献1に記載の成膜方法は、基板に対して塩素含有ガスを供給し、塩素含有ガスを吸着させる工程と、塩素含有ガスを吸着させた基板に対して窒化シリコン膜を成膜する工程とを有する。基板は、窒化シリコン膜と、酸化シリコン膜とを有する。塩素含有ガスは、酸化シリコン膜に対する窒化シリコン膜の成膜を阻害する。従って、旧い窒化シリコン膜に、新しい窒化シリコン膜を選択的に成膜できる。
特開2017-174919号公報
本開示の一態様は、窒化膜と酸化膜のうちの窒化膜に選択的に半導体膜を形成できる、技術を提供する。
本開示の一態様に係る成膜方法は、
窒化膜の露出する領域と酸化膜の露出する領域とが隣り合う基板に対してフッ素含有ガスを供給し、前記基板にフッ素を吸着させつつ、前記窒化膜と前記酸化膜のうちの前記窒化膜を選択的にエッチングし、前記窒化膜の表面を前記酸化膜の表面よりも凹ませ、前記酸化膜の側面で段差面を形成する工程と、
前記基板にフッ素を吸着させつつ前記段差面を形成する工程の後、半導体材料を含む原料ガスを前記基板に対して供給し、前記窒化膜と前記酸化膜のうちの前記窒化膜に選択的に半導体膜を形成する工程と、
を含む。
本開示の一態様によれば、窒化膜と酸化膜のうちの窒化膜に選択的に半導体膜を形成できる。
図1は、一実施形態に係る成膜方法を示すフローチャートである。 図2Aは、図1のS1で準備した基板を示す側面図である。 図2Bは、図1のS2で得られる基板を示す側面図である。 図2Cは、図1のS3で得られる基板を示す側面図である。 図2Dは、図1のS4で得られる基板を示す側面図である。 図2Eは、図1のS5で得られる基板を示す側面図である。 図2Fは、2回目のS4で得られる基板を示す側面図である。 図2Gは、2回目のS5で得られる基板を示す側面図である。 図3Aは、半導体膜の膜厚と、半導体膜の成膜時間との関係の一例を示す図である。 図3Bは、フッ素含有ガスの供給前後でのΔtの変化の一例を示す図である。 図4Aは、基板温度の経時変化の一例を示す図である。 図4Bは、基板温度の経時変化の別の一例を示す図である。 図5は、図1の成膜方法を実施する成膜装置の一例を示す断面図である。
以下、本開示の実施形態について図面を参照して説明する。なお、各図面において同一の又は対応する構成には同一の又は対応する符号を付し、説明を省略することがある。
先ず、図1、図2A、図2B、図2C、図2D、図2E、図2F及び図2Gを参照して、成膜方法について説明する。成膜方法は、例えば、基板10の準備(S1)と、自然酸化膜12の除去(S2)と、フッ素吸着及び段差形成(S3)と、半導体膜30の形成(S4)と、不要な半導体材料40の除去(S5)とをこの順番で有する。
図1のS1では、図2Aに示すように、基板10を準備する。基板10の準備は、例えば基板10を後述の処理容器110の内部に設置することを含む。基板10は、主面に、第1領域A1と、第1領域A1に隣接する第2領域A2とを有する。
第1領域A1は、窒化膜11の自然酸化膜12が露出する領域である。窒化膜11は、通常、大気中で自然に酸化されるので、自然酸化膜12で覆われる。窒化膜11の材質は、特に限定されないが、例えば窒化シリコンである。
第2領域A2は、酸化膜13が露出する領域である。酸化膜13の材質は、特に限定されないが、例えば酸化シリコンである。
第1領域A1の数は、図2Aでは1つであるが、複数であってもよい。例えば2つの第1領域A1が第2領域A2を挟むように配置されてもよい。同様に、第2領域A2の数は、図2Aでは1つであるが、複数であってもよい。例えば2つの第2領域A2が第1領域A1を挟むように配置されてもよい。
なお、図2Aでは第1領域A1及び第2領域A2のみが存在するが、第3領域がさらに存在してもよい。第3領域は、第1領域A1及び第2領域A2とは異なる材質の膜が露出する領域である。
基板10は、窒化膜11及び酸化膜13の他に、下地基板14を有する。下地基板14は、例えばシリコンウェハなどの半導体基板である。なお、下地基板14は、ガラス基板などであってもよい。下地基板14の表面に、窒化膜11及び酸化膜13が形成される。
なお、基板10は、下地基板14と酸化膜13との間に、下地基板14及び酸化膜13とは異なる材料で形成される下地膜をさらに有してもよい。同様に、基板10は、下地基板14と窒化膜11との間に、下地基板14及び窒化膜11とは異なる材料で形成される下地膜をさらに有してもよい。
図1のS2では、図2Bに示すように、自然酸化膜12を除去する。自然酸化膜12の除去によって、第1領域A1にて窒化膜11を露出させることが目的である。
窒化膜11が窒化シリコンである場合、自然酸化膜12はシリコンを含む。この場合、自然酸化膜12の除去は、例えば化学的酸化物除去(Chemical Oxide Removal;COR)と呼ばれる処理で行う。
CORは、HFガスとNHガスとを基板10に対して供給し、これらのガスと自然酸化膜12とを反応させ、ケイフッ化アンモニウム((NHSiF)を生成し、その生成物を加熱によって昇華する。その昇華によって、自然酸化膜12が除去され、窒化膜11が第1領域A1に露出する。
自然酸化膜12の除去後には、窒化膜11と酸化膜13とが、HFガスとNHガスとに曝される。これらのガスは、酸化物を除去するので、自然酸化膜12だけではなく、酸化膜13をもエッチングする。
仮に、自然酸化膜12の除去後に、窒化膜11と酸化膜13のうちの酸化膜13が選択的にエッチングされると、酸化膜13の表面が窒化膜11の表面よりも凹んでしまい、図1のS3の処理時間が長くなってしまう。
そこで、CORは、自然酸化膜12の除去後に、窒化膜11を続けてエッチングできる条件で実施される。CORは、酸化膜13と窒化膜11とでエッチング速度が同程度になるような条件で実施されることが好ましい。CORの処理条件の一例は、下記の通りである。
基板温度:60℃
HFガスの流量:300sccm(standard cc/min)
NHガスの流量:300sccm
ガスの流量:1500sccm
処理容器の内部の気圧:27Pa
処理時間:1.6min
なお、Nガスは、希釈ガスである。Nガスの代わりに、Arガス等の希ガスが希釈ガスとして用いられてもよい。
なお、本実施形態では自然酸化膜12を有する基板10が準備されるが、自然酸化膜12を有しない基板10が準備されてもよい。この場合、自然酸化膜12の除去(S2)は、当然に不要である。
図1のS3では、図2Cに示すように、窒化膜11が露出した基板10に対してフッ素含有ガスを供給し、基板10にフッ素20を吸着させつつ、窒化膜11と酸化膜13のうちの窒化膜11を選択的にエッチングし、窒化膜11の表面を酸化膜13の表面よりも凹ませ、酸化膜13の側面で段差面15を形成する。
上記の通り、図1のS3において基板10にフッ素20を吸着させることで、図1のS4において窒化膜11と酸化膜13のうちの窒化膜11に選択的に半導体膜30を形成しやすい。その理由について、図3A及び図3Bを参照して説明する。
図3Aに示すように、半導体膜30の原料ガスの供給開始から一定の時間Δt、半導体膜30はほとんど成長せず、半導体膜30の膜厚はほとんど増加しない。一定の時間Δtが経過すると、半導体膜30の核が形成され、その核を起点とする成長が始まり、半導体膜30の膜厚が増加し始める。時間Δtを、インキュベーションタイム(incubation time)と呼ぶ。
図3Bに示すように、Δtは、半導体膜30の下地の材質で決まる。下地が窒化膜11である場合のΔtは、下地が酸化膜13である場合のΔtに比べて、短い。その差は、フッ素含有ガスの供給によって顕著になる。
フッ素含有ガスの供給によって、フッ素20が基板10に吸着する。その結果、下地が窒化膜11である場合のΔtが僅かに長期化するのに対し、下地が酸化膜13である場合のΔtが著しく長期化する。
長期化の程度が下地の材質に応じて変わるのは、図2Cに示すようにフッ素20が窒化膜11と酸化膜13のうち酸化膜13に吸着しやすいためと推定される。但し、フッ素20は、窒化膜11にも吸着してよい。
フッ素含有ガスの供給後に、下地が窒化膜11である場合のΔtは、下地が酸化膜13である場合のΔtに比べて、短い。且つ、その時間差は十分に長い。従って、その時間差を利用して、半導体膜30を窒化膜11に選択的に成膜できる。
半導体膜30の原料ガスの供給時間は、下地が窒化膜11である場合のΔtよりも長く設定され、下地が酸化膜13である場合のΔtよりも短く設定される。それゆえ、酸化膜13には半導体膜30がほとんど形成されない。
また、上記の通り、図1のS3において窒化膜11の表面を酸化膜13の表面よりも凹ませ、酸化膜13の側面で段差面15を形成するので、図1のS4において半導体膜30が窒化膜11の表面から横にはみ出すのを抑制できる。
段差面15の高さHは、半導体膜30の目標膜厚よりも小さくてもよいが、半導体膜30の目標膜厚以上であってよい。後者の場合、半導体膜30が窒化膜11の表面から横にはみ出すのを確実に抑制できる。段差面15の高さHは、例えば2nm以上である。
なお、図1に示すように半導体膜30の形成(S4)と、不要な半導体材料40の除去(S5)とが繰り返し行われる場合、半導体膜30の目標膜厚とは、複数の半導体膜30の合計の目標膜厚を意味する。
フッ素含有ガスは、例えば、Fガスである。Fガスは、基板10にフッ素20を吸着させつつ、窒化膜11を選択的にエッチングし、窒化膜11の表面を凹ませる。Fガスを用いた図1のS3の処理条件は、例えば下記の通りである。
基板温度:250℃~300℃
ガスの流量:100sccm~10000sccm
処理容器の内部の気圧:13Pa~20000Pa
処理時間:0.1min~30min。
なお、上記の通り、CORは、本来、酸化物を除去する処理であるが、条件を変えれば、窒化膜11と酸化膜13のうちの窒化膜11を選択的にエッチングすることも可能である。従って、フッ素含有ガスは、HFガスであってもよい。HFガスは、NHガスと共に用いられる。窒化膜11を選択的にエッチングするCORの処理条件の一例は、下記の通りである。
基板温度:60℃
HFガスの流量:100sccm
NHガスの流量:300sccm
ガスの流量:3000sccm
処理容器の内部の気圧:26Pa
処理時間:1min~30min。
HFガスは、Fガスと同様に、基板10にフッ素20を吸着させつつ、NHガスと協働して窒化膜11を選択的にエッチングし、窒化膜11の表面を凹ませ、段差面15を形成する。フッ素吸着及び段差形成(S3)の後、半導体膜30の形成(S4)が行われる。
図1のS4では、図2Dに示すように、半導体材料を含む原料ガスを基板10に対して供給し、窒化膜11と酸化膜13のうちの窒化膜11に選択的に半導体膜30を形成する。半導体膜30は、例えばCVD(Chemical Vapor Deposition)法で形成される。
半導体膜30の原料ガスは、例えば、シリコン(Si)及びゲルマニウム(Ge)のうちの少なくとも1つを含む。この場合、半導体膜30は、シリコン(Si)及びゲルマニウム(Ge)のうちの少なくとも1つを含む。
半導体膜30は、例えばアモルファスシリコン膜である。アモルファスシリコン膜の原料ガスは、モノシラン(SiH)ガス、又はジシラン(Si)ガス等のシラン系ガスである。
アモルファスシリコン膜の成膜条件は、原料ガスの種類に応じて決められる。原料ガスがSiガスである場合、その成膜条件は、例えば下記の通りである。
基板温度:350℃~450℃
Siガスの流量:100sccm~10000sccm
処理容器の内部の気圧:27Pa~1333Pa
処理時間:5min~300min。
なお、半導体膜30は、ポリシリコン膜であってもよい。ポリシリコン膜の原料ガスは、アモルファスシリコン膜の原料ガスと同様である。また、半導体膜30は、ゲルマニウム(Ge)膜、又はシリコンゲルマニウム(SiGe)膜であってもよい。
Ge膜の原料ガスは、例えば、モノゲルマン(GeH)ガス、又はジゲルマン(Ge)ガス等のゲルマン系ガスである。また、SiGe膜の原料ガスは、例えば、シラン系ガスとゲルマン系ガスとである。
半導体膜30は、ドーパントを含んでもよいし、ドーパントを含まなくてもよい。ドーパントは、例えばカーボン(C)、リン(P)、又はボロン(B)等である。
本実施形態によれば、上記の通り、半導体膜30の形成(S4)の前に、フッ素吸着及び段差形成(S3)が行われるので、窒化膜11の表面に選択的に半導体膜30を形成できる。
但し、図2Dに示すように、酸化膜13の表面に、粒状の半導体材料40が堆積することがある。半導体材料40は、半導体膜30と同じ材質であり、例えばSi及びGeのうちの少なくとも1つを含む。
半導体材料40の堆積は、半導体膜30の目標膜厚が厚く、原料ガスの連続供給時間が長く、その連続供給時間とΔtとの差が小さい場合に生じる。また、半導体材料40の堆積は、フッ素20の吸着不足によっても生じる。
図1のS5では、図2Eに示すように、ハロゲン含有ガスを基板10に対して供給し、酸化膜13の表面に堆積した粒状の半導体材料40を除去する。半導体膜30の形成(S4)で生じた不要な半導体材料40を除去できる。
ハロゲン含有ガスは、半導体材料40をその表面からエッチングするので、比表面積(単位体積当たりの表面積)に応じた体積減少速度で半導体をエッチングする。比表面積が大きいほど、体積減少速度が速い。
半導体材料40は、粒状である。それゆえ、半導体材料40の比表面積は、半導体膜30の比表面積に比べ大きい。従って、半導体膜30をほとんどエッチングすることなく、半導体材料40をエッチングできる。
ハロゲン含有ガスは、ハロゲンを含み、具体的には、フッ素(F)、塩素(Cl)、臭素(Br)から選ばれる少なくとも1つを含む。但し、フッ素は、半導体材料40だけではなく、窒化膜11及び酸化膜13をもエッチングしうる。
そこで、ハロゲン含有ガスは、窒化膜11及び酸化膜13をエッチングしないように、フッ素を含まなくてよい。フッ素を含まないハロゲン含有ガスは、例えば、Clガス、HClガス、Brガス、又はHBrガスである。
ハロゲン含有ガスの供給条件は、ハロゲン含有ガスの種類に応じて決められる。Clガスの供給条件は、例えば下記の通りである。
基板温度:350℃~450℃
Clガスの流量:100sccm~5000sccm
処理容器の内部の気圧:27Pa~667Pa
処理時間:0.5min~30min。
本実施形態によれば、上記の通り、ハロゲン含有ガスを基板10に対して供給し、酸化膜13の表面に堆積した粒状の半導体材料40を除去する。半導体材料40の成長の起点である核を除去でき、Δtを初期化できる。
Δtの初期化は、図1に示すように、半導体膜30の形成(S4)と半導体材料40の除去(S5)とを1サイクルとし、そのサイクルを繰り返し実施する場合に有効である。2回目以降のS4において、酸化膜13の表面における粒状の半導体材料40の堆積を抑制できる。
図1のS6では、サイクル回数が目標回数に達したか否かをチェックする。サイクル回数が目標回数に達すると、半導体膜30の膜厚が目標膜厚に達するように、目標回数が予め実験等で決められる。目標膜厚が厚いほど、目標回数が多い。
サイクル回数が目標回数未満である場合(図1のS6、NO)、半導体膜30の膜厚が目標膜厚に達しないので、半導体膜30の形成(S4)と、半導体材料40の除去(S5)とが再び実施される。2回目のS4で得られる基板10を図2Fに、2回目のS5で得られる基板10を図2Gにそれぞれ示す。
半導体膜30の形成(S4)を複数回に分けて実施すれば、1回毎に堆積する粒状の半導体材料40のサイズを減少できる。半導体材料40のサイズが小さいほど、半導体材料40の比表面積が大きく、半導体材料40の除去(S5)に要する時間が短い。従って、半導体材料40の除去時に生じうる半導体膜30のエッチングを抑制できる。
一方、サイクル回数が目標回数である場合(図1のS6、YES)、半導体膜30の膜厚が目標膜厚に到達済みであるので、今回の処理が終了する。
処理後の基板10は、例えば、窒化膜11と酸化膜13のうち、酸化膜13のみをエッチングする処理に供される。この処理では、半導体膜30を、酸化膜13のエッチング時に、窒化膜11を保護する保護膜として利用する。半導体膜30は、窒化膜11を保護することで、窒化膜11と下地基板14との間に予め形成された不図示の導電膜をも保護できる。
図4Aに示すように、フッ素吸着及び段差形成(S3)は、半導体膜30の形成(S4)よりも、低い温度で実施される。S3にて窒化膜11の急激なエッチングを抑制できる。その結果、エッチング時間で段差面15の高さHを精度良く管理できる。また、窒化膜11のエッチングムラを低減できる。
但し、図4Bに示すように、フッ素吸着及び段差形成(S3)は、半導体膜30の形成(S4)と同じ温度で実施されてもよい。S3からS4への移行に際し、温度変更の待ち時間が生じないので、スループットを向上できる。
S3がS4と同じ温度で実施される場合、S3ではフッ素含有ガスとして、例えばFガスが用いられる。Fガスは、350℃~400℃の温度範囲では、自然酸化膜12をもエッチングできるので、S2にも利用できる。S2からS3への移行に際し、ガスの切換の待ち時間が生じないので、また、温度変更の待ち時間が生じないので、スループットを更に向上できる。
ガスは、S2にて自然酸化膜12を除去し、続いてS3にて窒化膜11と酸化膜13のうちの窒化膜11を選択的にエッチングする。窒化膜11のエッチング速度は、自然酸化膜12及び酸化膜13のエッチング速度よりも速い。また、Fガスは、S3にて基板10に対してフッ素20を吸着させる。
なお、成膜方法は、図1に示す複数の処理の一部を有しなくてもよい。例えば、成膜方法は、半導体材料の除去(S5)を有しなくてもよい。その場合、成膜方法は、半導体膜の形成(S4)を一回のみ有する。また、上記の通り、基板10の準備(S1)で、自然酸化膜12を有しない基板10が準備される場合、自然酸化膜12の除去(S2)は当然に不要である。
次に、図5を参照して、図1に示す成膜方法を実施する成膜装置100について説明する。成膜装置100は、多数枚の基板に対して一括で熱処理を行うバッチ式の縦型熱処理装置である。
成膜装置100は、処理容器110と、基板保持部120と、加熱部130と、ガス供給部140と、ガス排出部150と、制御部160とを備える。処理容器110は、基板10を収容する。基板保持部120は、処理容器110の内部で基板10を保持する。加熱部130は、基板保持部120で保持された基板10を加熱する。ガス供給部140は、処理容器110の内部にガスを供給する。ガス排出部150は、処理容器110の内部からガスを排出する。制御部160は、図1に示す成膜方法を実施するように、加熱部130と、ガス供給部140と、ガス排出部150とを制御する。
処理容器110は、鉛直な二重管であって、円筒形状の内管111と、内管111の外側を覆う円筒形状の外管112とを有する。内管111は、下端に開口部を有し、上端に水平な天井部を有する。外管112は、下端に開口部を有し、上端にドーム状の天井部を有する。内管111及び外管112は、例えば、石英又は炭化珪素で形成される。
処理容器110は、円筒形状のマニホールド114を更に有する。マニホールド114は、例えばステンレス鋼で形成される。マニホールド114の上端には、フランジ部115が形成される。フランジ部115には、外管112の下端が設置される。フランジ部115と外管112との下端との間にはOリング等のシール部材116が配置される。マニホールド114の上部の内壁には、円環状の支持部117が設けられる。支持部117には、内管111の下端が設置される。
処理容器110は、蓋体118を更に有する。蓋体118は、マニホールド114の下端の開口部を塞ぐ。蓋体118と、マニホールド114の下端との間には、Oリング等のシール部材119が配置される。蓋体118は、例えばステンレス鋼により形成される。蓋体118の中央部には、蓋体118を鉛直方向に貫通する貫通穴が形成される。その貫通穴には、回転軸171が配置される。蓋体118と回転軸171の隙間は、磁性流体シール部172によってシールされる。回転軸171の下端部は、昇降部181のアーム182に回転自在に支持される。回転軸171の上端部には、回転プレート173が設けられる。回転プレート173上には、保温台121を介して基板保持部120が設置される。
基板保持部120は、複数枚の基板10を鉛直方向に間隔をおいて保持する。複数枚の基板10は、それぞれ、水平に保持される。昇降部181を上昇させると、蓋体118および基板保持部120が上昇し、基板保持部120が処理容器110の内部に搬入され、処理容器110の下端の開口が蓋体118で密閉される。また、昇降部181を下降させると、蓋体118および基板保持部120が下降し、基板保持部120が処理容器110の外部に搬出される。また、回転軸171を回転させると、回転プレート173と共に基板保持部120が回転する。
加熱部130は、基板保持部120で保持された基板10を加熱する。加熱部130は、処理容器110の外部に、円筒形状に形成される。加熱部130は、例えば電気ヒータである。
ガス供給部140は、処理容器110の内部にガスを供給する。ガス供給部140は、図1のS2、S3、S4及びS5で用いられるガスを、処理容器110の内部に供給する。例えば、ガス供給部140は、NHガスと、HFガスと、Fガスと、Siガスと、Clガスと、Nガスとを、処理容器110の内部に供給する。なお、上記の通り、ガスの種類は特に限定されない。
ガス供給部140は、処理容器110の内部に、鉛直なガス供給管141を有する。ガス供給管141は、鉛直方向に間隔をおいて複数の給気口142を有する。複数の給気口142は、ガスを水平に吐出する。ガス供給管141は、図5では1本のみ図示されるが、複数種類のガスに対応して複数本設けられる。なお、1本のガス供給管141が複数種類のガスを順番に吐出してもよい。また、複数本のガス供給管141が同じ種類のガスを同時に吐出してもよい。
ガス供給部140は、ガス供給源143を有する。ガス供給源143は、流量制御器144及び開閉弁145を介して、ガス供給管141にガスを供給する。流量制御器144は、ガスの流量を制御する。開閉弁145は、ガスの供給と停止とを切換える。ガス供給源143、流量制御器144及び開閉弁145は、それぞれ、図5では1つ図示されるが、複数種類のガスに対応して複数設けられる。
ガス排出部150は、処理容器110の内部からガスを排出する。内管111の内部を排気すべく、内管111には排気口113が形成される。その排気口113は、給気口142と対向するように配置される。給気口142から水平に吐出されたガスは、排気口113を通った後、外管112の内壁に沿って下降し、排気管151から排気される。
ガス排出部150は、排気管151と、真空ポンプ152と、圧力制御器153とを有する。排気管151は、マニホールド114の排気ポートと、真空ポンプ152とを接続する。真空ポンプ152は、処理容器110の内部からガスを吸引する。圧力制御器153は、排気管151の途中に設けられ、処理容器110の内部の気圧を制御する。
制御部160は、例えばコンピュータであり、CPU(Central Procesing Unit)161と、メモリなどの記憶媒体162とを備える。記憶媒体162には、成膜装置100において実行される各種の処理を制御するプログラムが格納される。制御部160は、記憶媒体162に記憶されたプログラムをCPU161に実行させることにより、成膜装置100の動作を制御する。
なお、成膜装置100は、図5に示す縦型熱処理装置には限定されない。例えば、成膜装置100は、基板10を1枚ずつ処理する枚葉式の装置であってもよい。また、成膜装置100は、セミバッチ式の装置であってもよい。セミバッチ式の装置は、回転テーブルの回転中心線の周りに配置した複数枚の基板10を、回転テーブルと共に回転させ、異なるガスが供給される複数の領域を順番に通過させる。
以上、本開示に係る成膜方法および成膜装置の実施形態について説明したが、本開示は上記実施形態などに限定されない。特許請求の範囲に記載された範疇内において、各種の変更、修正、置換、付加、削除、および組み合わせが可能である。それらについても当然に本開示の技術的範囲に属する。
10 基板
11 窒化膜
12 自然酸化膜
13 酸化膜
20 フッ素
30 半導体膜
40 半導体材料
A1 第1領域
A2 第2領域
100 成膜装置
110 処理容器
120 基板保持部
130 加熱部
140 ガス供給部
150 ガス排出部
160 制御部

Claims (11)

  1. 窒化膜の露出する領域と酸化膜の露出する領域とが隣り合う基板に対してフッ素含有ガスを供給し、前記基板にフッ素を吸着させつつ、前記窒化膜と前記酸化膜のうちの前記窒化膜を選択的にエッチングし、前記窒化膜の表面を前記酸化膜の表面よりも凹ませ、前記酸化膜の側面で段差面を形成する工程と、
    前記基板にフッ素を吸着させつつ前記段差面を形成する工程の後、半導体材料を含む原料ガスを前記基板に対して供給し、前記窒化膜と前記酸化膜のうちの前記窒化膜に選択的に半導体膜を形成する工程と、
    を含む、成膜方法。
  2. 前記基板にフッ素を吸着させつつ前記段差面を形成する工程は、前記窒化膜に選択的に前記半導体膜を形成する工程よりも、低い温度で実施される、請求項1に記載の成膜方法。
  3. 前記フッ素含有ガスは、Fガス、又はNHガスと共に用いられるHFガスである、請求項1又は2に記載の成膜方法。
  4. 前記原料ガスは、Si及びGeのうちの少なくとも1つを含む、請求項1~3のいずれか1項に記載の成膜方法。
  5. 前記窒化膜は窒化シリコン膜であり、前記酸化膜は酸化シリコン膜である、請求項1~4のいずれか1項に記載の成膜方法。
  6. 前記基板にフッ素を吸着させつつ前記段差面を形成する工程の前に、前記窒化膜の自然酸化膜を除去し、前記窒化膜を露出する工程を更に含む、請求項1~5のいずれか1項に記載の成膜方法。
  7. 前記自然酸化膜の除去に、NHガスとHFガスを用いる、請求項6に記載の成膜方法。
  8. 前記窒化膜に選択的に前記半導体膜を形成する工程の後に、ハロゲン含有ガスを前記基板に対して供給し、前記酸化膜に堆積した前記半導体材料を除去する工程を更に含む、請求項1~7のいずれか1項に記載の成膜方法。
  9. 前記ハロゲン含有ガスは、フッ素を含まない、請求項8に記載の成膜方法。
  10. 前記窒化膜に選択的に前記半導体膜を形成する工程と、前記酸化膜に堆積した前記半導体材料を除去する工程とを繰り返し含む、請求項8又は9に記載の成膜方法。
  11. 前記基板を収容する処理容器と、
    前記処理容器の内部で前記基板を保持する基板保持部と、
    前記基板保持部で保持された前記基板を加熱する加熱部と、
    前記処理容器の内部にガスを供給するガス供給部と、
    前記処理容器の内部からガスを排出するガス排出部と、
    請求項1~10のいずれか1項に記載の成膜方法を実施するように、前記加熱部、前記ガス供給部、及び前記ガス排出部を制御する制御部と、
    を備える、成膜装置。
JP2019178592A 2019-09-30 2019-09-30 成膜方法、及び成膜装置 Active JP7221187B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2019178592A JP7221187B2 (ja) 2019-09-30 2019-09-30 成膜方法、及び成膜装置
KR1020200120248A KR102591376B1 (ko) 2019-09-30 2020-09-18 성막 방법 및 성막 장치
CN202011002086.3A CN112582254A (zh) 2019-09-30 2020-09-22 成膜方法和成膜装置
US17/032,915 US11557476B2 (en) 2019-09-30 2020-09-25 Film forming method and film forming apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2019178592A JP7221187B2 (ja) 2019-09-30 2019-09-30 成膜方法、及び成膜装置

Publications (2)

Publication Number Publication Date
JP2021057439A JP2021057439A (ja) 2021-04-08
JP7221187B2 true JP7221187B2 (ja) 2023-02-13

Family

ID=75120151

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019178592A Active JP7221187B2 (ja) 2019-09-30 2019-09-30 成膜方法、及び成膜装置

Country Status (4)

Country Link
US (1) US11557476B2 (ja)
JP (1) JP7221187B2 (ja)
KR (1) KR102591376B1 (ja)
CN (1) CN112582254A (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220041358A (ko) * 2020-09-25 2022-04-01 에스케이하이닉스 주식회사 반도체장치 및 그 제조 방법
JP7374961B2 (ja) * 2021-07-27 2023-11-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007013464A1 (ja) 2005-07-29 2007-02-01 Hitachi Kokusai Electric Inc. 半導体装置の製造方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3761918B2 (ja) * 1994-09-13 2006-03-29 株式会社東芝 半導体装置の製造方法
US7705385B2 (en) * 2005-09-12 2010-04-27 International Business Machines Corporation Selective deposition of germanium spacers on nitride
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
JP6576277B2 (ja) 2016-03-23 2019-09-18 東京エレクトロン株式会社 窒化膜の形成方法
JP6671262B2 (ja) 2016-08-01 2020-03-25 東京エレクトロン株式会社 窒化膜の形成方法および形成装置
KR102018075B1 (ko) * 2017-11-30 2019-09-04 무진전자 주식회사 폴리 실리콘을 선택적으로 제거하는 건식 세정 장치 및 방법
JP6953480B2 (ja) * 2019-07-31 2021-10-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP7254044B2 (ja) * 2020-03-25 2023-04-07 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007013464A1 (ja) 2005-07-29 2007-02-01 Hitachi Kokusai Electric Inc. 半導体装置の製造方法

Also Published As

Publication number Publication date
US11557476B2 (en) 2023-01-17
KR102591376B1 (ko) 2023-10-19
KR20210038830A (ko) 2021-04-08
US20210098254A1 (en) 2021-04-01
JP2021057439A (ja) 2021-04-08
CN112582254A (zh) 2021-03-30

Similar Documents

Publication Publication Date Title
US8557716B2 (en) Semiconductor device manufacturing method and substrate processing apparatus
JP6554438B2 (ja) シリコン膜の形成方法および形成装置
JP5158068B2 (ja) 縦型熱処理装置及び熱処理方法
JP6640596B2 (ja) 成膜方法
US11417518B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US10822714B2 (en) Method of growing crystal in recess and processing apparatus used therefor
WO2004082003A2 (en) Apparatuses and methods for forming a substantially facet-free epitaxial film
KR20200043527A (ko) 처리 장치 및 기판 처리 장치
JP2018022716A (ja) 窒化膜の形成方法および形成装置
WO2004095559A1 (ja) シリコン酸化膜の除去方法及び処理装置
JP7221187B2 (ja) 成膜方法、及び成膜装置
KR101703017B1 (ko) 기판 상에 ⅲ-ⅴ족 층을 퇴적하기 위한 방법
US20160126337A1 (en) Substrate processing apparatus, semiconductor device manufacturing method, and substrate processing method
JP4039385B2 (ja) ケミカル酸化膜の除去方法
JP6549765B2 (ja) 処理方法
US9824919B2 (en) Recess filling method and processing apparatus
US11260433B2 (en) Cleaning method of substrate processing apparatus and substrate processing apparatus
JP2007234891A (ja) 基板処理装置
JP7213726B2 (ja) 成膜方法及び熱処理装置
US11581200B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
TW202303707A (zh) 基板處理方法、基板處理裝置、程式及半導體裝置的製造方法
JP2004343095A (ja) 熱処理装置のクリーニング方法
JP2009135157A (ja) 気相成長装置及び気相成長方法
KR20070029342A (ko) 반도체 제조공정 용 배치 제어 시스템

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220302

TRDD Decision of grant or rejection written
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20221208

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230104

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230201

R150 Certificate of patent or registration of utility model

Ref document number: 7221187

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150