KR20240000424A - 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제 - Google Patents

실리콘-함유 막들의 원자층 증착에서의 선택적인 억제 Download PDF

Info

Publication number
KR20240000424A
KR20240000424A KR1020230184996A KR20230184996A KR20240000424A KR 20240000424 A KR20240000424 A KR 20240000424A KR 1020230184996 A KR1020230184996 A KR 1020230184996A KR 20230184996 A KR20230184996 A KR 20230184996A KR 20240000424 A KR20240000424 A KR 20240000424A
Authority
KR
South Korea
Prior art keywords
silicon
substrate
plasma
inhibitor
hydrogen
Prior art date
Application number
KR1020230184996A
Other languages
English (en)
Inventor
존 헨리
데니스 엠. 하우스만
슈라벤디크 바트 제이. 반
셰인 탱
카를 에프. 리저
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20240000424A publication Critical patent/KR20240000424A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

원자층 증착에 의해 증착된 실리콘-함유 막들의 증착을 선택적으로 억제하는 방법들이 제공된다. 선택적인 억제는 수소-함유 억제제에 대한 실리콘-함유 전구체의 흡착된 층의 노출, 및 일부 예들에서, 제 2 반응물질에 대한 흡착된 층의 노출 전에, 수소-함유 억제제에 대한 실리콘-함유 전구체의 흡착된 층의 노출을 수반한다. 수소-함유 억제제에 대한 노출은 플라즈마를 사용하여 수행될 수도 있고, 그리고 방법들은 실리콘-함유 막들의 열적 ALD 또는 PEALD (plasma enhanced atomic layer deposition) 에서 선택적인 억제에 적합하다.

Description

실리콘-함유 막들의 원자층 증착에서의 선택적인 억제{SELECTIVE INHIBITION IN ATOMIC LAYER DEPOSITION OF SILICON-CONTAINING FILMS}
실리콘-함유 막들은 다양한 물리적 특성, 화학적 특성, 및 기계적 특성을 갖고 반도체 제조 프로세스들에서 종종 사용된다. 예를 들어, 실리콘 질화물 막들은 확산 배리어들, 게이트 절연체들, 측벽 스페이서들, 및 캡슐화 층들로서 사용될 수도 있고, 실리콘 산화물은 유전체 막들로서 사용될 수도 있다. 다양한 적용들에서, 실리콘-함유 막들은 CVD (chemical vapor deposition) 에 의해 또는 ALD (atomic layer deposition) 에 의해 증착된다. 그러나, 실리콘-함유 막들의 일부 증착은 컨포멀하지 (nonconformal) 않을 수도 있다. 디바이스 치수들이 계속 축소됨에 따라, 실리콘-함유 막들에 대한 증착 프로파일을 튜닝하려는 요구가 증가한다.
컨포멀한 실리콘-함유 막들을 증착하는 방법들이 본 명세서에 제공된다. 일 양태는 흡착된 층을 형성하도록 실리콘-함유 전구체에 피처를 포함하는 기판을 노출시키고; 그리고 기판 상의 실리콘-함유 막의 증착을 선택적으로 억제하도록 수소-함유 억제제에 흡착된 층을 노출시킴으로써 반도체 기판들을 프로세싱하는 방법을 수반하고, 실리콘-함유 전구체는 적어도 하나의 Si-H 결합을 포함한다.
일부 실시예들에서, 증착은 피처의 상단 약 10 %에서 선택적으로 억제된다. 일부 실시예들에서, 수소-함유 억제제는 암모니아, 수소, 및 이들의 조합들로 구성된 그룹으로부터 선택된다.
다양한 실시예들에서, 방법은 수소-함유 억제제에 흡착된 층을 노출시킨 후에, 실리콘-함유 막을 형성하도록 제 2 반응물질에 기판을 노출시키는 단계를 더 포함한다. 일부 실시예들에서, 제 2 반응물질은 질소, 질소-함유 가스들, 및 산소-함유 가스들로 구성된 그룹으로부터 선택된다. 일부 실시예들에서, 제 2 반응물질에 기판을 노출시키는 단계는 플라즈마를 점화하는 단계를 더 포함한다.
일부 실시예들에서, 수소-함유 억제제에 흡착된 층을 노출시키는 단계는 인-시츄 플라즈마를 점화하는 단계를 더 포함한다. 일부 실시예들에서, 실리콘-함유 막은 실리콘 산화물을 포함한다. 일부 실시예들에서, 방법은 수소-함유 억제제에 흡착된 층을 노출시킨 후에, 실리콘-함유 막을 형성하도록 제 2 반응물질에 기판을 노출시키는 단계를 포함하고, 제 2 반응물질은 산소-함유 반응물질이다.
다양한 실시예들에서, 흡착된 층은 댕글링 (dangling) 결합들, 실리콘-실리콘 다이머들 (dimers), 및 이들의 조합들을 포함한다. 방법은 실리콘-함유 전구체에 기판을 노출시킨 후에 기판을 하우징하는 (housing) 반응 챔버를 퍼지하는 단계를 포함할 수도 있다.
일부 실시예들에서, 실리콘-함유 막은 실리콘 질화물을 포함한다. 일부 실시예들에서, 실리콘-함유 막은 결정질 실리콘, 비정질 실리콘, 또는 폴리실리콘을 포함한다.
또 다른 양태는 흡착된 층을 형성하도록 실리콘-함유 전구체에 기판을 노출시키고; 수소-함유 화합물에 흡착된 층을 노출시키고; 그리고 수소-함유 화합물에 흡착된 층을 노출시킨 후에, 실리콘-함유 전구체가 적어도 하나의 Si-H 결합을 포함하기 위해, 기판 상에 실리콘-함유 막을 형성하도록 제 2 반응물질에 기판을 노출시킴으로써 반도체 기판들을 프로세싱하는 방법을 수반한다.
일부 실시예들에서, 수소-함유 화합물에 흡착된 층을 노출시키는 단계는 플라즈마를 점화하는 단계를 더 포함한다. 일부 실시예들에서, 제 2 반응물질에 기판을 노출시키는 단계는 플라즈마를 점화하는 단계를 더 포함한다. 수소-함유 화합물은 암모니아, 수소, 및 이들의 조합들로 구성된 그룹으로부터 선택될 수도 있다.
본 명세서에 개시된 주제의 또 다른 양태는 억제제가 피처의 상단에서 사이트들에 선택적으로 흡착되도록 기판 상에 피처를 가진 기판을 억제제에 노출시키는 단계; 및 피처 내에서 재료를 증착하도록 하나 이상의 증착 사이클들을 수행하는 단계를 포함하는 방법을 수반한다.
본 명세서에 개시된 주제의 또 다른 양태는 억제제가 피처의 상단에서 사이트들에 선택적으로 흡착되도록 기판 상에 피처를 가진 기판을 억제제에 노출시키는 동작; 억제제 환경을 선택적으로 제거하는 동작; 제 1 전구체에 기판을 노출시키는 동작; 제 1 전구체 환경을 선택적으로 제거하는 동작; 제 2 전구체에 기판을 노출시키는 동작; 및 제 2 전구체 환경을 선택적으로 제거하는 동작을 포함하는 증착 사이클을 수행하는 단계; 및 피처 내에서 재료를 증착하도록 증착 사이클 1회 이상 반복하는 단계를 포함하는 방법으로 구현될 수도 있다.
또 다른 양태는 반도체 기판들을 프로세싱하기 위한 장치를 수반하고, 장치는: 기판을 홀딩하기 위한 페데스탈을 포함하는 적어도 하나의 프로세스 챔버; 진공부에 커플링하기 위한 적어도 하나의 유출부; 하나 이상의 실리콘-함유 전구체 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 하나 이상의 수소-함유 억제제 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 하나 이상의 제 2 반응물질 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 및 장치에서 동작들을 제어하기 위한 제어기를 포함한다. 제어기는 본 명세서에 개시된 방법들 중 임의의 방법을 수행하기 위한 머신 판독 가능한 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 제어기는: 흡착된 층을 형성하도록 프로세스 챔버로 하나 이상의 실리콘-함유 전구체들을 도입하기 위한 머신-판독 가능한 인스트럭션, 실리콘-함유 막의 증착을 선택적으로 억제하도록 프로세스 챔버로 하나 이상의 수소-함유 억제제들을 도입하기 위한 머신-판독 가능한 인스트럭션, 및 하나 이상의 실리콘-함유 전구체 소스들이 적어도 하나의 Si-H 결합을 가진 화합물을 포함하기 위해, 실리콘-함유 막을 형성하도록 프로세스 챔버로 하나 이상의 제 2 반응물질들을 도입하기 위한 머신-판독 가능한 인스트럭션을 포함한다.
일부 실시예들에서, 하나 이상의 수소-함유 억제제들을 도입하기 위한 머신-판독 가능한 인스트럭션들은 약 0.05 초 내지 약 60 초의 지속기간 동안 하나 이상의 수소-함유 억제제들을 도입하는 것을 더 포함한다. 일부 실시예들에서, 하나 이상의 수소-함유 억제제들은 암모니아, 수소, 및 이들의 조합들로 구성된 그룹으로부터 선택된다.
다양한 실시예들에서, 장치는 플라즈마 생성기를 또한 포함하고, 제어기는 하나 이상의 수소-함유 억제제들을 도입하는 동안 플라즈마를 점화하기 위한 머신-판독 가능한 인스트럭션들을 더 포함한다. 일부 실시예들에서, 장치는 제어기가 하나 이상의 제 2 반응물질들을 도입하는 동안 플라즈마를 점화하기 위한 머신-판독 가능한 인스트럭션들을 더 포함하도록, 플라즈마 생성기를 또한 포함한다.
이들 및 다른 양태들은 도면들을 참조하여 아래에 더 기술된다.
도 1은 개시된 실시예들에 따른, 방법에 대한 동작들을 도시하는 프로세스 흐름도이다.
도 2는 개시된 실시예들에 따른, 방법에서 사이클들의 예를 도시하는 타이밍 시퀀스 도면이다.
도 3은 개시된 실시예들에 따른, 증착을 억제하기 위한 메커니즘의 예의 개략도이다.
도 4는 개시된 실시예들을 수행하기 위한 예시적인 프로세스 스테이션의 개략도이다.
도 5는 개시된 실시예들을 수행하기 위한 예시적인 프로세스 툴의 개략도이다.
도 6a는 피처들을 가진 기판 상에 증착된 실리콘 질화물 막의 이미지이다.
도 6b는 개시된 실시예들에 따라 실시된 실험에서 피처를 가진 기판 상에 증착된 실리콘 질화물 막의 이미지이다.
다음의 기술에서, 다수의 특정한 세부사항들이 제공된 실시예들의 철저한 이해를 제공하기 위해서 제시된다. 개시된 실시예들은 이러한 특정한 세부사항들의 전부 또는 일부 없이도 실행될 수 있다. 다른 예들에서, 잘 알려진 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 하기 위해서 상세히 기술되지 않았다. 개시된 실시예들이 특정한 실시예들과 함께 기술되지만, 이는 개시된 실시예들을 한정하는 것으로 의도되지 말아야 함이 이해될 것이다.
실리콘-함유 막들은 반도체 디바이스 제조에서 중요한 역할을 한다. 예를 들어, 반도체 디바이스에서 실리콘 질화물은 확산 배리어들, 게이트 절연체들, 측벽 스페이서들, 캡슐화 층들, 및 에칭 정지 층들로서 사용될 수도 있고, 반면에 실리콘 산화물은 로우-k (low-k) 유전체 막으로서 사용될 수도 있다. 결정질 실리콘, 비정질 실리콘, 및 폴리실리콘은 다양한 프로세스들에서 또한 증착될 수도 있다. 다양한 적용들에서, 실리콘-함유 막들은 기판의 피처들 상에 컨포멀하게 증착된다. 일부 컨포멀한 실리콘-함유 막들은 ALD에 의해 증착될 수도 있다. 그러나, 일부 증착 프로세스들은 피처들을 가진 기판 상에 컨포멀하지 않은 증착을 여전히 산출할 수도 있다.
실리콘-함유 막들의 증착을 선택적으로 억제하는 방법들이 본 명세서에 제공된다. 방법들은 플라즈마를 사용하거나 사용하지 않고서 수소-함유 가스일 수도 있는, 수소-함유 억제제에 기판 또는 기판 상의 피처들을 노출시킴으로써 선택적인 억제를 포함한다. 특히, 수소-함유 가스에 대한 선택적인 억제 노출은 실리콘-함유 전구체가 ALD 증착 사이클 동안 기판의 표면 상에 흡착된 후에 수행될 수도 있다. 본 명세서에 제공된 방법들을 사용하는 선택적인 억제는 실리콘-함유 막들을 증착하기 위해 사용된 실리콘-함유 전구체의 흡착된 층들 상에서 수행될 수도 있다. 다양한 실시예들에서, 실리콘-함유 전구체는 적어도 하나의 수소 원자를 포함하고, 기판 상의 흡착된 실리콘-함유 전구체들의 층은 댕글링 결합들, 실리콘-실리콘 다이머들, 및/또는 다른 매우 반응성인 구조들을 포함할 수도 있다.
방법들은 열적 ALD 및 PEALD (plasm-enhanced ALD) 양자에 적용 가능할 수도 있다. 증착된 막들은 매우 컨포멀할 수도 있다. 막들의 컨포멀성 (conformality) 은 스텝 커버리지 (step coverage) 에 의해 측정될 수도 있다. 스텝 커버리지는 피처의 하단, 측벽, 또는 상단 상의 증착된 막의 평균 두께와 피처의 하단, 측벽, 또는 상단 상의 증착된 막의 평균 두께를 비교함으로써 계산될 수도 있다. 예를 들어, 스텝 커버리지는 피처의 상단에 증착된 막의 평균 두께로 측벽 상에 증착된 막의 평균 두께를 나눔으로써 그리고 백분율을 얻도록 이것에 100을 곱함으로써 계산될 수도 있다. 본 명세서에 기술된 ALD 사이클들 및 억제 노출들을 사용하여 증착된 막들은, 약 50 Å 막 두께를 갖고서, 약 4:1의 종횡비에 대해 적어도 약 80 %와 같은, 양호한 스텝 커버리지를 가진 컨포멀한 실리콘-함유 막들을 산출한다. 일부 실시예들에서, 선택적인 억제는 컨포멀한 막들을 산출하도록 ALD 프로세스들 동안 수행된다.
본 명세서에 제공된 방법들은 ALD에 의한 증착을 수반한다. CVD 기법과 달리, ALD 프로세스들은 층 단위 기반 (layer-by-layer basis) 으로 막들을 증착하도록 표면-매개성 증착 반응들을 사용한다. ALD 프로세스의 일 예에서, 표면 활성의 사이트들의 모집단을 포함하는, 기판 표면은 기판을 하우징하는 프로세스 스테이션에 제공된 도즈 (dose) 의, 실리콘-함유 전구체와 같은, 전구체 또는 제 1 반응물질의 가스 상 분포에 노출된다. 이 제 1 전구체의 분자들은 기판 표면 상에 흡착되고, 제 1 전구체의 화학 흡착된 종 및/또는 물리 흡착된 분자들을 포함한다. 화합물이 본 명세서에 기술된 바와 같이 기판 표면 상에 흡착될 때, 흡착된 층은 화합물뿐만 아니라 화합물의 유도체들을 포함할 수도 있다는 것이 이해되어야 한다. 예를 들어, 실리콘-함유 전구체의 흡착된 층은 실리콘-함유 전구체뿐만 아니라 실리콘-함유 전구체의 유도체들을 포함할 수도 있다. 특정한 실시예들에서, ALD 전구체 도즈는 기판 표면을 부분적으로 포화시킨다. 일부 실시예들에서, ALD 사이클의 도즈 페이즈 (phase) 는 전구체가 표면을 고르게 포화시키도록 기판과 콘택트하기 전에 끝난다. 통상적으로, 전구체 플로우는 이 시점에서 턴 오프되거나 (turned off) 방향 전환되고, 퍼지 가스만이 흐른다. 이 서브-포화 레짐에서 동작함으로써, ALD 프로세스는 사이클 시간을 감소시키고 쓰루풋을 증가시킨다. 그러나, 전구체 흡착이 포화 제한되지 않기 때문에, 흡착된 전구체 농도는 기판 표면에 걸쳐 약간 달라질 수도 있다. 서브-포화 레짐에서 동작하는 ALD 프로세스들의 예들은 전체가 참조로서 본 명세서에 인용되는, 2013년 10월 23일 출원되고 발명의 명칭이 "SUB-SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION"인, 미국 특허 출원 제 14/061,587 호에 제공된다. 제 1 전구체 도즈 후에, 이어서 반응기는 흡착된 종만이 남아 있도록 가스 상으로 남아 있는 임의의 제 1 전구체를 제거하도록 배기된다. 질소-함유 반응물질과 같은, 제 2 반응물질은 이들 분자들 중 일부가 표면 상에 흡착된 제 1 전구체와 반응하도록 반응기에 도입된다. 일부 프로세스들에서, 제 2 반응물질은 흡착된 제 1 전구체와 즉시 반응한다. 다른 실시예들에서, 제 2 반응물질은 활성화의 소스가 일시적으로 적용된 후에만 반응한다. 이어서 반응기는 비결합된 제 2 반응물질 분자들을 제거하도록 다시 배기될 수도 있다. 부가적인 ALD 사이클들은 막 두께를 구축하도록 사용될 수도 있다.
일부 구현예들에서, ALD 방법들은 플라즈마 활성화를 포함한다. 본 명세서에 기술된 바와 같이, 본 명세서에 기술된 ALD 방법 및 장치들은, 전체가 참조로서 본 명세서에 인용되는, 2011년 4월 11일 출원되고 발명의 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"인, 미국 특허 출원 제 13/084,399 호 (이제 미국 특허 제 8,728,956 호), 및 2011년 4월 11일 출원되고 발명의 명칭이 "SILICON NITRIDE FILMS AND METHODS"인, 미국 특허 출원 제 13/084,305 호에 일반적으로 기술되는, CFD (conformal film deposition) 방법들일 수도 있다.
도 1은 개시된 실시예들에 따른, 방법들을 수행하기 위한 동작들을 도시하는 프로세스 흐름도의 예이다. 도 2는 개시된 실시예들에 따른, 예시적인 펄스들의 타이밍 시퀀스 도면이다. 도 2는 캐리어 가스 플로우, 실리콘-함유 전구체 플로우, 플라즈마, 억제제, 및 제 2 반응물질 플로우와 같은, 다양한 프로세스 파라미터들에 대한, 예시적인 ALD 프로세스 (200) 내의 페이즈들을 도시한다. 도 2에서, 아르곤은 예시적인 캐리어 가스로서 표시된다. 라인들은 그에 맞춰 플로우 또는 플라즈마가 턴 온되거나 (turned on) 턴 오프될 때를 표시한다. 예시적인 프로세스 파라미터들은 이로 제한되지 않지만, 불활성 종 및 반응물질 종에 대한 플로우 레이트들, 플라즈마 전력 및 주파수, 기판 온도, 및 프로세스 스테이션 압력을 포함한다. 도 2에서 페이즈 각각의 지속기간들이 스케일대로 도시되지 않을 수도 있음을 주의하라. 도 1 및 도 2는 아래에 함께 기술될 것이다.
도 1의 동작들 103 내지 109 동안, 불활성 가스가 흐를 수도 있다. 다양한 실시예들에서, 불활성 가스는 캐리어 가스로서 사용된다. 예시적인 캐리어 가스들은 아르곤 (Ar), 헬륨 (He), 및 네온 (Ne) 을 포함한다. 도 2에서 예시적인 시퀀스는 전체 프로세스 동안 연속적으로 흐르는, 예시적인 캐리어 가스로서 아르곤을 사용한다. 불활성 가스는 프로세스 챔버의 압력 및/또는 온도 제어, 액체 반응물질의 기화, 반응물질의 보다 많은 신속한 전달을 돕도록 및/또는 프로세스 챔버 및/또는 프로세스 챔버 배관으로부터 프로세스 가스들을 제거하기 위한 스위프 (sweep) 가스로서 제공될 수도 있다.
도 1의 동작 101에서, 기판은 프로세스 스테이션에 제공된다. 일부 실시예들에서, 스테이션은 프로세스 챔버 내에 있을 수도 있다. 기판은 실리콘 웨이퍼, 예를 들어, 상부에 증착된 유전체, 도전성, 또는 반도체성 재료와 같은, 재료의 하나 이상의 층들을 가진 웨이퍼들을 포함하는, 200-mm 웨이퍼, 300-mm 웨이퍼, 또는 450-mm 웨이퍼일 수도 있다. 기판들은 피처 내의 좁은 및/또는 재차 들어간 개구들, 수축부들 중 하나 이상을 특징으로 할 수도 있는, 비아 또는 콘택트 홀들과 같은 "피처들", 및 고 종횡비들을 가질 수도 있다. 피처는 상기에 기술된 층들 중 하나 이상 내에 형성될 수도 있다. 피처의 일 예는 반도체 기판 또는 기판 상의 층 내의 홀 또는 비아이다. 또 다른 예는 기판 또는 층 내의 트렌치이다. 다양한 실시예들에서, 피처는 배리어 층 또는 접착 층과 같은, 하층을 가질 수도 있다. 하층들의 비제한적인 예들은 유전체 층들 및 도전성 층들, 예를 들어, 실리콘 산화물들, 실리콘 질화물들, 실리콘 탄화물들, 금속 산화물들, 금속 질화물들, 금속 탄화물들, 및 금속 층들을 포함한다.
일부 실시예들에서, 피처는 적어도 약 2:1, 적어도 약 4:1, 적어도 약 6:1, 적어도 약 10:1, 또는 그 이상의 종횡비를 가질 수도 있다. 피처는 개구와 비슷한 치수를 또한 가질 수도 있고, 예를 들어, 개구 직경 또는 라인 폭은 약 10 nm 내지 500 nm, 예를 들어 약 25 nm 내지 약 300 nm이다. 논의된 방법들은 약 150 nm 미만의 개구를 가진 피처들을 가진 기판들 상에서 수행될 수도 있다. 피처 비아 또는 트렌치는 충진되지 않은 피처 또는 피처로서 지칭될 수도 있다. 피처는 피처의 하단, 폐쇄된 단부, 또는 내부로부터 피처 개구로 좁아지는 재차 들어간 프로파일을 가질 수도 있다.
도 1의 동작 103에서, 기판은 기판 표면 상에 흡착되는 실리콘-함유 전구체에 노출된다. 이 동작은 ALD 사이클의 일부일 수도 있다. ALD "사이클"의 개념은 본 명세서의 다양한 실시예들의 논의와 관련된다. 일반적으로 일 사이클은 1회 표면 증착 반응을 수행하도록 사용된 동작들의 최소 세트이다. 일 사이클의 결과는 기판 표면 상의 적어도 하나의 부분적인 실리콘-함유 막 층의 생성이다. 통상적으로, ALD 사이클은 적어도 하나의 반응물질을 기판 표면으로 전달하고 적어도 하나의 반응물질을 기판 표면에 흡착하고, 이어서 막의 부분적인 층을 형성하도록 하나 이상의 반응물질들과 흡착된 반응물질을 반응시키기 위한 동작들을 포함한다. 사이클은 반응물질들 또는 부산물들 중 하나를 스위핑하는 것 및/또는 증착될 때 부분적인 막을 처리하는 것과 같은, 특정한 부수적인 동작들을 포함할 수도 있다. 일반적으로, 사이클은 동작들의 유일한 시퀀스의 일 예를 포함한다. 예로서, 사이클은 다음의 동작들: (i) 실리콘-함유 전구체의 전달/흡착, (ii) 스테이션으로부터 실리콘-함유 전구체의 퍼지, (iii) 제 2 반응물질 및 선택적 플라즈마의 전달, 및 (iv) 스테이션으로부터 제 2 반응물질 및 선택적 플라즈마의 퍼지를 포함할 수도 있다.
도 1로 돌아가서, 동작 103 동안, 기판은 실리콘-함유 전구체가 흡착된 층을 형성하기 위해 기판 표면 상에 흡착되도록 실리콘-함유 전구체에 노출된다. 실리콘 (Si) 층을 형성하기 위한 CVD 또는 CVD-유사 프로세스와 달리, 실리콘-함유 전구체는 실리콘 층을 형성하도록 분해되지 않는다.
일부 실시예들에서, 활성의 사이트들이 실리콘-함유 전구체에 의해 점유된다면, 거의 없거나 없는 부가적인 실리콘-함유 전구체가 기판 표면 상에 흡착되는 자기-제한 방식으로, 실리콘-함유 전구체는 기판 표면 상에 흡착한다. 다양한 실시예들에서, 동작 103은 모든 활성의 사이트들이 실리콘-함유 전구체에 의해 점유되지 않도록 수행된다. 예를 들어, 실리콘-함유 전구체들은 약 60%의 기판 표면 상에 흡착될 수도 있다. 다양한 실시예들에서, 실리콘-함유 전구체가 스테이션으로 흐를 때, 실리콘-함유 전구체는 기판의 표면 상의 활성의 사이트들 상에 흡착하고, 표면 상에 실리콘-함유 전구체의 박층을 형성한다. 일부 실시예들에서, 실리콘-함유 전구체의 모노레이어가 형성된다. 일부 실시예들에서, 층은 일 모노레이어 미만일 수도 있고, 예를 들어 약 0.2 Å 내지 약 0.4 Å의 두께를 가진다. 기판은 플로우 레이트 및 기판 표면적에 따라, 약 0.2 초 내지 약 6 초의 시간 동안 실리콘-함유 전구체에 노출될 수도 있다. 다른 노출 시간들이 특정한 하드웨어 및 사용된 프로세스 파라미터들에 따라 사용될 수도 있다는 것이 이해될 것이다. 도 3은 기판의 표면 상의 매우 반응성인 흡착된 층의 예의 개략도이다. 이 예에서, 도시된 표면은 2개의 피처들의 개구들 사이의 기판의 상단이다. 301에서, 디실란은 기판의 표면 상에 흡착되는 실리콘-함유 전구체의 예로서 제공된다. 특정한 이론에 매이지 않고, 실리콘-함유 전구체의 흡착된 층이 매우 반응성인 표면을 발생시키는, 댕글링 결합들 (321, 331) 및/또는 실리콘-실리콘 다이머들 (311) 과 같은 매우 반응성인 종을 포함할 수도 있다고 여겨진다. 댕글링 결합은 실리콘 원자에서 충족되지 않은 원자가가 있다. 이들 댕글링 결합들 중 일부는 실리콘-함유 전구체에서 실리콘 원자로부터 클리빙되는 (cleaved) 수소로부터 발생할 수도 있고, 이로써 매우 반응성인 댕글링 결합을 남긴다. 일부 실시예들에서, 피처들의 상단 약 10 %와 같은, 피처들의 상단에서 또는 피처들의 상단 근처에서 흡착된 층은, 측벽들에서 그리고 피처들의 하단에서 보다 반응적일 수도 있다. 이들 반응성인 표면들은 특히 수소-함유 화합물과 함께, 라디칼 메커니즘을 겪기 쉬울 수도 있다.
본 명세서에 기술된 프로세스들에 적합한 실리콘-함유 전구체들은 적어도 하나의 Si-H 결합을 포함한다. 전구체는 증착될 층에 따라 선택될 수도 있다. 예를 들어, 실리콘 질화물 층이 증착된다면, 디실란과 같은 실리콘-함유 전구체는, 본 명세서에 참조로서 인용되는, 2014년 11월 24일 출원되고 발명의 명칭이 "METHOD OF DEPOSITING AMMONIA FREE AND CHLORINE FREE CONFORMAL SILICON NITRIDE FILM"인, 동시에 출원된 미국 특허 출원 제 14/552,245 호 (대리인 문서 번호 3508-1/LAMRP141) 에 기술된 바와 같이, 사용될 수도 있다.
본 명세서에 기술된 방법들에서 사용된 일반적인 실리콘-함유 전구체는 다음의 구조를 가질 수도 있다:
여기서 R1, R2, 및 R3은 동일한 치환기이거나 상이한 치환기일 수도 있고, 알킬아민기, 알콕시기, 알킬기, 알케닐기, 알키닐기, 및 방향족기와 같은, 실란기, 아민기, 할라이드기, 수소기, 또는 유기기를 포함할 수도 있다.
예시적인 실리콘-함유 전구체들은 폴리실란들 (H3Si-(SiH2)n-SiH3) 을 포함하고, 여기서 n ≥ 1, 예를 들어, 실란, 디실란, 트리실란, 테트라실란; 및 트리실리라민이 있다:
일부 실시예들에서, 실리콘-함유 전구체는 알콕시실란이다. 사용될 수도 있는 알콕시실란들은 이로 제한되지 않지만, 다음을 포함한다:
Hx-Si-(OR)y, 여기서 x = 1 내지 3, x+y = 4, 그리고 R은 치환된 알킬기 또는 치환되지 않은 알킬기; 및
Hx(RO)y-Si-Si-(OR)yHx, 여기서 x = 1 내지 2, x+y = 3, R은 치환된 알킬기 또는 치환되지 않은 알킬기.
실리콘-함유 전구체들의 예들은: 메틸실란; 트리메틸실란 (3MS); 에틸실란; 부타실란들; 펜타실란들; 옥타실란들; 헵타실란; 헥사실란; 사이클로부타실란; 사이클로헵타실란; 사이클로헥사실란; 사이클로옥타실란; 사이클로펜타실란; 1,4-디옥사-2,3,5,6-테트라실라사이클로헥산 (1,4-dioxa-2,3,5,6-tetrasilacyclohexane); DEMS (diethoxymethylsilane); DES (diethoxysilane); 디메톡시메틸실란; DMOS (dimethoxysilane); MDES (methyl-diethoxysilane); MDMS (methyl-dimethoxysilane); OMODDS (octamethoxydodecasiloxane); tert-부톡시디실란; TMCTS (tetramethylcyclotetrasiloxane); TOMCTS (tetraoxymethylcyclotetrasiloxane); TES (triethoxysilane); TRIES (triethoxysiloxane); 및 TMS 또는 TriMOS (trimethoxysilane) 를 포함한다.
일부 실시예들에서, 실리콘-함유 전구체는 수소 원자들을 가진, 아미노실란, 예를 들어, 비스디에틸아미노실란, 디이소프로필아미노실란, BTBAS (bis(tert-butylamino)silane), 또는 트리스(디메틸아미노)실란일 수도 있다. 아미노실란 전구체들은 이로 제한되지 않지만, 다음을 포함한다: Hx-Si-(NR)y, 여기서 x = 1 내지 3, x + y = 4, R은 유기기 또는 하이드라이드기.
일부 실시예들에서, 할로겐-함유 실란은 실란이 적어도 하나의 수소 원자를 포함하도록 사용될 수도 있다. 이러한 실란은 SiXaHy (y ≥ 1) 의 화학식을 가질 수도 있다. 예를 들어, 디클로로실란 (H2SiCl2) 이 사용될 수도 있지만, 실리콘 테트라클로라이드 (SiCl4) 가 개시된 실시예들에 적합하지 않을 수도 있다.
도 1로 돌아가서, 동작 105에서, 프로세스 스테이션은 기판의 표면 상에 흡착하지 않은 가스 상의 과잉의 실리콘-함유 전구체를 제거하도록 선택적으로 퍼지된다. 퍼지는 다른 동작들에서 사용된 캐리어 가스 또는 상이한 가스일 수도 있는, 스위프 가스를 수반할 수도 있다. 일부 실시예들에서, 퍼지는 스테이션을 배기하는 것을 수반할 수도 있다. 도 1의 동작 105는 실리콘-함유 전구체 플로우가 턴 오프되는 경우에, 플라즈마가 점화되지 않고, 제 2 반응물질이 스테이션에 공급되지 않는, 도 2의 퍼지 페이즈 (240A) 와 대응할 수도 있다. 아르곤과 같은, 캐리어 가스는 스테이션으로부터 임의의 과잉의 실리콘-함유 전구체를 퍼지하도록 계속해서 흐른다. 일부 실시예들에서, 퍼지 페이즈 (240A) 는 프로세스 스테이션을 배기하기 위한 하나 이상의 배기 서브페이즈들을 포함할 수도 있다. 대안적으로, 퍼지 페이즈 (240A) 가 일부 실시예들에서 생략될 수도 있다는 것이 이해될 것이다. 퍼지 페이즈 (240A) 는 약 0 초 내지 약 60 초와 같은, 임의의 적합한 지속기간을 가질 수도 있다. 일부 실시예들에서, 하나 이상의 스위프 가스들의 플로우 레이트를 증가시키는 것은 퍼지 페이즈 (240A) 의 지속기간을 감소시킬 수도 있다. 예를 들어, 퍼지 가스 플로우 레이트는 퍼지 페이즈 (240A) 의 지속기간을 가변하기 위해 프로세스 스테이션 및/또는 프로세스 스테이션 배관의 다양한 반응물질 열역학적 특성들 및/또는 기하학적 특성들에 따라 조정될 수도 있다. 일 비제한적인 예에서, 스위프 페이즈의 지속기간은 스위프 가스 플로우 레이트를 조절함으로써 조정될 수도 있다. 이것은 증착 사이클 시간을 감소시킬 수도 있고, 이는 기판 쓰루풋을 개선할 수도 있다. 퍼지 후에, 실리콘-함유 전구체 분자들은 기판 표면 상에 흡착된 채로 남아 있다.
도 1로 돌아가서, 동작 106에서, 기판은 수소-함유 가스일 수도 있는, 수소-함유 억제제에 노출된다. 증착은 열적으로 억제될 수도 있거나, 아래에 기술된 바와 같이, 일부 실시예들에서, 플라즈마가 사용될 수도 있다. 수소-함유 억제제가 매 사이클에서 흐르지 않을 수도 있다는 것을 주의하라. 수소-함유 가스는 암모니아 (NH3), 수소 (H2), 또는 다른 수소-함유 가스일 수도 있다. 일부 실시예들에서, 2개 이상의 수소-함유 가스들의 혼합물이 사용된다. 수소-함유 억제제는 일부 실시예들에서, 약 0.05 초 내지 약 60 초의 시간 동안 스테이션으로 흐를 수도 있다. 수소-함유 억제제에 대한 기판 노출 시간, 수소-함유 억제제의 플로우 레이트, 및 수소-함유 억제제의 분압은 기판의 타입, 피처들의 타입, 및 사용된 억제제의 타입에 따라 결정될 수도 있다. 억제제의 노출 시간 및 플로우 레이트는 피처들의 상단에서 또는 피처들의 상단 근처에서 표면을 선택적으로 억제하도록 조절된다. 보다 긴 노출 시간은 억제 프로세스 없이 증착된다면, 피처들의 상단에서 증착된 막이 피처들의 하단 또는 측벽들 상에서 보다 보다 두꺼운 경우에, 증착 프로세스 동안 피처들의 상단 상에서 보다 많이 억제를 유발할 수도 있다. 노출 시간 변화 및 플로우 레이트 변화는 목표된 증착 프로파일에 따라 이루어질 수도 있다. 일부 경우들에서 증착은 피처의 상단에 보다 많은 반응성인 사이트들이 있도록 컨포멀하지 않을 수도 있다. 이러한 경우들에서, 프로세스 조건들을 엄중히 제어할 필요가 없을 수도 있다.
일부 구현예들에서, 억제 컨포멀성을 조절하는 것은 대량 수송 제한된 레짐에서 동작하거나 동작하지 않는 것을 포함할 수 있다. 대량 수송 제한된 레짐에서, 피처 내부의 처리 레이트는 피처 내로 확산하는 억제제의 양들에 의해 제한된다. 대량 수송 제한된 프로세스 조건들은 억제제가 피처 내로 확산함에 따라 일부 억제제를 소비하도록 상대적으로 고 처리 레이트들을 유지하는 동안, 프로세싱 챔버 내로 억제제의 제한된 양들을 공급함으로써 (예를 들어, 캐비티 프로파일 및 치수들에 대한 저 처리 플로우 레이트들을 사용) 달성될 수도 있다. 특정한 실시예에서, 농도 구배는 상당하고, 이는 상대적으로 고 억제 처리 동역학 및 상대적으로 저 처리 공급으로부터 유발될 수도 있다.
일부 실시예들에서, 플라즈마는 동작 106에서 선택적으로 점화된다. 일부 실시예들에서, 억제제 플로우가 안정화될 수도 있도록 플라즈마가 점화되기 전에 기판을 하우징하는 프로세스 스테이션으로 수소-함유 억제제가 흐를 수도 있다. 일부 실시예들에서, 플라즈마는 수소-함유 억제제 플로우와 동일하거나 실질적으로 동일한 지속기간 동안 점화된다. 다양한 실시예들에서, 플라즈마는 적어도 약 13.56 ㎒의 주파수를 가질 수도 있는, 무선 주파수 (RF) 플라즈마이다. 플라즈마는 인-시츄 플라즈마일 수도 있다. 일부 실시예들에서, 리모트 플라즈마가 사용될 수도 있다. 플라즈마의 전력은 기판의 타입, 피처들의 타입들, 및 사용된 억제제의 타입에 따라 결정될 수도 있다. 일반적으로, 보다 고 전력 플라즈마는 억제제로 하여금 피처들 내로 보다 깊게 흐르게 하고 - 차례차례 피처들 내의 측벽들 상에서 표면들을 보다 깊게 억제한다. 따라서, 사용된 플라즈마 전력은 피처 깊이 및 패턴 (예를 들어, 트렌치들, 넓은 피처들, 좁은 피처들, 등) 에 따라 결정될 수도 있다. 일부 실시예들에서, 플라즈마는 수소-함유 억제제가 흐르는 경우 사이클들의 일부에서만 점화된다.
실리콘 산화물의 증착에 대해, 수소-함유 플라즈마는 증착을 억제하도록 사용된다. 예시적인 수소-함유 플라즈마들은 수소 플라즈마 및 암모니아 플라즈마를 포함한다. 예를 들어, 실리콘 산화물 막이 증착되고 기판의 표면이 ALD 사이클에서 산소와 반응한 후에 Si-OH 말단 표면을 포함한다면, 플라즈마는 증착을 억제하도록 차후의 사이클에서 수소-함유 억제제와 함께 사용될 수도 있다. 일부 실시예들에서, 수소-함유 플라즈마는 Si-H 말단 표면을 형성하도록 사용될 수도 있다. 증착을 개선하기 위해서, Si-OH 말단 표면은 Si-OH 말단 표면보다 핵 형성하는데 보다 쉬울 수도 있는, Si-NH2 말단 표면을 형성하도록 질소 플라즈마에 노출될 수도 있다. 차후의 ALD 사이클에서, -NH2 기들은 산화 플라즈마가 실리콘 산화물을 형성하도록 사용될 때 제거되고 -OH 기들로 재-변환된다.
본 명세서에 기술된 억제 방법들은 산-염기 메커니즘보다는 라디칼 메커니즘을 사용하여 증착된 실리콘-함유 막들에 적절하다. 즉, 기판의 표면 상에 흡착된 실리콘-함유 전구체는 실리콘-실리콘 다이머들 및/또는 실리콘 댕글링 결합들을 형성할 수도 있고, 이로써 실리콘-함유 막을 형성하도록 차후의 프로세싱에서 제 2 반응물질과 반응하도록 준비된 반응성인 사이트들을 형성한다. 라디칼 메커니즘들은 산-염기 메커니즘들과 구별된다. 기판 상에 실리콘-함유 막을 증착하기 위한 산-염기 프로세스들에서, 기판의 표면 상에 흡착된 실리콘-함유 전구체는, 반응에서 전자를 공여하고 염기로서 역할을 하는 제 2 반응물질로부터 전자를 수용하거나 산으로서 역할을 할 수도 있는 원자들 또는 기들로 종결될 수도 있다. 예를 들어, 산-염기 반응에서, 테트라클로로실란은 기판의 표면 상에 흡착할 수도 있고, 반응물질 가스로서 예를 들어, 암모니아와 반응하는 염소 말단 표면을 남기고, 이는 실리콘 질화물을 형성하도록 전자를 공여한다. 대조적으로, 라디칼 메커니즘에서, 표면 상의 실리콘-실리콘 다이머들 또는 실리콘 댕글링 결합들은 아민 말단 표면을 형성하기보다는 암모니아 반응물질로부터 수소에 결합하는 것이 보다 쉬울 수도 있다. Si-H 결합을 포함하는 실리콘-함유 전구체들은 라디칼 메커니즘을 겪을 수도 있고, 반면에 Si-H 결합을 갖지 않는 전구체는 산-염기 반응을 겪을 수도 있다. Si-H 결합을 갖지 않고 따라서 개시된 실시예들에 따라 사용되지 않을 수도 있는 예시적인 화합물들은, Si(N(CH3)2)4 및 SiCl4를 포함한다.
도 3에 도시된 바와 같이, 303에서, 흡착된 층의 댕글링 결합들은 수소-함유 억제제에 노출된다. 도 3에 제공된 예에서, 수소-함유 억제제는 수소 가스이다. 수소 가스가 불활성 및/또는 캐리어 가스인 산-염기 메커니즘과 대조적으로, 개시된 실시예들에서 수소 가스는 억제제로서 사용된다는 것을 주의하라. 305에서, 수소 가스는 기판의 표면 상에서 댕글링 결합들로 결합하고, 이로써 흡착된 층 상의 결합들을 종결한다. 305에 도시된 바와 같이, 모든 댕글링 결합들 (315) 이 수소와 반응하지는 않는다는 것을 주의하라. 수소 가스는 표면 상에서 막을 형성하지 않고 - 오히려, 일부 실시예들에서, 수소 원자들은 표면을 종결할 수도 있다.
특정한 이론에 의해 매이지 않고, 수소-함유 가스가 피처의 개구에서와 같이, 피처들의 상단 근처에서 또는 피처들의 상단에서 기판 표면 상의 증착을 지연 또는 경감시킬 수도 있다고 여겨진다. 노출의 짧은 지속기간은 피처들의 상단만이 억제 노출에 의해 영향을 받는다는 것을 보장한다. 일부 실시예들에서, 동작 105에 대해 상기에 기술된 것과 같은, 퍼지 페이즈는 수소-함유 억제제 플로우가 정지된 후에 수행될 수도 있다.
도 1의 동작 107에서, 기판은 반응물질 가스와 같은, 제 2 반응물질에 노출된다. 제 2 반응물질 가스는 질소, 질소-함유 가스, 산소, 산소-함유 가스, 또는 다른 반응성 가스일 수도 있다. 예를 들어, 증착될 실리콘-함유 막이 실리콘 질화물이라면, 제 2 반응물질 가스는 질소 (N2), 또는 질소-함유 가스일 수도 있다. 증착될 실리콘-함유 막이 실리콘 산화물이라면, 제 2 반응물질 가스는 산소 (O2) 및 질소 이산화물 (NO2) 일 수도 있다. 다양한 실시예들에서, 동작 107은 열적으로 또는 플라즈마 활성화와 함께 수행될 수도 있다.
일부 실시예들에서, 동작 107은 제 2 반응물질 플라즈마를 형성하도록 플라즈마를 점화하는 것을 선택적으로 포함한다. 예를 들어, 일부 실시예들에서, 플라즈마는 제 2 반응물질이 열적 ALD 프로세스들에서와 같이, 프로세싱 반응기에 도입될 때 사용되지 않는다. 도 2에 제공된 예에서, 플라즈마는 각각 증착 사이클들 210A 및 210B에 대한 260A 및 260B에서 제 2 반응물질 플라즈마 노출 페이즈 동안 PEALD 프로세스에서와 같이 제 2 반응물질 플로우로 턴 온될 수도 있다. 일부 실시예들에서, 제 2 반응물질 플로우는 예를 들어, 제 2 반응물질 플로우가 안정화되게 하도록, 플라즈마를 턴 온 하기 전에 턴 온될 수도 있다. 제 2 반응물질 플로우가 플라즈마 노출 페이즈들 동안 턴 오프되고 캐리어 가스로서 아르곤이 계속해서 흐른다는 것을 주의하라. 기판은 약 0.1 초 내지 약 6 초의 지속기간 동안 제 2 반응물질 플라즈마에 노출될 수도 있다. 일부 실시예들에서, 제 2 반응물질 플라즈마 노출 페이즈 260A 또는 260B는 기판 표면 상에 흡착된 모든 전구체들과 상호 작용하도록 플라즈마에 대한 시간을 초과하는 지속기간을 가질 수도 있고, 기판 표면의 최상단에 연속적인 막을 형성한다. 이들 파라미터들이 특정한 하드웨어 및 사용된 프로세싱 조건들에 따라 가변될 수도 있다는 것이 이해된다.
다양한 실시예들에서, 플라즈마는 플라즈마가 스테이션에서 기판 표면 위에 바로 형성되는, 인-시츄 플라즈마이다. 인-시츄 플라즈마를 위한 예시적인 기판 영역들 당 전력은 일부 실시예들에서, 약 0.2122 W/cm2 내지 약 2.122 W/cm2이다. 예를 들어, 전력은 4개의 300 mm 웨이퍼들을 프로세싱하는 챔버에 대해 약 600 W 내지 약 6000 W의 범위일 수도 있다. 예를 들어, ALD 프로세스들에 대한 플라즈마들은 2개의 용량 결합 플레이트들을 사용하여 가스에 무선 주파수 (RF) 필드를 적용함으로써 생성될 수도 있다. RF 필드에 의한 플레이트들 사이의 가스의 이온화는 플라즈마를 점화하고, 플라즈마 방전 구역에서 자유 전자들을 생성한다. 이들 전자들은 RF 필드에 의해 가속화되고 가스 상 반응물질 분자들과 충돌할 수도 있다. 반응물질 분자들과 이들 전자들의 충돌은 증착 프로세스에 참여하는 라디칼 종을 형성할 수도 있다. RF 필드가 임의의 적합한 전극들을 통해 커플링될 수도 있다는 것이 이해될 것이다. 전극들의 비제한적인 예들은 프로세스 가스 분배 샤워헤드들 및 기판 지지 페데스탈들을 포함한다. ALD 프로세스들에 대한 플라즈마들이 가스에 대한 RF 필드의 용량 결합과는 다른 하나 이상의 적합한 방법들에 의해 형성될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 제 2 반응물질이 스테이션의 업스트림의 리모트 플라즈마 생성기에서 점화되고, 이어서 기판이 하우징되는 스테이션으로 전달되도록, 플라즈마는 리모트 플라즈마이다.
도 3에 도시된 바와 같이, 307에서, 분자 (317) 는 수소가 305에서 실리콘 댕글링 결합들을 종결하기 때문에 실리콘-질화물 결합들을 형성하는 것으로부터 억제된다. 분자들 (325 및 335) 이 분자 (327) 를 형성하도록 실리콘 중심들로부터 분기 붕괴하는 (branching) 것뿐만 아니라 2개의 구조들 사이에 실리콘 질화물 결합들을 형성하는 것을 주의하라. 이것은 수소 억제제에 실리콘 댕글링 결합들을 노출시킴으로써 실리콘 질화물 형성을 억제하는 일 예를 도시한다.
도 1로 돌아가서, 동작 109에서, 프로세스 스테이션이 퍼지된다. 도 2에 도시된 바와 같이, 동작 109는 실리콘-함유 전구체 플로우가 턴 오프되고, 플라즈마가 점화되지 않고, 제 2 반응물질이 스테이션으로 공급되지 않는, 퍼지 페이즈 280A와 대응할 수도 있다. 퍼지는 동작 105에 대해 상기에 기술된 것들 중 임의의 것일 수도 있는, 캐리어 가스를 흘림으로써 수행될 수도 있다. 많은 실시예들에서, 동작 105 및 109에서 사용된 캐리어 가스는 동일한 가스이고, 일부 실시예들에서, 캐리어 가스는 도 2에 도시된 바와 같이, 이들 동작들 동안 연속적으로 흐른다.
도 1의 동작들 103 내지 109를 수행하는 것은 도 2의 증착 사이클 210A, 또는 증착 사이클 210B와 같은, 사이클을 구성할 수도 있다. 동작들의 노출 시간에 따라, 사이클 각각은 달라지는 두께의 실리콘-함유 막을 증착할 수도 있다. 따라서, 일부 프로세스들의 지속기간은 수 나노미터 두께 초과의 막들을 증착할 때 보다 길 수도 있다. 일부 반응물질들은 컨포멀한 막을 증착하도록 긴 노출 시간들을 가질 수도 있고, 이는 웨이퍼 쓰루풋 시간을 또한 감소시킬 수도 있다.
동작 113에서, 막이 충분한 두께로 증착되는 지의 여부가 결정된다. 그렇지 않다면, 동작들 103 내지 109는 충분한 두께의 막이 증착될 때까지 반복될 수도 있다. 임의의 적합한 수의 증착 사이클들은 실리콘-함유 막의 목표된 막 두께를 증착하도록 ALD 프로세스에 포함될 수도 있다. 도 2의 타이밍 시퀀스는 2개의 증착 사이클들, 210A 및 210B에서 도 1의 다양한 동작들의 예들을 도시한다. 도시된 바와 같이, 사이클 각각은 기판이 도 1의 동작 103에 대해 상기에 기술된 바와 같이 실리콘-함유 전구체에 노출되는, 실리콘-함유 전구체 노출 페이즈 220A, 또는 220B를 포함한다. 실리콘-함유 전구체 노출 페이즈 220A 후에, 퍼지 페이즈 (240A) 가 도 1의 동작 105에 대해 상기에 기술된 바와 같이 수행된다. 억제제 페이즈 250A는 도 1의 동작 106에 대해 기술된 바와 같이 수소-함유 억제제가 스테이션에 전달되도록 수행될 수도 있다. 억제제 페이즈 250A는 매 증착 사이클에서 수행되지 않을 수도 있다. 도 2에 도시된 바와 같이 예시적인 증착 사이클들 210A 및 210B는 억제제 페이즈가 사이클들 양자에서 수행되는 실시예를 도시한다. 억제제 페이즈 250A 후에, 제 2 반응물질과 플라즈마 노출 페이즈 260A가 도시된다. 플라즈마가 도 2의 도시된 예에서 턴 온되지만 일부 실시예들에서, 플라즈마가 260A 또는 260B 동안 턴 온되지 않을 수도 있다는 것을 주의하라. 제 2 반응물질은 이들 페이즈들 동안 흐르지만, 실리콘-함유 전구체 및 억제제는 턴 오프된다. 제 2 반응물질과 플라즈마 노출 페이즈 260A 후에, 퍼지 페이즈는 도 2에 도시된 바와 같이 아르곤과 같은, 퍼지 가스만이 흐르도록, 280A에서 선택적으로 수행된다. 도 2에 도시된 예에서, 목표된 두께가 아직 달성되지 않았고, 따라서, 동작들 103 내지 109의 다른 사이클이 수행되는 것 (따라서, 실리콘-함유 전구체 노출 페이즈 220B에 퍼지 페이즈 240B, 억제제 페이즈 250B, 제 2 반응물질과 플라즈마 노출 페이즈 260B, 및 퍼지 페이즈 280B가 이어짐) 이 도 1의 동작 113에서 결정된다.
차후의 증착 사이클들은 피처들의 개구들 근처의 기판의 표면 상의 실리콘-함유 박막의 증착 레이트가 피처의 다른 표면들 상의 증착 레이트 미만이 되도록, 수행될 수도 있다. 도면이 사이클들 양자에서 억제제 노출을 도시하지만, 일부 실시예들에서, 억제 노출이 매 다른 사이클, 또는 5 내지 10 사이클들 마다 수행될 수도 있다는 것을 주의하라.
많은 상술된 실시예들에서, 전구체의 흡착된 층은 억제제에 노출된다. 대안적인 실시예들에서, 기판은 전구체에 노출되기 전에 억제제에 노출될 수도 있다. 이러한 실시예들은 복수의, 유사하지 않은 재료들을 통해 컷팅되는 (cut) 피처들 또는 고 종횡비 피처들에서 스텝 커버리지를 또한 개선한다. 일부 실시예들에서, 억제제는 고 종횡비 과제들 또는 유사하지 않은 재료들 상의 증착을 극복하도록 적절하게 선택될 수도 있다.
일부 실시예들에서, 방법들은 금속 유기 전구체들을 사용하는 증착들에서 채용될 수도 있다. 피처 크기들이 감소하고 관심 있는 후보 금속 유기 전구체들이 증착 반응의 부산물들인 실질적인, 물리적으로 큰 리간드들과 함께 보다 많이 채용되기 때문에, 피처들로부터의 부산물들뿐만 아니라 피처들 내로의 전구체 양자의 수송 현상에 대한 보상이 중요하게 된다. 막을 증착하기 위해 전구체를 도입하기 전에 억제제에 노출시키기 위한 예시적인 방법은 다음의 문단들에서 기술된 시퀀스에 의해 수행될 수도 있다.
일부 실시예들에서, 막 증착 전구체들에 기판을 노출시키기 전에, 거의 없는 (또는 없는) 사이트들이 하단들에서 점유되지만 보다 많은 사이트들이 피처들의 상단들에서 점유되도록, 수송 제한된 현상을 겪은 기판의 피처들의 내부 및 기판 상의 이용 가능한 사이트들에 선택적으로 흡착하는, 억제제 화학물질에 기판이 노출될 수도 있다. 나중에, 억제제 화학물질 환경은 퍼지 또는 배기에 의해 제거될 수도 있다.
억제제 화학물질이 기판과 반응한다면, 그러면 기판은 피처 하단들에서 남아 있는 기판 사이트들에 선택적으로 흡착하는 전구체 화학물질에 노출될 수도 있다. 선택적인 흡착은 피처 측벽들 상에서보다 피처 하단들에서 보다 많은 흡착을 발생시킬 수도 있다.
억제제 화학물질이 기판과 반응하지 않는다면, 기판은 억제제와 반응하는 다른 화학물질에 선택적으로 노출될 수도 있고 이어서 반응물질 환경이 나중에 제거될 수도 있다. 이어서 기판은 측벽들 상에서 보다는 피처 하단들에서 보다 많은 흡착으로, 피처 하단들에서 남아 있는 기판 사이트들에 선택적으로 흡착하는 전구체 화학물질에 노출될 수도 있다.
일부 실시예들에서, 전구체가 피처에서 기판 사이트들 상에 흡착한 후에, 전구체 환경은 퍼지 또는 배기에 의해 제거될 수도 있다. 일부 실시예들에서, 기판은 피처 하단들을 향해서와 같이, 억제제의 부분을 소비하는 플라즈마 노출을 포함하거나 포함하지 않을 수도 있는 반응물질 화학물질에 노출될 수도 있다. 대안적으로, 억제제는 억제제를 소비하기보다는, 유용한, 반응된 막으로 변환되도록 선택될 수도 있다. 기판이 반응물질에 노출된 후에, 이어서 반응물질 환경은 퍼지 또는 배기에 의해 제거될 수도 있다. 일부 실시예들에서, 억제제 화학물질이 기판과 반응한 후에 수행된 동작들은 모든 억제제가 소비되거나 변환될 때까지 반복될 수도 있다. 일부 실시예들에서, 억제 및 증착을 수반하는 상기 동작들은 목표된 두께의 막이 증착될 때까지 반복될 수도 있다.
일부 실시예들에서, 방법은 전구체에 대한 노출 전에 (예를 들어, 실리콘-함유 전구체에 대한 노출 전에) 억제제에 기판을 노출시키는 것뿐만 아니라 도 1에 대해 상기에 기술된 바와 같이 억제제에 전구체의 흡착된 층을 노출시키는 것을 수반할 수도 있다. 동일한 억제제 또는 상이한 억제제가 이들 동작들에서 사용될 수도 있다.
장치
도 4는 저압 환경을 유지하기 위해 프로세스 챔버 바디 (402) 를 가진 ALD 프로세스 스테이션 (400) 의 실시예의 개략적인 예시를 도시한다. 복수의 ALD 프로세스 스테이션들 (400) 은 공통의 저압 프로세스 툴 환경 내에 포함될 수도 있다. 예를 들어, 도 4는 멀티-스테이션 프로세싱 툴 (400) 의 실시예를 도시한다. 일부 실시예들에서, 아래에 상세히 논의되는 것들을 포함하는, ALD 프로세스 스테이션 (400) 의 하나 이상의 하드웨어 파라미터들은, 하나 이상의 컴퓨터 제어기들 (450) 에 의해 프로그램 방식으로 조정될 수도 있다.
ALD 프로세스 스테이션 (400) 은 분배 샤워헤드 (406) 에 프로세스 가스들을 전달하기 위해 반응물질 전달 시스템 (401a) 과 유동적으로 통신한다. 반응물질 전달 시스템 (401a) 은 샤워헤드 (406) 로의 전달을 위해 프로세스 가스들을 블렌딩하고 및/또는 컨디셔닝하기 위한 혼합 용기 (404) 를 포함한다. 일부 실시예들에서, 억제제 가스는 캐리어 가스가 제공되는 경우와 같이, 챔버 바디 (402) 로의 도입 전에 혼합 용기에 도입될 수도 있다. 일부 실시예들에서, 억제제 가스는 챔버 바디 (402) 에 직접적으로 전달될 수도 있다. 하나 이상의 혼합 용기 유입 밸브들 (420) 은 혼합 용기 (404) 로의 프로세스 가스들의 도입을 제어할 수도 있다. 이들 밸브들은 다양한 동작들 동안 프로세스 가스, 억제제 가스, 또는 캐리어 가스가 턴 온될 수도 있는지의 여부에 따라 제어될 수도 있다. 일부 실시예들에서, 억제제 가스는 억제제 액체를 사용하고 가열된 기화기를 사용하여 기화함으로써 생성될 수도 있다.
예로서, 도 4의 실시예는 혼합 용기 (404) 에 공급될 액체 반응물질을 기화시키기 위한 기화 지점 (403) 을 포함한다. 일부 실시예들에서, 기화 지점 (403) 은 가열된 기화기일 수도 있다. 이러한 기화기들로부터 생성된 포화된 반응물질 증기는 다운스트림 전달 파이프 내에서 응결할 수도 있다. 응결된 반응물질에 대한 양립 가능하지 않은 가스들의 노출은, 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막을 수도 있고, 밸브 동작을 방해할 수도 있고, 기판들을 오염시키는 등을 할 수도 있다. 이들 이슈들을 처리하기 위한 일부 방법들은, 잔류 반응물질을 제거하도록 전달 파이프를 퍼지하고 및/또는 배기하는 것을 수반한다. 그러나, 전달 파이프를 퍼지하는 것은 프로세스 스테이션 사이클 시간을 증가시킬 수도 있고, 프로세스 스테이션 쓰루풋을 저하시킨다. 따라서, 일부 실시예들에서, 기화 지점 (403) 의 다운스트림에 있는 전달 파이프는 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (404) 가 또한 열 추적될 수도 있다. 일 비제한적인 예에서, 기화 지점 (403) 의 다운스트림에 있는 파이프는 혼합 용기 (404) 에서 약 100 ℃로부터 약 150 ℃로 확장하는 증가하는 온도 프로파일을 가진다.
일부 실시예들에서, 실리콘-함유 전구체와 같은, 액체 전구체 또는 액체 반응물질은 액체 주입기에서 기화될 수도 있다. 예를 들어, 액체 주입기는 혼합 용기의 업스트림에서 캐리어 가스 스트림 내로 액체 반응물질의 펄스들을 주입할 수도 있다. 일 실시예에서, 액체 주입기는 보다 높은 압력으로부터 보다 낮은 압력으로 액체를 플래싱함으로써 (flash) 반응물질을 기화할 수도 있다. 또 다른 예에서, 액체 주입기는 가열된 전달 파이프 내에서 차후에 기화되는 분산된 미세액적들로 액체를 원자화할 (atomize) 수도 있다. 보다 작은 액적들이 보다 큰 액적들보다 빠르게 기화할 수도 있고, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다. 보다 빠른 기화는 기화 지점 (403) 으로부터 다운스트림에 있는 파이프의 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (404) 에 직접 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (406) 에 직접 장착될 수도 있다.
일부 실시예들에서, 기화 지점 (403) 의 업스트림에 있는 액체 플로우 제어기 (LFC) 는 프로세스 스테이션 (400) 으로의 전달 및 기화를 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 열적 MFM (mass flow meter) 을 포함할 수도 있다. LFC의 플런저 밸브는 MFM과 전기적 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 피드백 제어를 사용하여 액체 플로우를 안정화하는 것은 1 초 또는 그 이상 걸릴 수도 있다. 이것은 액체 반응물질을 도징하기 (dosing) 위해 시간을 연장할 수도 있다. 따라서, 일부 실시예들에서, LFC는 피드백 제어 모드와 직접적인 제어 모드 사이에서 동적으로 전환될 (switch) 수도 있다. 일부 실시예들에서, 이것은 PID 제어기 및 LFC의 센스 (sense) 튜브를 디스인에이블함으로써 (disable) 수행될 수도 있다.
샤워헤드 (406) 는 기판 (412) 을 향해 프로세스 가스들을 분배한다. 예를 들어, 다양한 동작들에서, 샤워헤드 (406) 는 실리콘-함유 전구체 가스를 기판 (412) 에 분배할 수도 있거나, 퍼지 또는 캐리어 가스를 챔버 바디 (402) 에 분배할 수도 있거나, 억제제 가스를 기판 (412) 에 분배할 수도 있거나, 제 2 반응물질을 기판 (412) 에 분배할 수도 있다. 도 4에 도시된 실시예에서, 기판 (412) 은 샤워헤드 (406) 아래에 위치되고, 페데스탈 (408) 상에 놓이게 도시된다. 샤워헤드 (406) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (412) 으로 프로세스들 가스들을 분배하기 위한 포트들의 임의의 적합한 수 및 배열을 가질 수도 있다.
일부 실시예들에서, 미세볼륨부가 샤워헤드 (406) 아래에 위치된다. 프로세스 스테이션의 전체 볼륨에서 보다는 미세볼륨부에서 개시된 실시예들을 수행하는 것은, 반응물질 노출 및 퍼지 시간들을 감소시킬 수도 있고, 프로세스 조건들 (예를 들어, 압력, 온도, 등) 을 변경하기 위한 시간들을 감소시킬 수도 있고, 프로세스 가스들, 등에 대한 프로세스 스테이션 로보틱스 (robotics) 의 노출을 제한할 수도 있다. 예시적인 미세볼륨부 크기들은 이로 제한되지 않지만 0.1 L 내지 2 L의 볼륨들을 포함한다. 이것은 또한 생산성 쓰루풋에 영향을 미친다. 일부 실시예들에서, 개시된 실시예들은 미세볼륨부에서 수행되지 않는다.
일부 실시예들에서, 페데스탈 (408) 은 미세볼륨부에 기판 (412) 을 노출시키도록 및/또는 미세볼륨부의 볼륨을 달라지게 하도록 상승 또는 하강될 수도 있다. 예를 들어, 기판 수송 페이즈에서, 페데스탈 (408) 은 미세볼륨부 내에 기판 (412) 을 배치하도록 상승될 수도 있다. 일부 실시예들에서, 미세볼륨부는 높은 플로우 임피던스의 구역을 생성하도록 페데스탈 (408) 의 부분뿐만 아니라 기판 (412) 을 완전히 둘러쌀 수도 있다.
선택적으로, 페데스탈 (408) 은 미세볼륨부 내에서 프로세스 압력, 반응물질 농도, 등을 조절하도록 프로세스의 부분들 동안 하강 및/또는 상승될 수도 있다. 프로세스 챔버 바디 (902) 가 프로세스 동안 기저 압력으로 유지되는 일 시나리오에서, 하강하는 페데스탈 (408) 은 미세볼륨부로 하여금 배기되게 허용할 수도 있다. 미세볼륨부 대 프로세스 챔버 볼륨의 예시적인 비율들은 이로 제한되지 않지만 1:500 내지 1:10의 볼륨 비율들을 포함한다. 일부 실시예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기에 의해 프로그램 방식으로 조정될 수도 있다는 것이 이해될 것이다.
또 다른 시나리오에서, 페데스탈 (408) 의 높이를 조정하는 것은, 플라즈마 밀도로 하여금 선택적인 플라즈마 활성화 프로세스들 동안 달라지게 할 수도 있다. 예를 들어, 플라즈마는 억제제 가스가 챔버 바디 (402) 에 도입될 때, 또는 제 2 반응물질이 챔버 바디 (402) 로 흐를 때 활성화될 수도 있다. 일부 실시예들에서, 플라즈마는 억제제 가스의 플로우 또는 제 2 반응물질의 플로우 동안 활성화되지 않을 수도 있다. 프로세스 페이즈의 종료 시, 페데스탈 (408) 은 페데스탈 (408) 로부터 기판 (412) 의 제거를 허용하도록 또 다른 기판 수송 페이즈 동안 하강될 수도 있다.
본 명세서에 기술된 예시적인 미세볼륨부 변화들이, 높이-조정 가능한 페데스탈 (408) 을 지칭하지만, 일부 실시예들에서, 샤워헤드 (406) 의 위치가 미세볼륨부의 볼륨을 달라지게 하도록 페데스탈 (408) 에 대해 조정될 수도 있음이 이해될 것이다. 또한, 페데스탈 (408) 및/또는 샤워헤드 (406) 의 수직 위치가 본 개시의 범위 내에서 임의의 적합한 메커니즘에 의해 달라질 수도 있음이 이해될 것이다. 일부 실시예들에서, 페데스탈 (408) 은 기판 (412) 의 배향을 회전시키기 위한 회전축을 포함할 수도 있다. 일부 실시예들에서, 이들 예시적인 조정들 중 하나 이상이 하나 이상의 적합한 컴퓨터 제어기들 (450) 에 의해 프로그램 방식으로 수행될 수도 있음이 이해될 것이다.
플라즈마가 상기에 논의된 바와 같이 사용될 수도 있는 일부 실시예들에서, 샤워헤드 (406) 및 페데스탈 (408) 은, 플라즈마에 전력을 공급하기 (power) 위해 무선 주파수 (RF) 전력 공급부 (414) 및 매칭 네트워크 (416) 와 전기적으로 통신한다. 일부 실시예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도 및 가스들의 분압들 또는 가스 플로우 레이트들, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (414) 및 매칭 네트워크 (416) 는, 목표된 조성의 라디칼 종을 가진 플라즈마를 형성하도록 임의의 적합한 전력으로 동작될 수도 있다. 적합한 전력들의 예들이 상기에 포함된다. 유사하게, RF 전력 공급부 (414) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시예들에서, RF 전력 공급부 (414) 는 서로 관계없이 고-주파수 RF 전력 소스 및 저-주파수 RF 전력 소스를 제어하도록 구성될 수도 있다. 저 주파수 RF 주파수들의 예는 이로 제한되지 않지만, 0 ㎑ 내지 500 ㎑의 주파수들을 포함할 수도 있다. 고 주파수 RF 주파수들의 예는 이로 제한되지 않지만, 1.8 ㎒ 내지 2.45 ㎓, 약 13.56 ㎒ 초과, 또는 27 ㎒ 초과, 또는 40 ㎒ 초과, 또는 60 ㎒ 초과의 주파수들을 포함할 수도 있다. 임의의 적합한 파라미터들이 표면 반응들을 위한 플라즈마 에너지를 제공하도록 이산적으로 또는 연속적으로 조절될 수도 있다는 것이 이해될 것이다. 일 비제한적 예에서, 플라즈마 전력은 연속적으로 전력을 공급받는 플라즈마들에 대해서 기판 표면의 이온 충격을 감소시키도록 단속적으로 펄싱될 수 있다.
일부 실시예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인- 시츄 방식으로 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (optical emission spectroscopy) 센서들에 의해 측정될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들이 이러한 인-시츄 플라즈마 모니터들로부터의 측정치들에 기초하여 프로그램 방식으로 조정될 수도 있다. 예를 들어, OES 센서가 플라즈마 전력의 프로그램적 제어를 제공하기 위해 피드백 루프에서 사용될 수도 있다. 일부 실시예들에서, 다른 모니터들이 플라즈마 및 다른 프로세스 특성들을 모니터링하도록 사용될 수도 있다는 것이 이해될 것이다. 이러한 모니터들은 이로 제한되지 않지만, 적외선 (IR) 모니터들, 음향 모니터들 및 압력 트랜스듀서들을 포함할 수도 있다.
일부 실시예들에서, 제어기 (450) 에 대한 인스트럭션들은 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 통해서 제공될 수도 있다. 일 예에서, 프로세스 페이즈를 위한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈 내에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은, 프로세스 페이즈에 대한 모든 인스트럭션들이 이 프로세스 페이즈와 동시에 실행되도록, 연속적으로 배열될 수도 있다. 일부 실시예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들이 레시피 페이즈 내에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 불활성 및/또는 반응물질 가스 (예를 들어, 디실란과 같은 제 1 전구체) 의 플로우 레이트를 설정하기 위한 인스트럭션들, (아르곤과 같은) 캐리어 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2 후속하는 레시피 페이즈는 불활성 및/또는 반응물질 가스의 플로우 레이트를 조절 또는 정지시키기 위한 인스트럭션들, 및 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피 페이즈는 제 1 레시피 페이즈에서 사용된 가스 (예를 들어, 수소-함유 억제제) 와 동일하거나 상이할 수도 있는 불활성, 억제제 및/또는 반응물질 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 캐리어 가스의 플로우 레이트를 조절하기 위한 인스트럭션들 및 제 3 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 4 레시피 페이즈는 불활성 및/또는 반응물질 가스 (예를 들어, 질소 또는 질소-함유 또는 산소-함유 가스와 같은 제 2 반응물질) 의 플로우 레이트를 조절 또는 정지시키기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 및 제 4 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 본 개시의 범위 내에서 임의의 적합한 방식으로 더 하위분할 및/또는 반복될 수도 있다는 것이 이해될 것이다.
일부 실시예들에서, 페데스탈 (408) 은 히터 (410) 를 통해서 온도 제어될 수도 있다. 또한, 일부 실시예들에서, 프로세스 스테이션 (400) 에 대한 압력 제어는 버터플라이 밸브 (418) 에 의해 제공될 수도 있다. 도 4의 실시예에 도시된 바와 같이, 버터플라이 밸브 (418) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀링한다 (throttle). 그러나, 일부 실시예들에서, 프로세스 스테이션 (400) 의 압력 제어는 또한 프로세스 스테이션 (400) 으로 도입되는 하나 이상의 가스들의 플로우 레이트를 달라지게 함으로써 조정될 수도 있다.
상술한 바와 같이, 하나 이상의 프로세스 스테이션들이 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 5는 하나 또는 양자가 리모트 플라즈마 소스를 포함할 수도 있는, 인바운드 로드 록 (502) 및 아웃바운드 로드 록 (504) 을 갖는 멀티-스테이션 프로세싱 툴 (500) 의 실시예의 개략도를 도시한다. 대기압에서, 로봇 (506) 은 포드 (508) 를 통해 로딩된 카세트로부터 대기 포트 (atmospheric port) (510) 를 통해 인바운드 로드 록 (502) 으로 웨이퍼들을 이동시키도록 구성된다. 웨이퍼는 로봇 (506) 에 의해 인바운드 로드 록 (502) 내의 페데스탈 (512) 상에 배치되고, 대기 포트 (510) 는 폐쇄되고, 로드 록은 펌핑 다운된다 (pump down). 인바운드 로드 록 (502) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (514) 내에 도입되기 전에 로드 록 내의 리모트 플라즈마 처리에 노출될 수도 있다. 게다가, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해, 인바운드 로드 록 (502) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (514) 로의 챔버 수송 포트 (516) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위한 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내에 웨이퍼를 배치시킨다. 도 5에서 도시된 실시예는 로드 록들을 포함하지만, 일부 실시예들에서, 프로세스 스테이션 내로 웨이퍼가 직접적으로 제공될 수도 있다는 것이 이해될 것이다.
도시된 프로세싱 챔버 (514) 는 도 5에 도시된 실시예에서 1 내지 4로 번호가 붙여진, 4개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 518로 도시됨), 및 가스 라인 유입부들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각이 상이한 목적 또는 복수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 ALD 프로세스 모드와 PEALD 프로세스 모드 사이에서 전환 가능할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (514) 는 ALD 프로세스 스테이션과 PEALD 프로세스 스테이션의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (514) 는 4개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 5개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시예들에서 프로세싱 챔버는 3개 이하의 스테이션들을 가질 수도 있다.
도 5는 또한 프로세싱 챔버 (514) 내에서 웨이퍼들을 수송하기 위한 웨이퍼 핸들링 시스템의 일 실시예를 도시한다. 일부 실시예들에서, 웨이퍼 핸들링 시스템은 다양한 프로세스 스테이션들 사이 및/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 수송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 이해될 것이다. 비제한적인 예들은 웨이퍼 캐로절들 (carousel) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 5는 또한 프로세스 툴 (500) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (550) 의 일 실시예를 도시한다. 시스템 제어기 (550) 는 하나 이상의 메모리 디바이스들 (556), 하나 이상의 대용량 저장 디바이스들 (554), 및 하나 이상의 프로세서들 (552) 을 포함할 수도 있다. 프로세서 (552) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 접속부 및/또는 디지털 입력/출력 접속부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (550) 는 프로세스 툴 (500) 의 모든 액티비티들을 제어한다. 시스템 제어기 (550) 는 대용량 저장 디바이스 (554) 에 저장되고, 메모리 디바이스 (556) 로 로딩되고, 프로세서 (552) 상에서 실행되는 시스템 제어 소프트웨어 (558) 를 실행한다. 대안적으로, 제어 로직은 제어기 (550) 내에서 하드 코딩될 수도 있다. ASIC들 (Applications Specific Integrated Circuits), PLG들 (Programmable Logic Devices) (예를 들어, FPGA들 (field-programmable gate arrays)) 등이 이들 목적들을 위해 사용될 수도 있다. 다음의 논의에서, "소프트웨어" 또는 "코드"가 사용되는 어디든지, 기능적으로 필적하는 하드 코딩된 로직이 그 자리에서 사용될 수도 있다. 시스템 제어 소프트웨어 (558) 는 타이밍, 가스들의 혼합, 가스 플로우 레이트들, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 위치, 및 프로세스 툴 (500) 에서 수행된 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어 (558) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 다양한 프로세스 툴 프로세스들을 수행하기 위해 사용된 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어 (558) 는 임의의 적합한 컴퓨터 판독 가능한 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (558) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (550) 와 연관된 대용량 저장 디바이스 (554) 및/또는 메모리 디바이스 (556) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (518) 상에 기판을 로딩하고 기판과 프로세스 툴 (500) 의 다른 부분들 사이의 공간을 제어하도록 사용되는 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같이 TMA, 암모니아, 및 퍼지 가스들) 및 플로우 레이트들을 제어하고 선택적으로 프로세스 스테이션 내의 압력을 안정화시키기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션으로의 가스 플로우, 등을 조정함으로써 프로세스 스테이션 내의 압력을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하기 위해 사용된 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따라 하나 이상의 프로세스 스테이션들 내에서 프로세스 전극들에 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시예들에 따라 반응 챔버 내의 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (550) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (550) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (550) 의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (500) 의 아날로그 출력 접속부 및 디지털 출력 접속부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들, (압력계들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouple), 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다.
시스템 제어기 (550) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따라 막 스택들의 인-시츄 증착을 동작시키도록 이러한 파라미터들을 제어할 수도 있다.
시스템 제어기 (550) 는 통상적으로 본 장치가 개시된 실시예들에 따른 방법을 수행하기 위해 인스트럭션들을 실행하도록 구성된 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 개시된 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독 가능한 매체는 시스템 제어기 (550) 와 커플링될 수도 있다.
일부 구현예들에서, 시스템 제어기 (550) 는 상술한 실례들의 일부일 수 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기 (550) 는, 시스템의 프로세싱 조건들 및/또는 타입에 따라서, 예를 들어 프로세싱 가스들 및/또는 억제제 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 수송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 시스템 제어기 (550) 는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (550) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (550) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 되는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (550) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (550) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 시스템 제어기 (550) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 시스템 제어기 (550) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (550) 는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 수송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에 개시된 방법들을 수행하기 위해 적절한 장치는, 각각이 전체가 본 명세서에 인용되는, 2011년 4월 11일 출원되고 발명의 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"인, 미국 특허 출원 제 13/084,399 호 (이제 미국 특허 제 8,728,956 호); 및 2011년 4월 11일 출원되고 발명의 명칭이 "SILICON NITRIDE FILMS AND METHODS"인, 미국 특허 출원 제 13/084,305 호에서 더 논의되고 기술된다.
본 명세서에 기술된 장치/프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위한 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 이러한 툴들/프로세스들은 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 사용 또는 실시될 것이다. 막의 리소그래피 패터닝은 통상적으로 각각 복수의 가능한 툴들을 사용하여 인에이블되는 다음의 동작들 중 일부 또는 모두를 포함하고, 이 동작들은 (1) 스핀-온 툴 또는 스프레이-온 툴을 사용하여, 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 동작; (2) 고온 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 동작; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 포토레지스트를 가시광선 또는 자외선 또는 x 선 광에 노출시키는 동작; (4) 습식 벤치 (wet bench) 와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 이를 패터닝하도록 레지스트를 현상하는 동작; (5) 건식 또는 플라즈마-보조 에칭 툴을 사용함으로써 레지스트 패턴을 아래에 놓인 막 또는 워크피스에 전사하는 동작; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼 (stripper) 와 같은 툴을 사용하여 레지스트를 제거하는 동작을 포함한다.
실험
실험 1
실험은 수소-함유 플라즈마에 기판을 주기적으로 노출시키는 효과를 평가하도록 실시되었다. 20 nm의 피처 개구들 및 4:1의 종횡비를 가진 피처들을 가진 반도체 기판은, 실리콘 질화물 막을 증착하도록 디실란 및 질소 플라즈마의 120 사이클들에 노출되었다.
이 기판에 대한 조건들은 아래의 표 1에 요약되어 있다.
표 1. 억제 없는, 실리콘 질화물 막 증착을 위한 조건들
플로우 레이트 (slm) 전력
단계 시간 (초) 디실란 N 2 Ar HFRF
도즈 3 0.25 2
도즈 퍼지 3 4.5
프리플로우 (Preflow) 1 1 1
RF 온 2.5 0.5 300 W
RF 퍼지 0.1 4.5
비교하기 위해서, 질소 플라즈마에 노출되기 전에 사이클 각각에서 5 초 동안 (수소-함유 억제제와 같은) 암모니아 플라즈마에 또한 주기적으로 노출되는 동안, 20 nm의 피처 개구들 및 4:1의 종횡비를 가진 피처들을 가진 다른 기판이 (실리콘-함유 전구체와 같은) 디실란 및 (제 2 반응물질과 같은) 질소 플라즈마의 120 사이클들에 노출되었다. 챔버는 각각 디실란 및 질소 플라즈마에 노출된 후 퍼지되었다. 암모니아 플라즈마는 인 시츄 방식으로 점화되었다. 양 기판들에 대한 챔버 압력은 캐리어 가스로서 아르곤 그리고 퍼지 가스로서 질소일 때, 챔버의 상단에서 2 Torr 그리고 하단에서 3.5 Torr이었다. 질소 가스를 사용한 도즈 퍼지 동안, 일부 실리콘 질화물이 형성되었다.제 2 기판에 대한 조건들은 아래의 표 2에 요약되어 있다.
표 2. 억제가 있는, 실리콘 질화물 막 증착을 위한 조건들
플로우 레이트 (slm) 전력
단계 시간 (초) 디실란 N 2 Ar NH 3 HFRF
도즈 3 0.25 2
도즈 퍼지 3 4.5
NH3 프리플로우 1 1
NH3 처리 5 600 W
프리플로우 1 1 1
RF 온 2.5 0.5 300 W
RF 퍼지 0.1 4.5
주기적인 암모니아 노출 없이 기판의 컨포멀성은 약 55 %이었고, 주기적인 암모니아 노출이 있는 기판의 컨포멀성은 약 85 %이었다. 도 6a 및 도 6b는 주기적인 억제제 플라즈마 노출이 있는 것 (도 6b) 대 주기적인 억제제 플라즈마 노출이 없이 (도 6a) 증착된 실리콘 질화물을 가진 피처들의 개구들의 이미지들을 도시한다. 주기적인 암모니아 노출이 없는 기판은 2개의 피처들 (601) 의 개구들 사이의 기판 상에 증착된 약 140 Å의 실리콘 질화물을 갖고, 반면에, 주기적인 암모니아 노출이 있는 기판은 동일한 영역에서 기판 상에 증착된 약 54 Å의 실리콘 질화물을 가진다. 601에서 피처들의 상단 근처의 피처들의 표면들이 603에서의 측벽들 상에서가 아닌, 도 6b에서 선택적으로 억제되었다는 것을 주의하라.
결론
전술한 실시예들이 이해의 명료성의 목적들을 위해 일부 상세하게 기술되지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실행될 수도 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 제한하는 것이 아니라 예시적인 것으로 해석되어야 하고, 실시예들은 본 명세서에 제공된 상세사항들에 제한되지 않는다.

Claims (1)

  1. 반도체 기판들을 프로세싱하는 방법에 있어서,
    상기 방법은:
    흡착된 층을 형성하도록 실리콘-함유 전구체에 피처를 포함하는 기판을 노출시키는 단계;
    상기 기판 상의 실리콘-함유 막의 증착을 선택적으로 억제하도록 수소-함유 억제제에 상기 흡착된 층을 노출시키는 단계; 및
    상기 수소-함유 억제제에 상기 흡착된 층을 노출시키는 것을 중단한 후에, 상기 수소-함유 억제제에 상기 흡착된 층을 노출시키는 것이, 제 2 반응물질에 상기 기판을 노출시키는 동안, 중단되도록, 상기 실리콘-함유 막을 형성하도록 제 2 반응물질에 상기 기판을 노출시키는 단계를 포함하고,
    상기 실리콘-함유 전구체는 적어도 하나의 Si-H 결합을 포함하는, 반도체 기판들을 프로세싱하는 방법.
KR1020230184996A 2014-11-24 2023-12-18 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제 KR20240000424A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/552,011 US9564312B2 (en) 2014-11-24 2014-11-24 Selective inhibition in atomic layer deposition of silicon-containing films
US14/552,011 2014-11-24
KR1020150162556A KR102616896B1 (ko) 2014-11-24 2015-11-19 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020150162556A Division KR102616896B1 (ko) 2014-11-24 2015-11-19 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제

Publications (1)

Publication Number Publication Date
KR20240000424A true KR20240000424A (ko) 2024-01-02

Family

ID=56010917

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020150162556A KR102616896B1 (ko) 2014-11-24 2015-11-19 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
KR1020230184996A KR20240000424A (ko) 2014-11-24 2023-12-18 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020150162556A KR102616896B1 (ko) 2014-11-24 2015-11-19 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제

Country Status (3)

Country Link
US (3) US9564312B2 (ko)
KR (2) KR102616896B1 (ko)
TW (1) TW201629253A (ko)

Families Citing this family (354)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9896326B2 (en) * 2014-12-22 2018-02-20 Applied Materials, Inc. FCVD line bending resolution by deposition modulation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
KR102323248B1 (ko) * 2015-03-25 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP7125343B2 (ja) * 2015-10-23 2022-08-24 アプライド マテリアルズ インコーポレイテッド 表面毒化処理によるボトムアップ式間隙充填
JP6544232B2 (ja) * 2015-12-25 2019-07-17 東京エレクトロン株式会社 成膜方法及び成膜装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6800004B2 (ja) * 2016-02-01 2020-12-16 東京エレクトロン株式会社 シリコン窒化膜の形成方法
US20170218517A1 (en) * 2016-02-01 2017-08-03 Tokyo Electron Limited Method of forming nitride film
JP6548586B2 (ja) * 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10192775B2 (en) 2016-03-17 2019-01-29 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10246774B2 (en) 2016-08-12 2019-04-02 Lam Research Corporation Additive for ALD deposition profile tuning in gap features
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
WO2018049067A1 (en) * 2016-09-08 2018-03-15 The Board Of Trustees Of The Leland Stanford Junior University Atomic layer deposition with passivation treatment
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) * 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10504723B2 (en) * 2017-01-05 2019-12-10 Applied Materials, Inc. Method and apparatus for selective epitaxy
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10043656B1 (en) * 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) * 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10242879B2 (en) * 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10199270B2 (en) * 2017-05-25 2019-02-05 Globalfoundries Inc. Multi-directional self-aligned multiple patterning
KR102269343B1 (ko) * 2017-05-30 2021-06-28 주식회사 원익아이피에스 박막 증착 방법
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US20190211039A1 (en) * 2017-12-08 2019-07-11 Rohm And Haas Electronic Materials Llc Hydrocarbyloxydisilanes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11053580B2 (en) 2018-02-21 2021-07-06 Varian Semiconductor Equipment Associates, Inc. Techniques for selective deposition using angled ions
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10781519B2 (en) * 2018-06-18 2020-09-22 Tokyo Electron Limited Method and apparatus for processing substrate
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US20190390341A1 (en) 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11521849B2 (en) * 2018-07-20 2022-12-06 Applied Materials, Inc. In-situ deposition process
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11600530B2 (en) * 2018-07-31 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
KR20200021834A (ko) * 2018-08-21 2020-03-02 주성엔지니어링(주) 박막 형성 장치 및 이를 이용한 박막 형성 방법
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102607181B1 (ko) 2018-10-05 2023-11-29 삼성전자주식회사 가변 저항 메모리 소자 및 이의 제조 방법
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11769692B2 (en) * 2018-10-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. High breakdown voltage inter-metal dielectric layer
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US20210398780A1 (en) * 2018-11-30 2021-12-23 Lam Research Corporation Method andd apparatus for atomic layer deposition or chemical vapor deposition
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
JP6953480B2 (ja) * 2019-07-31 2021-10-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
EP3822389A1 (en) * 2019-11-13 2021-05-19 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk Onderzoek TNO Area selective atomic layer depostion method and tool
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP7321085B2 (ja) * 2019-12-26 2023-08-04 東京エレクトロン株式会社 膜形成方法及びシステム
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210094462A (ko) * 2020-01-20 2021-07-29 에이에스엠 아이피 홀딩 비.브이. 전처리를 사용하여 실리콘 질화물 층을 증착하는 방법, 상기 방법을 사용하여 형성된 구조체, 및 상기 방법을 수행하기 위한 시스템
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11101128B1 (en) * 2020-03-12 2021-08-24 Applied Materials, Inc. Methods for gapfill in substrates
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP2023521607A (ja) * 2020-03-31 2023-05-25 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 高い弾性率を有する膜を堆積するための新規な前駆体
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
JP2023521755A (ja) * 2020-04-10 2023-05-25 ラム リサーチ コーポレーション 原子層堆積中の損失防止
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220071911A (ko) * 2020-11-24 2022-05-31 에이에스엠 아이피 홀딩 비.브이. 갭 충진 방법과 이와 관련된 시스템 및 소자
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20230030188A1 (en) * 2021-07-22 2023-02-02 Entegris, Inc. Adsorbents and methods for reducing contamination in wafer container microenvironments
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230187202A1 (en) * 2021-12-15 2023-06-15 Entegris, Inc. Deposition of silicon nitride with enhanced selectivity
CN115522180A (zh) * 2022-09-20 2022-12-27 苏州源展材料科技有限公司 一种低介电常数的硅基薄膜的制备方法及其应用
US20240145235A1 (en) * 2022-10-28 2024-05-02 Applied Materials, Inc. Sin gap fill via nucleation inhibition

Family Cites Families (594)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR93097E (fr) 1965-10-11 1969-02-07 Ibm Procédé de dépot de films isolants et dispositifs électriques utilisant de tels films.
JPS4843472A (ko) 1971-10-04 1973-06-23
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4419809A (en) 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4575921A (en) 1983-11-04 1986-03-18 General Motors Corporation Silicon nitride formation and use in self-aligned semiconductor device manufacturing method
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
EP0313683A1 (en) 1987-10-30 1989-05-03 International Business Machines Corporation Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
JPH0293071A (ja) 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JPH0311635A (ja) 1989-06-08 1991-01-18 Sekiyu Sangyo Katsuseika Center 化合物半導体装置の製造方法
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
US5094984A (en) 1990-10-12 1992-03-10 Hewlett-Packard Company Suppression of water vapor absorption in glass encapsulation
US5091332A (en) 1990-11-19 1992-02-25 Intel Corporation Semiconductor field oxidation process
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
US5202272A (en) 1991-03-25 1993-04-13 International Business Machines Corporation Field effect transistor formed with deep-submicron gate
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
TW201848B (ko) 1991-11-08 1993-03-11 Advanced Micro Devices Inc
DE4136987A1 (de) 1991-11-11 1993-05-13 Leybold Ag Verfahren zur oberflaechenpassivierung von sensoren
JPH05226279A (ja) 1992-02-10 1993-09-03 Toshiba Corp 半導体装置の製造方法
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
JPH06177120A (ja) 1992-10-27 1994-06-24 Sony Corp 層間絶縁膜の形成方法
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5528719A (en) 1993-10-26 1996-06-18 Sumitomo Metal Mining Company Limited Optical fiber guide structure and method of fabricating same
JPH09102494A (ja) 1995-10-09 1997-04-15 Toshiba Corp 半導体装置の保護膜およびその形成方法
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5593914A (en) 1996-03-19 1997-01-14 Radiant Technologies, Inc. Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JPH1098032A (ja) 1996-09-20 1998-04-14 Hitachi Ltd 薄膜形成方法及び薄膜形成装置
US5731235A (en) 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US5994209A (en) 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US5891805A (en) 1996-12-13 1999-04-06 Intel Corporation Method of forming contacts
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6153519A (en) 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US6069058A (en) 1997-05-14 2000-05-30 United Semiconductor Corp. Shallow trench isolation for semiconductor devices
US6225175B1 (en) 1997-06-20 2001-05-01 Texas Instruments Incorporated Process for defining ultra-thin geometries
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5854105A (en) 1997-11-05 1998-12-29 Vanguard International Semiconductor Corporation Method for making dynamic random access memory cells having double-crown stacked capacitors with center posts
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6100202A (en) 1997-12-08 2000-08-08 Taiwan Semiconductor Manufacturing Company Pre deposition stabilization method for forming a void free isotropically etched anisotropically patterned doped silicate glass layer
US5976990A (en) 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6197701B1 (en) 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6380056B1 (en) 1998-10-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6228779B1 (en) 1998-11-06 2001-05-08 Novellus Systems, Inc. Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
US6218293B1 (en) 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
US6403416B1 (en) 1999-01-07 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for making a double-cylinder-capacitor structure for dynamic random access memory (DRAM)
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
JP3492634B2 (ja) 1999-03-17 2004-02-03 インフィネオン テクノロジース エスシー300 ゲゼルシャフト ミット ベシュレンクテル ハフツング ウント コンパニー コマンディートゲゼルシャフト 半導体ウェーハ上のギャップの充填方法
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
KR100340716B1 (ko) 1999-10-29 2002-06-20 윤종용 실리콘 질화막 형성방법
KR100744888B1 (ko) 1999-11-02 2007-08-01 동경 엘렉트론 주식회사 소재를 초임계 처리하기 위한 장치 및 방법
KR100356473B1 (ko) 1999-12-29 2002-10-18 주식회사 하이닉스반도체 반도체 소자의 알루미늄 옥사이드 박막 형성 방법
KR100338125B1 (ko) 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
JP3437832B2 (ja) 2000-03-22 2003-08-18 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2001274404A (ja) 2000-03-24 2001-10-05 Toshiba Corp 薄膜トランジスタおよびその製造方法
CN1216415C (zh) 2000-04-25 2005-08-24 东京毅力科创株式会社 沉积金属薄膜的方法和包括超临界干燥/清洁组件的金属沉积组合工具
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
JP2002009072A (ja) 2000-06-23 2002-01-11 Tokyo Electron Ltd シリコン窒化膜の形成方法及び形成装置
JP3687651B2 (ja) 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
KR100366621B1 (ko) 2000-06-28 2003-01-09 삼성전자 주식회사 반도체 소자의 도전성 콘택체를 형성하는 방법
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US20050230047A1 (en) 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US6548368B1 (en) 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6482726B1 (en) 2000-10-17 2002-11-19 Advanced Micro Devices, Inc. Control trimming of hard mask for sub-100 nanometer transistor gate
JP2002134497A (ja) 2000-10-23 2002-05-10 Sony Corp 半導体装置の製造方法
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
JP3437830B2 (ja) 2000-11-28 2003-08-18 東京エレクトロン株式会社 成膜方法
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP4406178B2 (ja) 2001-03-28 2010-01-27 株式会社渡辺商行 成膜装置
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6610169B2 (en) 2001-04-21 2003-08-26 Simplus Systems Corporation Semiconductor processing system and method
JP3696119B2 (ja) 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US6709928B1 (en) 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US6777308B2 (en) 2002-05-17 2004-08-17 Micron Technology, Inc. Method of improving HDP fill process
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6730164B2 (en) 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US6784049B2 (en) 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
AU2003279751A1 (en) 2002-10-03 2004-04-23 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7097886B2 (en) 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US6890656B2 (en) 2002-12-20 2005-05-10 General Electric Company High rate deposition of titanium dioxide
CN101572232B (zh) 2002-12-20 2011-12-21 应用材料有限公司 形成高质量的低温氮化硅层的方法
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930059B2 (en) 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
CN1777697B (zh) 2003-04-23 2011-06-22 集勒思公司 瞬时增强原子层沉积
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6949442B2 (en) 2003-05-05 2005-09-27 Infineon Technologies Ag Methods of forming MIM capacitors
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7264849B2 (en) 2003-07-11 2007-09-04 Optisolar, Inc. Roll-vortex plasma chemical vapor deposition method
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
DE10335099B4 (de) 2003-07-31 2006-06-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
KR100500472B1 (ko) 2003-10-13 2005-07-12 삼성전자주식회사 리세스 게이트 트랜지스터 구조 및 형성방법
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100545697B1 (ko) 2003-12-29 2006-01-24 주식회사 하이닉스반도체 반도체소자의 트렌치 소자분리 방법
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050170104A1 (en) 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
US7088003B2 (en) 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
KR100538096B1 (ko) 2004-03-16 2005-12-21 삼성전자주식회사 원자층 증착 방법을 이용하는 커패시터 형성 방법
JP2005310927A (ja) 2004-04-20 2005-11-04 Toshiba Corp 紫外線照射による高品質シリコン窒化膜の成膜方法
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7449345B2 (en) 2004-06-15 2008-11-11 Headway Technologies, Inc. Capping structure for enhancing dR/R of the MTJ device
US7585396B2 (en) 2004-06-25 2009-09-08 Guardian Industries Corp. Coated article with ion treated overcoat layer and corresponding method
US7550067B2 (en) 2004-06-25 2009-06-23 Guardian Industries Corp. Coated article with ion treated underlayer and corresponding method
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US7488690B2 (en) 2004-07-06 2009-02-10 Applied Materials, Inc. Silicon nitride film with stress control
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7271464B2 (en) 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
JP5115798B2 (ja) 2004-09-01 2013-01-09 アクセリス テクノロジーズ インコーポレーテッド フォトレジストの除去速度を増加する装置及びプラズマアッシング方法
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US7429820B2 (en) 2004-12-07 2008-09-30 Motorola, Inc. Field emission display with electron trajectory field shaping
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US20060183055A1 (en) 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
CN100554506C (zh) 2005-03-09 2009-10-28 东京毅力科创株式会社 半导体处理用的成膜方法及装置
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
JP4228150B2 (ja) 2005-03-23 2009-02-25 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7700492B2 (en) 2005-06-22 2010-04-20 Tokyo Electron Limited Plasma etching method and apparatus, control program and computer-readable storage medium storing the control program
JP4752349B2 (ja) 2005-06-23 2011-08-17 大日本印刷株式会社 パターン形成体およびその製造方法
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
KR100734748B1 (ko) 2005-09-08 2007-07-03 주식회사 아이피에스 인시튜 질화물(in-situ nitride) 박막증착방법
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US7524743B2 (en) 2005-10-13 2009-04-28 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
JPWO2007043709A1 (ja) 2005-10-14 2009-04-23 日本電気株式会社 半導体装置の製造方法およびその製造装置
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
WO2007142690A2 (en) 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7465669B2 (en) 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
KR100891779B1 (ko) 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7829159B2 (en) 2005-12-16 2010-11-09 Asm Japan K.K. Method of forming organosilicon oxide film and multilayer resist structure
KR100714305B1 (ko) 2005-12-26 2007-05-02 삼성전자주식회사 자기정렬 이중패턴의 형성방법
JP2007180362A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
US7301210B2 (en) 2006-01-12 2007-11-27 International Business Machines Corporation Method and structure to process thick and thin fins and variable fin to fin spacing
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7491630B2 (en) 2006-03-15 2009-02-17 Freescale Semiconductor, Inc. Undoped gate poly integration for improved gate patterning and cobalt silicide extendibility
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
CN101416293B (zh) 2006-03-31 2011-04-20 应用材料股份有限公司 用于介电膜层的阶梯覆盖与图案加载
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP2007281181A (ja) 2006-04-06 2007-10-25 Elpida Memory Inc 半導体装置の製造方法
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
JP2007287890A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法、プラズマcvd装置
JP2007287889A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP5543203B2 (ja) 2006-06-16 2014-07-09 フジフィルム マニュファクチャリング ユーロプ ビー.ブイ. 大気圧グロー放電プラズマを使用した原子層堆積の方法及び装置
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8366953B2 (en) 2006-09-19 2013-02-05 Tokyo Electron Limited Plasma cleaning method and plasma CVD method
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
JP5258229B2 (ja) 2006-09-28 2013-08-07 東京エレクトロン株式会社 成膜方法および成膜装置
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
WO2008042981A2 (en) 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
US20080087890A1 (en) 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR100816759B1 (ko) 2006-11-09 2008-03-25 삼성전자주식회사 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법
US20080119057A1 (en) 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7923068B2 (en) 2007-02-12 2011-04-12 Lotus Applied Technology, Llc Fabrication of composite materials using atomic layer deposition
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
KR100805018B1 (ko) 2007-03-23 2008-02-20 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7488659B2 (en) 2007-03-28 2009-02-10 International Business Machines Corporation Structure and methods for stress concentrating spacer
US20080242032A1 (en) 2007-03-29 2008-10-02 Texas Instruments Incorporated Carbon-Doped Epitaxial SiGe
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP2008294260A (ja) 2007-05-25 2008-12-04 Sony Corp 半導体装置とその製造方法並びに積層絶縁膜とその形成方法
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US7910497B2 (en) 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US8119424B2 (en) 2007-09-28 2012-02-21 Everspin Technologies, Inc. Electronic device including a magneto-resistive memory device and a process for forming the electronic device
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US8440569B2 (en) 2007-12-07 2013-05-14 Cadence Design Systems, Inc. Method of eliminating a lithography operation
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
KR101221598B1 (ko) 2007-12-18 2013-01-14 삼성전자주식회사 유전막 패턴 형성 방법 및 이를 이용한 비휘발성 메모리소자 제조방법.
KR20090067576A (ko) 2007-12-21 2009-06-25 삼성전자주식회사 트렌치의 매립 방법 및 이를 이용한 소자 분리막 구조물의형성 방법
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4611414B2 (ja) * 2007-12-26 2011-01-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
TWI420722B (zh) 2008-01-30 2013-12-21 Osram Opto Semiconductors Gmbh 具有封裝單元之裝置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
ES2335638B1 (es) 2008-08-01 2011-02-09 Cosentino, S.A. Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar.
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8357617B2 (en) 2008-08-22 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a metal gate of semiconductor device
JP5423205B2 (ja) 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
US20100051578A1 (en) 2008-09-04 2010-03-04 Shuo-Che Chang Method for fabricating an integrated circuit
JP2010103484A (ja) 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
US8303780B2 (en) 2008-09-30 2012-11-06 Tdk Corporation Method of forming mask for dry etching and manufacturing method of magnetic head using the same method
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
JP2010103303A (ja) 2008-10-23 2010-05-06 Toshiba Corp 磁気抵抗素子及びその製造方法
US20100102417A1 (en) 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
US8580993B2 (en) 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
CN101736326B (zh) 2008-11-26 2011-08-10 中微半导体设备(上海)有限公司 电容耦合型等离子体处理反应器
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
GB0823565D0 (en) 2008-12-24 2009-01-28 Oxford Instr Plasma Technology Signal generating system
JP5293168B2 (ja) 2008-12-25 2013-09-18 富士通株式会社 レジスト組成物及びそれを用いた半導体装置の製造方法
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
JP2010183069A (ja) 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US8142862B2 (en) 2009-01-21 2012-03-27 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP2010177652A (ja) 2009-02-02 2010-08-12 Toshiba Corp 半導体装置の製造方法
JP5298938B2 (ja) 2009-02-24 2013-09-25 住友電気工業株式会社 半導体素子の製造方法
JP4792097B2 (ja) 2009-03-25 2011-10-12 株式会社東芝 不揮発性記憶装置及びその製造方法
US8197915B2 (en) 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
JP2010251654A (ja) 2009-04-20 2010-11-04 Elpida Memory Inc 成膜方法および半導体装置の製造方法
US8268727B2 (en) 2009-04-20 2012-09-18 GlobalFoundries, Inc. Methods for fabricating FinFET semiconductor devices using planarized spacers
KR20100128863A (ko) 2009-05-29 2010-12-08 주식회사 케이씨텍 원자층 증착장치 및 방법
US8791034B2 (en) 2009-06-26 2014-07-29 Cornell University Chemical vapor deposition process for aluminum silicon nitride
KR20110002208A (ko) 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
JP2011023576A (ja) 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2011023655A (ja) 2009-07-17 2011-02-03 Shimadzu Corp 窒化シリコン薄膜成膜方法および窒化シリコン薄膜成膜装置
US8105901B2 (en) 2009-07-27 2012-01-31 International Business Machines Corporation Method for double pattern density
US8169024B2 (en) 2009-08-18 2012-05-01 International Business Machines Corporation Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
KR101680899B1 (ko) 2009-09-02 2016-11-29 소니 주식회사 고체 촬상 장치 및 그 제조 방법
KR101732187B1 (ko) 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
US8072800B2 (en) 2009-09-15 2011-12-06 Grandis Inc. Magnetic element having perpendicular anisotropy with enhanced efficiency
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8076241B2 (en) 2009-09-30 2011-12-13 Tokyo Electron Limited Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
WO2011058947A1 (ja) 2009-11-11 2011-05-19 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
US8691675B2 (en) 2009-11-25 2014-04-08 International Business Machines Corporation Vapor phase deposition processes for doping silicon
US8354331B2 (en) 2009-12-01 2013-01-15 International Business Machines Corporation Multiplying pattern density by single sidewall imaging transfer
US8021949B2 (en) 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
US8728958B2 (en) 2009-12-09 2014-05-20 Novellus Systems, Inc. Gap fill integration
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US8662053B2 (en) 2009-12-22 2014-03-04 Cummins Inc. Pre-combustion device for an internal combustion engine
US20110151142A1 (en) 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP2011192776A (ja) 2010-03-15 2011-09-29 Toshiba Corp 半導体装置の製造方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
CN102471885A (zh) 2010-04-01 2012-05-23 乔治洛德方法研究和开发液化空气有限公司 使用氨基金属与卤化金属前体组合的含金属氮化物的薄膜沉积
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
ES2758556T3 (es) 2010-05-21 2020-05-05 Asm Int Nv Celda solar y método de fabricación de la misma
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
WO2012012381A1 (en) 2010-07-22 2012-01-26 Synos Technology, Inc. Treating surface of substrate using inert gas plasma in atomic layer deposition
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US20120064682A1 (en) 2010-09-14 2012-03-15 Jang Kyung-Tae Methods of Manufacturing Three-Dimensional Semiconductor Memory Devices
US8138097B1 (en) 2010-09-20 2012-03-20 Kabushiki Kaisha Toshiba Method for processing semiconductor structure and device based on the same
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR101815527B1 (ko) 2010-10-07 2018-01-05 삼성전자주식회사 반도체 소자 및 그 제조 방법
JP2012084707A (ja) 2010-10-13 2012-04-26 Mitsubishi Heavy Ind Ltd 窒化珪素膜形成装置及び方法
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
US8679914B2 (en) 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
US8288083B2 (en) 2010-11-05 2012-10-16 Micron Technology, Inc. Methods of forming patterned masks
KR20200039806A (ko) 2010-11-10 2020-04-16 나노시스, 인크. 양자 도트 필름들, 조명 디바이스들, 및 조명 방법들
KR20120062385A (ko) 2010-12-06 2012-06-14 에스케이하이닉스 주식회사 반도체 메모리 소자의 형성방법
KR101225601B1 (ko) 2010-12-16 2013-01-24 한국과학기술원 대면적 나노스케일 패턴형성방법
JP5682290B2 (ja) 2010-12-20 2015-03-11 東京エレクトロン株式会社 炭素含有薄膜のスリミング方法及び酸化装置
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
KR101172272B1 (ko) 2010-12-30 2012-08-09 에스케이하이닉스 주식회사 매립비트라인을 구비한 반도체장치 제조 방법
JP2012160671A (ja) 2011-02-02 2012-08-23 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
JP5661523B2 (ja) 2011-03-18 2015-01-28 東京エレクトロン株式会社 成膜方法及び成膜装置
US8883649B2 (en) 2011-03-23 2014-11-11 International Business Machines Corporation Sidewall image transfer process
US9095869B2 (en) 2011-04-07 2015-08-04 Picosun Oy Atomic layer deposition with plasma source
US20120258261A1 (en) 2011-04-11 2012-10-11 Novellus Systems, Inc. Increasing etch selectivity of carbon films with lower absorption co-efficient and stress
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US20140120270A1 (en) 2011-04-25 2014-05-01 James M. Tour Direct growth of graphene films on non-catalyst surfaces
US8298954B1 (en) 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
KR20130005660A (ko) 2011-07-07 2013-01-16 삼성전자주식회사 터치스크린패널 액정디스플레이장치
US9006802B2 (en) 2011-08-18 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device manufacturing methods and methods of forming insulating material layers
JP2013058521A (ja) 2011-09-07 2013-03-28 Toshiba Corp 記憶装置及びその製造方法
JP5551129B2 (ja) 2011-09-07 2014-07-16 株式会社東芝 記憶装置
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US8575033B2 (en) 2011-09-13 2013-11-05 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
JP6199292B2 (ja) 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
JP6043546B2 (ja) 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
WO2013065806A1 (ja) 2011-11-02 2013-05-10 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法
US9318431B2 (en) 2011-11-04 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having a MOM capacitor and method of making same
US20130115778A1 (en) 2011-11-04 2013-05-09 Applied Materials, Inc. Dry Etch Processes
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
KR101690392B1 (ko) 2011-12-20 2016-12-27 인텔 코포레이션 등각 저온 밀봉 유전체 확산 장벽들
US20130189845A1 (en) 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP5882776B2 (ja) 2012-02-14 2016-03-09 信越化学工業株式会社 レジスト下層膜形成用組成物、及びパターン形成方法
JP5843318B2 (ja) 2012-02-14 2016-01-13 株式会社Adeka Ald法用窒化アルミニウム系薄膜形成用原料及び該薄膜の製造方法
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
JP5991609B2 (ja) 2012-02-29 2016-09-14 住友電工デバイス・イノベーション株式会社 半導体装置の製造方法
WO2013137115A1 (ja) * 2012-03-15 2013-09-19 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5547763B2 (ja) 2012-03-16 2014-07-16 三井造船株式会社 プラズマ生成方法、この方法を用いた薄膜形成方法及びプラズマ生成装置
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8952765B2 (en) 2012-03-23 2015-02-10 Mks Instruments, Inc. System and methods of bimodal automatic power and frequency tuning of RF generators
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US8716149B2 (en) 2012-05-29 2014-05-06 GlobalFoundries, Inc. Methods for fabricating integrated circuits having improved spacers
US8703578B2 (en) 2012-05-29 2014-04-22 Globalfoundries Singapore Pte. Ltd. Middle in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8936977B2 (en) 2012-05-29 2015-01-20 Globalfoundries Singapore Pte. Ltd. Late in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US9487869B2 (en) 2012-06-01 2016-11-08 Carnegie Mellon University Pattern transfer with self-assembled nanoparticle assemblies
US8962078B2 (en) * 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
CN103515197A (zh) 2012-06-26 2014-01-15 中芯国际集成电路制造(上海)有限公司 自对准多重图形化的掩膜层及其形成方法
US9023737B2 (en) 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US20140049162A1 (en) 2012-08-15 2014-02-20 George Thomas Defect reduction in plasma processing
US8795774B2 (en) 2012-09-23 2014-08-05 Rohm And Haas Electronic Materials Llc Hardmask
US8716136B1 (en) 2012-10-19 2014-05-06 Globalfoundries Inc. Method of forming a semiconductor structure including a wet etch process for removing silicon nitride
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
KR102052936B1 (ko) 2012-11-13 2019-12-06 삼성전자 주식회사 반도체 소자 제조 방법
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9446965B2 (en) 2013-02-19 2016-09-20 Nanotech Industrial Solutions, Inc. Applications for inorganic fullerene-like particles
US8623770B1 (en) 2013-02-21 2014-01-07 HGST Netherlands B.V. Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US20140273530A1 (en) 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR102151611B1 (ko) 2013-03-15 2020-09-03 어플라이드 머티어리얼스, 인코포레이티드 초-콘포말한 탄소 막 증착
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
JP6230809B2 (ja) * 2013-04-22 2017-11-15 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9209274B2 (en) 2013-07-19 2015-12-08 Globalfoundries Inc. Highly conformal extension doping in advanced multi-gate devices
CN104347421A (zh) 2013-08-07 2015-02-11 中芯国际集成电路制造(北京)有限公司 鳍式场效应管的形成方法
KR102081195B1 (ko) 2013-08-28 2020-02-25 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9564361B2 (en) 2013-09-13 2017-02-07 Qualcomm Incorporated Reverse self aligned double patterning process for back end of line fabrication of a semiconductor device
US9368348B2 (en) 2013-10-01 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned patterning process
EP3058401A4 (en) 2013-10-17 2017-05-17 Nanosys, Inc. Light emitting diode (led) devices
US20150251917A1 (en) 2013-10-21 2015-09-10 Qualcomm Mems Technologies, Inc. Method of patterning pillars
US9159579B2 (en) 2013-10-25 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using multilayer spacer for reduced spacer footing
US9653319B2 (en) 2013-11-08 2017-05-16 Tokyo Electron Limited Method for using post-processing methods for accelerating EUV lithography
WO2015072589A1 (en) 2013-11-13 2015-05-21 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Group 5 transition metal-containing compounds for vapor deposition of group 5 transition metal-containing films
US10084016B2 (en) 2013-11-21 2018-09-25 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI480415B (zh) 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
US9123776B2 (en) 2013-12-04 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double spacer patterning process
US9614053B2 (en) 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
US20150159271A1 (en) 2013-12-09 2015-06-11 Veeco Ald Inc. Deposition of non-isostructural layers for flexible substrate
WO2015106261A1 (en) 2014-01-13 2015-07-16 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9806129B2 (en) 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US9660080B2 (en) 2014-02-28 2017-05-23 Stmicroelectronics, Inc. Multi-layer strained channel FinFET
US20150247238A1 (en) 2014-03-03 2015-09-03 Lam Research Corporation Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
CN103928396A (zh) 2014-04-08 2014-07-16 上海华力微电子有限公司 扩大沟槽开口的方法
US9305837B2 (en) 2014-04-10 2016-04-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and formation thereof
US9543375B2 (en) 2014-06-27 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. MIM/RRAM structure with improved capacitance and reduced leakage current
US9406522B2 (en) 2014-07-24 2016-08-02 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
US20160049307A1 (en) 2014-08-15 2016-02-18 Yijian Chen Patterning method for IC fabrication using 2-D layout decomposition and synthesis techniques
TWI735912B (zh) 2014-08-22 2021-08-11 美商蘭姆研究公司 在一狀態期間中的次脈動用之電漿系統、電漿工具、射頻產生器、控制器、及方法
US9318334B2 (en) 2014-08-27 2016-04-19 United Microelectronics Corp. Method for fabricating semiconductor device
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9875888B2 (en) 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US9791779B2 (en) 2014-10-16 2017-10-17 Tokyo Electron Limited EUV resist etch durability improvement and pattern collapse mitigation
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9449971B2 (en) 2014-12-01 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming FinFETs
CN105719954B (zh) 2014-12-04 2018-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
EP3035379B1 (en) 2014-12-15 2020-07-01 IMEC vzw Method for blocking a trench portion
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9496169B2 (en) 2015-02-12 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure having an air gap and structure thereof
US9443731B1 (en) 2015-02-20 2016-09-13 Tokyo Electron Limited Material processing to achieve sub-10nm patterning
US9530646B2 (en) 2015-02-24 2016-12-27 United Microelectronics Corp. Method of forming a semiconductor structure
US9472506B2 (en) 2015-02-25 2016-10-18 International Business Machines Corporation Registration mark formation during sidewall image transfer process
US9595424B2 (en) 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US10043690B2 (en) 2015-03-31 2018-08-07 Lam Research Corporation Fault detection using showerhead voltage variation
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
US9406693B1 (en) 2015-04-20 2016-08-02 Sandisk Technologies Llc Selective removal of charge-trapping layer for select gate transistors and dummy memory cells in 3D stacked memory
US9502428B1 (en) 2015-04-29 2016-11-22 Sandisk Technologies Llc Sidewall assisted process for wide and narrow line formation
US9859088B2 (en) 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
US9299830B1 (en) 2015-05-07 2016-03-29 Texas Instruments Incorporated Multiple shielding trench gate fet
US20160329206A1 (en) 2015-05-08 2016-11-10 Lam Research Corporation Methods of modulating residual stress in thin films
CN106298519A (zh) 2015-05-15 2017-01-04 联华电子股份有限公司 形成半导体结构的方法
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US9653571B2 (en) 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US9508560B1 (en) 2015-06-18 2016-11-29 International Business Machines Corporation SiARC removal with plasma etch and fluorinated wet chemical solution combination
US9530663B1 (en) 2015-06-23 2016-12-27 Nanya Technology Corp. Method for forming a pattern
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
CN106373880B (zh) 2015-07-22 2021-05-25 联华电子股份有限公司 半导体元件及其形成方法
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9748110B2 (en) 2015-09-03 2017-08-29 Tokyo Electron Limited Method and system for selective spacer etch for multi-patterning schemes
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
CN108352304B (zh) 2015-09-24 2022-03-08 东京毅力科创株式会社 形成用于亚分辨率衬底图案化的刻蚀掩模的方法
US9768272B2 (en) 2015-09-30 2017-09-19 International Business Machines Corporation Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity
KR102250656B1 (ko) 2015-10-08 2021-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US10141417B2 (en) 2015-10-20 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure, semiconductor device and the method of forming semiconductor device
US10103032B2 (en) 2015-11-20 2018-10-16 Tokyo Electron Limited Methods of forming etch masks for sub-resolution substrate patterning
US9576817B1 (en) 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer
US9508604B1 (en) 2016-04-29 2016-11-29 Globalfoundries Inc. Methods of forming punch through stop regions on FinFET devices on CMOS-based IC products using doped spacers
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer

Also Published As

Publication number Publication date
KR102616896B1 (ko) 2023-12-20
US20180138028A1 (en) 2018-05-17
KR20160061885A (ko) 2016-06-01
US9564312B2 (en) 2017-02-07
US20170117134A1 (en) 2017-04-27
US9875891B2 (en) 2018-01-23
US10804099B2 (en) 2020-10-13
US20160148800A1 (en) 2016-05-26
TW201629253A (zh) 2016-08-16

Similar Documents

Publication Publication Date Title
KR102616896B1 (ko) 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
US10903071B2 (en) Selective deposition of silicon oxide
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
US11670503B2 (en) Method of atomic layer deposition
KR102439698B1 (ko) Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막
KR102446502B1 (ko) 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법
KR102542125B1 (ko) 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
US9502238B2 (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
US20220238325A1 (en) In-situ control of film properties during atomic layer deposition
US20220208543A1 (en) Modulated atomic layer deposition

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal