JP7321085B2 - 膜形成方法及びシステム - Google Patents

膜形成方法及びシステム Download PDF

Info

Publication number
JP7321085B2
JP7321085B2 JP2019236787A JP2019236787A JP7321085B2 JP 7321085 B2 JP7321085 B2 JP 7321085B2 JP 2019236787 A JP2019236787 A JP 2019236787A JP 2019236787 A JP2019236787 A JP 2019236787A JP 7321085 B2 JP7321085 B2 JP 7321085B2
Authority
JP
Japan
Prior art keywords
plasma
gas
film
supplying
deuterium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019236787A
Other languages
English (en)
Other versions
JP2021106216A (ja
Inventor
大和 戸根川
珍錫 金
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2019236787A priority Critical patent/JP7321085B2/ja
Priority to TW109143999A priority patent/TWI826756B/zh
Priority to CN202011477873.3A priority patent/CN113053726A/zh
Priority to KR1020200176301A priority patent/KR20210083181A/ko
Priority to US17/125,577 priority patent/US20210198787A1/en
Publication of JP2021106216A publication Critical patent/JP2021106216A/ja
Application granted granted Critical
Publication of JP7321085B2 publication Critical patent/JP7321085B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • H01J37/32761Continuous moving
    • H01J37/32779Continuous moving of batches of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本開示は、膜形成方法及びシステムに関する。
原子層堆積(ALD:Atomic Layer Deposition)サイクル中に水素プラズマを導入することにより、低温で高ストレスのシリコン窒化膜を形成する技術が開示されている(例えば、特許文献1参照)。
特開2006-278497号公報
本開示は、低不純物濃度の薄膜を形成できる技術を提供する。
本開示の一態様による膜形成方法は、基板に原料ガスを供給する工程と、前記基板に前記原料ガスと反応する反応ガスを供給する工程と、前記基板を重水素プラズマで処理する工程と、前記重水素プラズマで処理する工程の前又は後に行われる工程であって、前記基板を水素プラズマで処理する工程と、を含む複数回のサイクルを実行することで薄膜を形成する。
本開示によれば、低不純物濃度の薄膜を形成できる。
第1の実施形態の膜形成方法を示すフローチャート 第2の実施形態の膜形成方法を示すフローチャート 第3の実施形態の膜形成方法を示すフローチャート 第4の実施形態の膜形成方法を示すフローチャート Hの脱離の活性化エネルギーの算出結果を示す図 Clの脱離の活性化エネルギーの算出結果を示す図 窒化シリコン膜をDプラズマ及び/又はHプラズマに曝露したときの表面反応の一例を示す図 第1の実施形態から第4の実施形態の膜形成方法を実施できる膜形成装置の一例を示す断面図 図8の膜形成装置の処理容器を説明するための図 シミュレーションによるHプラズマ及びDプラズマに含まれる各粒子のモル分率の算出結果を示す図 D/Hのガス温度依存性のシミュレーション結果を示す図 D/Hの圧力依存性のシミュレーション結果を示す図 D/Hのガス流量依存性のシミュレーション結果を示す図
以下、添付の図面を参照しながら、本開示の限定的でない例示の実施形態について説明する。添付の全図面中、同一又は対応する部材又は部品については、同一又は対応する参照符号を付し、重複する説明を省略する。
〔膜形成方法〕
一実施形態の膜形成方法は、原子層堆積(ALD:Atomic Layer Deposition)により薄膜を形成する方法であって、ALDサイクル中に重水素(D)プラズマを導入する工程を含む。一実施形態の膜形成方法によれば、低不純物濃度の薄膜を形成できる。以下、一実施形態の膜形成方法の一例として、シリコン窒化膜を形成する方法を説明する。ただし、一実施形態の膜形成方法により形成する薄膜は、シリコン窒化膜に限定されず、例えばシリコン酸化膜、金属窒化物膜、金属酸化物膜であってもよい。
(第1の実施形態)
図1は、第1の実施形態の膜形成方法を示すフローチャートである。本実施形態において、膜形成方法は、原料ガスを供給する工程S11、パージする工程S12、重水素プラズマで処理する工程S13、パージする工程S14、反応ガスを供給する工程S15及びパージする工程S16を含む。また、本実施形態において、工程S11~S16を含む複数回のサイクルを実行する。また、本実施形態において、重水素プラズマで処理する工程S13は、原料ガスを供給する工程S11の後であって、反応ガスを供給する工程S15の前に実行される。以下、各工程について説明する。
原料ガスを供給する工程S11では、処理容器内に収容された基板に原料ガスを供給することにより、基板に原料ガスを吸着させる。本実施形態において、原料ガスは、ジクロロシラン(DCS)ガスであってよい。ただし、原料ガスは、これに限定されない。例えば、シリコン窒化膜やシリコン酸化膜を形成する場合、原料ガスとしては各種のシリコン原料ガスを利用できる。また、例えば金属窒化物膜や金属酸化物膜を形成する場合、原料ガスとしては各種の金属原料ガスを利用できる。
パージする工程S12は、原料ガスを供給する工程S11の後に行われる。パージする工程S12では、処理容器内に残留する原料ガスを除去する。本実施形態において、パージする工程S12は、処理容器内に窒素(N)ガス、アルゴン(Ar)ガス等の不活性ガスを供給するステップを含む。また、パージする工程S12は、処理容器内にガスを供給することなく、処理容器内を排気して真空引きするステップを含んでいてもよい。
重水素プラズマで処理する工程S13は、パージする工程S12の後に行われる。ただし、パージする工程S12を省略して、原料ガスを供給する工程S11の後に重水素プラズマで処理する工程S13を行ってもよい。重水素プラズマで処理する工程S13では、重水素プラズマを生成し、処理容器内に収容された基板を重水素プラズマで処理する。本実施形態において、重水素プラズマで処理する工程S13は、処理容器内で重水素ガスをプラズマ化して活性化し基板に曝露するステップを含む。この際、処理容器内にNガス、Arガス等の不活性ガスを同時に供給してもよい。
パージする工程S14は、重水素プラズマで処理する工程S13の後に行われる。パージする工程S14では、処理容器内に残留する原料ガス及び重水素ガスを除去する。本実施形態において、パージする工程S14は、処理容器内にNガス、Arガス等の不活性ガスを供給するステップを含む。また、パージする工程S14は、処理容器内にガスを供給することなく、処理容器内を排気して真空引きするステップを含んでいてもよい。
反応ガスを供給する工程S15は、パージする工程S14の後に行われる。ただし、パージする工程S14を省略して、重水素プラズマで処理する工程S13の後に反応ガスを供給する工程S15を行ってもよい。反応ガスを供給する工程S15では、処理容器内に収容された基板に反応ガスを供給することにより、基板に吸着した原料ガスと反応ガスとの反応生成物を生成する。本実施形態において、反応ガスを供給する工程S15では、反応ガスを熱分解させることにより、又は反応ガスをプラズマにより活性化させることにより原料ガスと反応ガスとを反応させる。また、本実施形態において、反応ガスは、アンモニア(NH)ガスであってよい。ただし、反応ガスは、これに限定されない。例えば、シリコン窒化膜や金属窒化物膜を形成する場合、反応ガスとしては各種の窒化ガスを利用できる。また、例えばシリコン酸化膜や金属酸化物膜を形成する場合、反応ガスとしては各種の酸化ガスを利用できる。
パージする工程S16は、反応ガスを供給する工程S15の後に行われる。パージする工程S16では、処理容器内に残留する反応ガスを除去する。本実施形態において、パージする工程S16は、処理容器内にNガス、Arガス等の不活性ガスを供給するステップを含む。また、パージする工程S16は、処理容器内にガスを供給することなく、処理容器内を排気して真空引きするステップを含んでいてもよい。
工程S17は、パージする工程S16の後に行われる。工程S17では、工程S11~S16を含むサイクルが所定の回数行われたか否かを判定する。所定の回数は、形成する薄膜の膜厚に応じて予め定められる。工程S11~S16を含むサイクルが所定の回数に到達した場合、処理を終了する。一方、工程S11~S16を含むサイクルが所定の回数に到達していない場合、工程S11へ戻る。
以上の工程S11~S17を行うことにより、基板の上にシリコン窒化膜を形成できる。
本実施形態の膜形成方法によれば、原料ガスを供給する工程S11の後に重水素プラズマで処理する工程S13を行う。これにより、重水素プラズマに含まれる重水素ラジカル等の粒子によって、基板の表面に吸着した原料ガスに含まれる水素(H)、塩素(Cl)等の不純物を脱離させることができる。そのため、低不純物濃度の高品質膜を形成できる。
また、本実施形態の膜形成方法によれば、原料ガスを供給する工程S11の後に重水素プラズマで処理する工程S13を行うので、膜中の水素(H)終端を、水素よりも結合力の強い重水素による重水素(D)終端に置換できる。また、未結合手(ダングリングボンド)を重水素で終端できる。そのため、成膜後、例えば後工程中に発生する脱離による未終端化を防止できる。また、重水素ラジカルは水素ラジカルよりも高寿命であるので、基板の面内の全体に行き渡りやすい。そのため、基板の面内における膜質の均一性が向上する。
(第2の実施形態)
図2は、第2の実施形態の膜形成方法を示すフローチャートである。本実施形態の膜形成方法は、原料ガスを供給する工程S11の後であって、重水素プラズマで処理する工程S13の前に、水素プラズマで処理する工程S21を含む点で、第1の実施形態の膜形成方法と異なる。なお、その他の点については、第1の実施形態の膜形成方法と同じである。以下、第1の実施形態の膜形成方法と異なる点を中心に説明する。
水素プラズマで処理する工程S21は、パージする工程S12の後に行われる。ただし、パージする工程S12を省略して、原料ガスを供給する工程S11の後に水素プラズマで処理する工程S21を行ってもよい。水素プラズマで処理する工程S21では、水素プラズマを生成し、処理容器内に収容された基板を水素プラズマで処理する。本実施形態において、水素プラズマで処理する工程S21は、処理容器内で水素ガスをプラズマ化して活性化し基板に曝露するステップを含む。この際、処理容器内にNガス、Arガス等の不活性ガスを同時に供給してもよい。なお、水素プラズマで処理する工程S21の後であって、重水素プラズマで処理する工程S13の前にパージする工程を行ってもよい。
本実施形態の膜形成方法によれば、第1の実施形態の膜形成方法と同様に、原料ガスを供給する工程S11の後に、重水素プラズマで処理する工程S13を行う。これにより、第1の実施形態の膜形成方法と同様の効果が奏される。
(第3の実施形態)
図3は、第3の実施形態の膜形成方法を示すフローチャートである。本実施形態の膜形成方法は、重水素プラズマで処理する工程S13の後であって、反応ガスを供給する工程S15の前に、水素プラズマで処理する工程S31を含む点で、第1の実施形態の膜形成方法と異なる。なお、その他の点については、第1の実施形態の膜形成方法と同じである。以下、第1の実施形態の膜形成方法と異なる点を中心に説明する。
水素プラズマで処理する工程S31は、重水素プラズマで処理する工程S13の後に行われる。水素プラズマで処理する工程S31では、水素プラズマを生成し、処理容器内に収容された基板を水素プラズマで処理する。本実施形態において、水素プラズマで処理する工程S31は、処理容器内で水素ガスをプラズマ化して活性化し基板に曝露するステップを含む。この際、処理容器内にNガス、Arガス等の不活性ガスを同時に供給してもよい。なお、重水素プラズマで処理する工程S13の後であって、水素プラズマで処理する工程S31の前にパージする工程を行ってもよい。
本実施形態の膜形成方法によれば、第1の実施形態の膜形成方法と同様に、原料ガスを供給する工程S11の後に、重水素プラズマで処理する工程S13を行う。これにより、第1の実施形態の膜形成方法と同様の効果が奏される。
(第4の実施形態)
図4は、第4の実施形態の膜形成方法を示すフローチャートである。本実施形態の膜形成方法は、反応ガスを供給する工程S15の後であって、原料ガスを供給する工程S11の前に、重水素プラズマで処理する工程S41を含む点で、第1の実施形態の膜形成方法と異なる。なお、その他の点については、第1の実施形態の膜形成方法と同じである。以下、第1の実施形態と異なる点を中心に説明する。
重水素プラズマで処理する工程S41は、パージする工程S16の後に行われる。ただし、パージする工程S16を省略して、反応ガスを供給する工程S15の後に重水素プラズマで処理する工程S41を行ってもよい。重水素プラズマで処理する工程S41では、重水素プラズマを生成し、処理容器内に収容された基板を重水素プラズマで処理する。本実施形態において、重水素プラズマで処理する工程S41は、処理容器内で重水素ガスをプラズマ化して活性化し基板に曝露するステップを含む。この際、処理容器内にNガス、Arガス等の不活性ガスを同時に供給してもよい。なお、本実施形態では、重水素プラズマで処理する工程S41の後にパージを行っていないが、重水素プラズマで処理する工程S41の後にパージを行ってもよい。
本実施形態の膜形成方法によれば、第1の実施形態の膜形成方法と同様に、原料ガスを供給する工程S11の後に、重水素プラズマで処理する工程S13を行う。これにより、第1の実施形態の膜形成方法と同様の効果が奏される。
以上、第1の実施形態から第4の実施形態の膜形成方法について説明したが、第1の実施形態から第4の実施形態の膜形成方法の2つ以上を組み合わせて行ってもよい。
〔メカニズム〕
上記の実施形態の膜形成方法により低不純物濃度の薄膜を形成できるメカニズムについて説明する。
まず、ソフトウェアGaussian09を用い、汎関数としてB3LYP法により、SiNHClHにHラジカル(H)及びDラジカル(D)を供給した場合における、SiNHClHからのSiに結合するHの脱離の活性化エネルギーを算出した。なお、基底関数系(Basis set)として、6-311+g(2d,p)を用いた。
図5は、Hの脱離の活性化エネルギーの算出結果を示す図である。図5(a)に示されるように、SiNHClHにDラジカルを供給した場合における、SiNHClHからのSiに結合するHの脱離の活性化エネルギーは、0.0336eVであった。また、図5(b)に示されるように、SiNHClHにHラジカルを供給した場合における、SiNHClHからのSiに結合するHの脱離の活性化エネルギーは、0.0422eVであった。これらの結果から、SiNHClHにDラジカルを供給することにより、Hラジカルを供給する場合よりも低いエネルギーでSiに結合するHを脱離させることができると言える。
続いて、ソフトウェアGaussian09を用い、汎関数としてB3LYP法により、SiNHClHにHラジカル及びDラジカルを供給した場合における、SiNHClHからのSiに結合するClの脱離の活性化エネルギーを算出した。なお、基底関数系(Basis set)として、6-311+g(2d,p)を用いた。
図6は、Clの脱離の活性化エネルギーの算出結果を示す図である。図6(a)に示されるように、SiNHClHにDラジカルを供給した場合における、SiNHClHからのSiに結合するClの脱離の活性化エネルギーは、0.630eVであった。また、図5(b)に示されるように、SiNHClHにHラジカルを供給した場合における、SiNHClHからのSiに結合するClの脱離の活性化エネルギーは、0.653eVであった。これらの結果から、SiNHClHにDラジカルを供給することにより、Hラジカルを供給する場合よりも低いエネルギーでSiに結合するClを脱離させることができると言える。なおClの脱離を例に示したが、F、Br、Iなど他のハロゲン元素においても同様の効果が期待できる。
次に、窒化シリコン膜をDプラズマ及び/又はHプラズマに曝露したときに、窒化シリコン膜の表面で生じる表面反応について説明する。図7は、窒化シリコン膜をDプラズマ及び/又はHプラズマに曝露したときの表面反応の一例を示す図である。
図7(a)は、窒化シリコン膜をHプラズマのみに曝露する場合の表面反応の一例を示す。図7(a)に示されるように、窒化シリコン膜をHプラズマのみに曝露すると、初期段階では、Hプラズマに含まれるHラジカルにより窒化シリコン膜の表面からH及びClが引き抜かれる。このとき、未結合手にHはほとんど吸着しない。後期段階では、Hプラズマに含まれるHラジカルにより更に窒化シリコン膜の表面からH及びClが引き抜かれるが、未結合手へのHの吸着も生じ得る。
図7(b)は、窒化シリコン膜をDプラズマのみに曝露する場合の表面反応の一例を示す。図7(b)に示されるように、窒化シリコン膜をDプラズマのみに曝露すると、初期段階では、Dプラズマに含まれるDラジカルにより窒化シリコン膜の表面からH及びClが引き抜かれる。このとき、DラジカルによるSiNHClHからのH及びClの脱離の活性化エネルギーは、HラジカルによるSiNHClHからのH及びClの脱離の活性化エネルギーよりも小さい。そのため、窒化シリコン膜をDプラズマに曝露すると、窒化シリコン膜をHプラズマに曝露する場合よりも、窒化シリコン膜の表面からH及びClが引き抜かれる。また、未結合手にHはほとんど吸着しない。後期段階では、Dプラズマに含まれるDラジカルにより更に窒化シリコン膜の表面からH及びClが引き抜かれるが、未結合手へのDの吸着も生じ得る。このように、窒化シリコン膜をDプラズマのみに曝露することにより、窒化シリコン膜をHプラズマのみに曝露するよりも多くのH及びClを除去できる。
図7(c)は、窒化シリコン膜をHプラズマに曝露し、続いてDプラズマに曝露する場合の表面反応の一例を示す。図7(c)に示されるように、窒化シリコン膜をHプラズマに曝露し、続いてDプラズマに曝露する場合、初期段階では、Hプラズマに含まれるHラジカルにより窒化シリコン膜の表面からH及びClが引き抜かれる。このとき、未結合手にHはほとんど吸着しない。後期段階では、Dプラズマに含まれるDラジカルにより窒化シリコン膜の表面からH及びClが引き抜かれる。このとき、DラジカルによるSiNHClHからのH及びClの脱離の活性化エネルギーは、HラジカルによるSiNHClHからのH及びClの脱離の活性化エネルギーよりも小さい。そのため、窒化シリコン膜をDプラズマに曝露すると、窒化シリコン膜をHプラズマに曝露する場合よりも、窒化シリコン膜の表面からH及びClが引き抜かれる。また、後期段階では、未結合手へのDの吸着も生じ得るが、初期段階において窒化シリコン膜をDプラズマに曝露する場合と比べて脱離するH及びClの量が少ない(未結合手が少ない)。そのため、窒化シリコン膜をDプラズマのみに曝露する場合よりもDの吸着量は少ない。その結果、H、D、Cl等の不純物濃度が特に少ない窒化シリコン膜を形成できる。
図7(d)は、窒化シリコン膜をDプラズマに曝露し、続いてHプラズマに曝露する場合の表面反応の一例を示す。図7(d)に示されるように、窒化シリコン膜をDプラズマに曝露し、続いてHプラズマに曝露する場合、初期段階では窒化シリコン膜をDプラズマに曝露するとDプラズマに含まれるDラジカルにより窒化シリコン膜の表面からH及びClが引き抜かれる。このとき、DラジカルによるSiNHClHからのH及びClの脱離の活性化エネルギーは、HラジカルによるSiNHClHからのH及びClの脱離の活性化エネルギーよりも小さい。そのため、窒化シリコン膜をDプラズマに曝露すると、窒化シリコン膜をHプラズマに曝露する場合よりも、窒化シリコン膜の表面からH及びClが引き抜かれる。また、未結合手にHはほとんど吸着しない。後期段階では、Hプラズマに含まれるHラジカルにより更に窒化シリコン膜の表面からH及びClが引き抜かれるが、未結合手へのHの吸着も生じ得る。このように、窒化シリコン膜をDプラズマに曝露し、続いてHプラズマに曝露することにより、窒化シリコン膜をHプラズマのみに曝露するよりも多くのH及びClを除去できる。
〔膜形成装置〕
上記の膜形成方法を実施できる膜形成装置について、多数枚の基板に対して一括で熱処理を行うバッチ式の縦型熱処理装置を例に挙げて説明する。ただし、膜形成装置は、バッチ式の装置に限定されるものではなく、例えば基板を1枚ずつ処理する枚葉式の装置であってもよい。また、セミバッチ式の装置であってもよい。セミバッチ式の装置は、回転テーブルの回転中心線の周りに配置した複数枚の基板を、回転テーブルと共に回転させ、異なるガスが供給される複数の領域を順番に通過させる。
図8は、第1の実施形態から第4の実施形態の膜形成方法を実施できる膜形成装置の一例を示す断面図である。図9は、図8の膜形成装置の処理容器を説明するための図である。
縦型熱処理装置100は、下端が開口された有天井の円筒体状の処理容器1を有する。処理容器1の全体は、例えば石英により形成されている。処理容器1内の上端近傍には、石英により形成された天井板2が設けられており、天井板2の下側の領域が封止されている。処理容器1の下端の開口には、円筒体状に成形された金属製のマニホールド3がOリング等のシール部材4を介して連結されている。
マニホールド3は、処理容器1の下端を支持しており、マニホールド3の下方から基板として多数枚(例えば25~150枚)の半導体ウエハ(以下「ウエハW」という。)を多段に載置したウエハボート5が処理容器1内に挿入される。このように処理容器1内には、上下方向に沿って間隔を有して多数枚のウエハWが略水平に収容される。ウエハボート5は、例えば石英により形成されている。ウエハボート5は、3本のロッド6を有し(図2参照)、ロッド6に形成された溝(図示せず)により多数枚のウエハWが支持される。
ウエハボート5は、石英により形成された保温筒7を介してテーブル8上に載置されている。テーブル8は、マニホールド3の下端の開口を開閉する金属(ステンレス)製の蓋体9を貫通する回転軸10上に支持される。
回転軸10の貫通部には、磁性流体シール11が設けられており、回転軸10を気密に封止し、且つ回転可能に支持している。蓋体9の周辺部とマニホールド3の下端との間には、処理容器1内の気密性を保持するためのシール部材12が設けられている。
回転軸10は、例えばボートエレベータ等の昇降機構(図示せず)に支持されたアーム13の先端に取り付けられており、ウエハボート5と蓋体9とは一体として昇降し、処理容器1内に対して挿脱される。なお、テーブル8を蓋体9側へ固定して設け、ウエハボート5を回転させることなくウエハWの処理を行うようにしてもよい。
また、縦型熱処理装置100は、処理容器1内へ処理ガス、パージガス等のガスを供給するガス供給部20を有する。
ガス供給部20は、ガス供給管21,22,23,24を有する。ガス供給管21,22,23は、例えば石英により形成されており、マニホールド3の側壁を内側へ貫通して上方へ屈曲されて垂直に延びる。ガス供給管21,22,23の垂直部分には、ウエハボート5のウエハ支持範囲に対応する上下方向の長さに亘って、それぞれ複数のガス孔21a,22a,23aが所定間隔で形成されている。各ガス孔21a,22a,23aは、水平方向にガスを吐出する。ガス供給管24は、例えば石英により形成されており、マニホールド3の側壁を貫通して設けられた短い石英管からなる。
ガス供給管21は、その垂直部分が処理容器1内に設けられている。ガス供給管21には、ガス配管を介して原料ガス供給源から成膜原料を含むガス(以下「原料ガス」という。)が供給される。ガス配管には、流量制御器及び開閉弁が設けられている。これにより、原料ガス供給源からの原料ガスは、ガス配管及びガス供給管21を介して処理容器1内に供給される。原料ガスとしては、例えばジクロロシラン(DCS;SiHCl)、モノクロロシラン(MCS;SiHCl)、トリクロロシラン(TCS;SiHCl)、シリコンテトラクロライド(STC;SiCl)、ヘキサクロロジシラン(HCD;SiCl)等の塩素(Cl)を含有するシリコン(Si)化合物を利用できる。また、例えばジヨードシラン(DIS;SiH)、トリヨードシラン(TIS;SiHI)等のヨウ素(I)を含有するシリコン(Si)化合物、または、例えばジブロモシラン(DBS;SiHBr)、トリブロモシラン(TBS;SiHBr)等の臭素(Br)を含有するシリコン(Si)化合物を利用できる。
ガス供給管22は、その垂直部分が後述するプラズマ生成空間に設けられている。ガス供給管22には、ガス配管を介して窒化ガス供給源から窒化ガスが供給される。ガス配管には、流量制御器及び開閉弁が設けられている。これにより、窒化ガス供給源からの窒化ガスは、ガス配管及びガス供給管22を介してプラズマ生成空間に供給され、プラズマ生成空間においてプラズマ化されて処理容器1内に供給される。窒化ガスとしては、例えばアンモニア(NH)、窒素(N)、ジアゼン(N)、ヒドラジン(N)、モノメチルヒドラジン(CH(NH)NH)などの有機ヒドラジン化合物を利用できる。
ガス供給管23は、その垂直部分が後述するプラズマ生成空間に設けられている。ガス供給管23には、ガス配管を介して水素ガス供給源から水素(H)ガスが供給される。また、ガス供給管23には、ガス配管を介して重水素ガス供給源から重水素(D)ガスが供給される。ガス配管には、流量制御器及び開閉弁が設けられている。これにより、水素ガス供給源からのHガス及び重水素ガス供給源からのDガスは、ガス配管及びガス供給管23を介してプラズマ生成空間に供給され、プラズマ生成空間においてプラズマ化されて処理容器1内に供給される。
ガス供給管24には、ガス配管を介してパージガス供給源からパージガスが供給される。ガス配管には、流量制御器及び開閉弁が設けられている。これにより、パージガス供給源からのパージガスは、ガス配管及びガス供給管24を介して処理容器1内に供給される。パージガスとしては、例えばアルゴン(Ar)、窒素(N)等の不活性ガスを利用できる。なお、パージガスがパージガス供給源からガス配管及びガス供給管24を介して処理容器1内に供給される場合を説明したが、これに限定されず、パージガスはガス供給管21、22、23から供給されてもよい。
処理容器1の側壁の一部には、プラズマ生成機構30が形成されている。プラズマ生成機構30は、窒化ガスをプラズマ化して窒化のための活性種を生成する。また、プラズマ生成機構30は、Hガスをプラズマ化してHラジカルを生成する。また、プラズマ生成機構30は、Dガスをプラズマ化してDラジカルを生成する。
プラズマ生成機構30は、プラズマ区画壁32と、一対のプラズマ電極33と、給電ライン34と、高周波電源35と、絶縁保護カバー36と、を備える。
プラズマ区画壁32は、処理容器1の外壁に気密に溶接されている。プラズマ区画壁32は、例えば石英により形成される。プラズマ区画壁32は断面凹状をなし、処理容器1の側壁に形成された開口31を覆う。開口31は、ウエハボート5に支持されている全てのウエハWを上下方向にカバーできるように、上下方向に細長く形成される。プラズマ区画壁32により規定されると共に処理容器1内と連通する内側空間、すなわち、プラズマ生成空間には、窒化ガスを吐出するためのガス供給管22及びHガス及びDガスを吐出するためのガス供給管23が配置されている。なお、原料ガスを吐出するためのガス供給管21は、プラズマ生成空間の外の処理容器1の内側壁に沿ったウエハWに近い位置に設けられている。
一対のプラズマ電極33は、それぞれ細長い形状を有し、プラズマ区画壁32の両側の壁の外面に、上下方向に沿って対向配置されている。各プラズマ電極33の下端には、給電ライン34が接続されている。
給電ライン34は、各プラズマ電極33と高周波電源35とを電気的に接続する。図示の例では、給電ライン34は、一端が各プラズマ電極33の短辺の側部である下端に接続されており、他端が高周波電源35と接続されている。
高周波電源35は、各プラズマ電極33の下端に給電ライン34を介して接続され、一対のプラズマ電極33に例えば13.56MHzの高周波電力を供給する。これにより、プラズマ区画壁32により規定されたプラズマ生成空間内に、高周波電力が印加される。ガス供給管22から吐出された窒化ガスは、高周波電力が印加されたプラズマ生成空間内においてプラズマ化され、これにより生成された窒化のための活性種が開口31を介して処理容器1の内部へと供給される。また、ガス供給管23から吐出されたHガス及びDガスは、高周波電力が印加されたプラズマ生成空間内においてプラズマ化され、これにより生成されたHラジカル及びDラジカルが開口31を介して処理容器1の内部へと供給される。
絶縁保護カバー36は、プラズマ区画壁32の外側に、該プラズマ区画壁32を覆うようにして取り付けられている。絶縁保護カバー36の内側部分には、冷媒通路(図示せず)が設けられており、冷媒通路に冷却された窒素(N)ガス等の冷媒を流すことによりプラズマ電極33が冷却される。また、プラズマ電極33と絶縁保護カバー36との間に、プラズマ電極33を覆うようにシールド(図示せず)が設けられていてもよい。シールドは、例えば金属等の良導体により形成され、接地される。
開口31に対向する処理容器1の側壁部分には、処理容器1内を真空排気するための排気口40が設けられている。排気口40は、ウエハボート5に対応して上下に細長く形成されている。処理容器1の排気口40に対応する部分には、排気口40を覆うように断面U字状に成形された排気口カバー部材41が取り付けられている。排気口カバー部材41は、処理容器1の側壁に沿って上方に延びている。排気口カバー部材41の下部には、排気口40を介して処理容器1を排気するための排気管42が接続されている。排気管42には、処理容器1内の圧力を制御する圧力制御バルブ43及び真空ポンプ等を含む排気装置44が接続されており、排気装置44により排気管42を介して処理容器1内が排気される。
また、処理容器1の外周を囲むようにして処理容器1及びその内部のウエハWを加熱する円筒体状の加熱機構50が設けられている。
また、縦型熱処理装置100は、制御部60を有する。制御部60は、例えば縦型熱処理装置100の各部の動作を制御する。制御部60は、例えばコンピュータ等であってよい。また、縦型熱処理装置100の各部の動作を行うコンピュータのプログラムは、記憶媒体に記憶されている。記憶媒体は、例えばフレキシブルディスク、コンパクトディスク、ハードディスク、フラッシュメモリ、DVD等であってよい。
係る縦型熱処理装置100により、一実施形態の膜形成方法によりウエハWに薄膜を形成する方法の一例を説明する。
まず、制御部60は、昇降機構(図示せず)を制御して、多数枚のウエハWを保持したウエハボート5を処理容器1の内部に搬入し、蓋体9により処理容器1の下端の開口を気密に塞ぎ密閉する。
続いて、制御部60は、前述の第1の実施形態から第4の実施形態の膜形成方法のいずれかを実行するように、ガス供給部20、プラズマ生成機構30、圧力制御バルブ43、加熱機構50等を制御する。これにより、ウエハWの上に低不純物濃度のシリコン窒化膜薄膜を形成できる。
〔シミュレーション結果〕
ソフトウェアANSYS Chemkin-Pro 2019 R2を用い、Plasma PSR (Perfectly Stirred Reactor) modelにより、Hプラズマ及びDプラズマに含まれる各粒子のモル分率を算出した。
まず、以下に示すシミュレーション条件Aを用いて、Hプラズマ及びDプラズマに含まれる各粒子のモル分率を算出した。
(シミュレーション条件A)
プラズマ生成空間の体積:1.008×10-5
プラズマ生成空間の圧力:300mTorr(40Pa)
プラズマ処理の際のガス温度:550℃
プラズマ生成空間あたりのガス流量:50.85sccm
プラズマ生成空間あたりのプラズマ電力:0.8474W
図10は、シミュレーションによるHプラズマ及びDプラズマに含まれる各粒子のモル分率の算出結果を示す図である。図10では、左側から順に、電子(E)、プロトン化分子(H 、D )、分子イオン(H 、D )、イオン(H、D)、ラジカル(H、D)及び分子(H、D)のモル分率の算出結果を示す。
図10に示されるように、Dプラズマに含まれるDラジカルの量は、Hプラズマに含まれるHラジカルの量よりも約1.7倍多いことが確認できた。この結果から、ALDサイクル中にDプラズマを導入することにより、ALDサイクルにより形成する膜の改質効果を向上できると考えられる。
次に、シミュレーション条件Aに対して、ガス温度を300~700℃の範囲で変化させたときのHラジカルに対するDラジカルの比(D/H)を評価した。
図11は、D/Hのガス温度依存性のシミュレーション結果を示す図である。図11中、横軸はプラズマ処理の際のガス温度[℃]を示し、縦軸はD/Hを示す。
図11に示されるように、プラズマ処理の際のガス温度が300~700℃のいずれの温度であっても、D/Hが1より大きいことが分かる。この結果から、プラズマ処理の際のガス温度が300~700℃の場合、Dプラズマを用いることで、Hプラズマを用いるよりもプラズマに含まれるラジカルの量が多くなり、膜表面の不純物を除去する効果を高めることができると考えられる。すなわち、Dプラズマを用いることで、Hプラズマを用いるよりも低不純物濃度の薄膜を形成できると考えられる。
また、図11に示されるように、300~700℃の温度範囲において、プラズマ処理の際のガス温度を高くすると、D/Hが高くなることが分かる。この結果から、プラズマ処理の際のガス温度を高くするほど、プラズマに含まれるラジカルにより膜表面の不純物を除去する効果をより高めることができると考えられる。
次に、シミュレーション条件Aに対して、プラズマ生成空間の圧力を300~2100mTorr(40~100Pa)の範囲で変化させたときのD/Hを評価した。
図12は、D/Hの圧力依存性のシミュレーション結果を示す図である。図12中、横軸はプラズマ生成空間の圧力[mTorr]を示し、縦軸はD/Hを示す。
図12に示されるように、プラズマ生成空間の圧力が300~2100mTorrのいずれの圧力であっても、D/Hが1より大きいことが分かる。この結果から、プラズマ生成空間の圧力が300~2100mTorrの場合、Dプラズマを用いることで、Hプラズマを用いるよりもプラズマに含まれるラジカルの量が多くなり、膜表面の不純物を除去する効果を高めることができると考えられる。すなわち、Dプラズマを用いることで、Hプラズマを用いるよりも低不純物濃度の薄膜を形成できると考えられる。
また、図12に示されるように、300~2100mTorrの圧力範囲において、プラズマ生成空間の圧力を低くすると、D/Hが高くなることが分かる。この結果から、プラズマ生成空間の圧力を低くするほど、プラズマに含まれるラジカルにより膜表面の不純物を除去する効果をより高めることができると考えられる。
次に、シミュレーション条件Aに対して、プラズマ生成空間あたりのガス流量を33.9~67.8sccmに変化させたときのD/Hを評価した。
図13は、D/Hのガス流量依存性のシミュレーション結果を示す図である。図13中、横軸はプラズマ生成空間あたりのガス流量[sccm]を示し、縦軸はD/Hを示す。
図13に示されるように、プラズマ生成空間あたりのガス流量が33.9~67.8sccmのいずれのガス流量であっても、D/Hが1より大きいことが分かる。この結果から、プラズマ生成空間あたりのガス流量が33.9~67.8sccmの場合、Dプラズマを用いることで、Hプラズマを用いるよりもプラズマに含まれるラジカルの量が多くなり、膜表面の不純物を除去する効果を高めることができると考えられる。すなわち、Dプラズマを用いることで、Hプラズマを用いるよりも低不純物濃度の薄膜を形成できると考えられる。
また、図13に示されるように、33.9~67.8sccmの流量範囲において、プラズマ生成空間あたりのガス流量を小さくすると、D/Hが高くなることが分かる。この結果から、プラズマ生成空間あたりのガス流量を小さくするほど、プラズマに含まれるラジカルにより膜表面の不純物を除去する効果をより高めることができると考えられる。
今回開示された実施形態はすべての点で例示であって制限的なものではないと考えられるべきである。上記の実施形態は、添付の請求の範囲及びその趣旨を逸脱することなく、様々な形態で省略、置換、変更されてもよい。
60 制御部
100 縦型熱処理装置
W ウエハ

Claims (11)

  1. 基板に原料ガスを供給する工程と、
    前記基板に前記原料ガスと反応する反応ガスを供給する工程と、
    前記基板を重水素プラズマで処理する工程と、
    前記重水素プラズマで処理する工程の前又は後に行われる工程であって、前記基板を水素プラズマで処理する工程と、
    を含む複数回のサイクルを実行することで薄膜を形成する、
    膜形成方法。
  2. 前記重水素プラズマで処理する工程は、前記原料ガスを供給する工程の後であって、前記反応ガスを供給する工程の前に実行される、
    請求項1に記載の膜形成方法。
  3. 前記重水素プラズマで処理する工程は、前記反応ガスを供給する工程の後であって、前記原料ガスを供給する工程の前に実行される、
    請求項2に記載の膜形成方法。
  4. 前記水素プラズマで処理する工程は、前記重水素プラズマで処理する工程の前に行われる、
    請求項1乃至3のいずれか一項に記載の膜形成方法。
  5. 前記水素プラズマで処理する工程は、前記重水素プラズマで処理する工程の後に行われる、
    請求項1乃至3のいずれか一項に記載の膜形成方法。
  6. 前記反応ガスを供給する工程では、前記反応ガスを熱分解させることにより、又は前記反応ガスをプラズマにより活性化させることにより、前記原料ガスと前記反応ガスとを反応させる、
    請求項1乃至のいずれか一項に記載の膜形成方法。
  7. 前記原料ガスは、シリコン原料ガスであり、
    前記反応ガスは、窒化ガスである、
    請求項1乃至のいずれか一項に記載の膜形成方法。
  8. 前記シリコン原料ガスは、ハロゲン元素を含有するシリコン化合物である、
    請求項に記載の膜形成方法。
  9. 前記ハロゲン元素は、Cl、I、Brの少なくともいずれかである、
    請求項に記載の膜形成方法。
  10. 前記シリコン原料ガスは、ジクロロシランガスを含む
    請求項に記載の膜形成方法。
  11. 膜形成装置と制御部とを有し、
    前記制御部は、
    基板に原料ガスを供給する工程と、
    前記基板に前記原料ガスと反応する反応ガスを供給する工程と、
    前記基板を重水素プラズマで処理する工程と、
    前記重水素プラズマで処理する工程の前又は後に行われる工程であって、前記基板を水素プラズマで処理する工程と、
    を含む複数回のサイクルを実行することで前記基板の上に薄膜を形成するよう前記膜形成装置を制御するように構成される、
    システム。
JP2019236787A 2019-12-26 2019-12-26 膜形成方法及びシステム Active JP7321085B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2019236787A JP7321085B2 (ja) 2019-12-26 2019-12-26 膜形成方法及びシステム
TW109143999A TWI826756B (zh) 2019-12-26 2020-12-14 膜形成方法及膜形成系統
CN202011477873.3A CN113053726A (zh) 2019-12-26 2020-12-15 膜形成方法和系统
KR1020200176301A KR20210083181A (ko) 2019-12-26 2020-12-16 막 형성 방법 및 시스템
US17/125,577 US20210198787A1 (en) 2019-12-26 2020-12-17 Film forming method and system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2019236787A JP7321085B2 (ja) 2019-12-26 2019-12-26 膜形成方法及びシステム

Publications (2)

Publication Number Publication Date
JP2021106216A JP2021106216A (ja) 2021-07-26
JP7321085B2 true JP7321085B2 (ja) 2023-08-04

Family

ID=76508028

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019236787A Active JP7321085B2 (ja) 2019-12-26 2019-12-26 膜形成方法及びシステム

Country Status (5)

Country Link
US (1) US20210198787A1 (ja)
JP (1) JP7321085B2 (ja)
KR (1) KR20210083181A (ja)
CN (1) CN113053726A (ja)
TW (1) TWI826756B (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7209568B2 (ja) 2019-03-27 2023-01-20 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP7455013B2 (ja) 2020-07-10 2024-03-25 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN113025991B (zh) * 2021-02-26 2022-07-22 长鑫存储技术有限公司 半导体结构的制作方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003347543A (ja) 2002-05-29 2003-12-05 Toshiba Corp 半導体装置及びその製造方法
JP2007258662A (ja) 2005-08-12 2007-10-04 Canon Inc 表面処理方法及び半導体装置の製造方法並びに容量素子の製造方法
JP2013093551A (ja) 2011-10-07 2013-05-16 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP2016063232A (ja) 2014-09-17 2016-04-25 エーエスエム アイピー ホールディング ビー.ブイ. SiNの堆積
JP2017005095A (ja) 2015-06-10 2017-01-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP2018157035A (ja) 2017-03-16 2018-10-04 東芝メモリ株式会社 半導体装置、およびその製造方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7582562B2 (en) * 2005-10-06 2009-09-01 Micron Technology, Inc. Atomic layer deposition methods
US9564312B2 (en) * 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003347543A (ja) 2002-05-29 2003-12-05 Toshiba Corp 半導体装置及びその製造方法
JP2007258662A (ja) 2005-08-12 2007-10-04 Canon Inc 表面処理方法及び半導体装置の製造方法並びに容量素子の製造方法
JP2013093551A (ja) 2011-10-07 2013-05-16 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP2016063232A (ja) 2014-09-17 2016-04-25 エーエスエム アイピー ホールディング ビー.ブイ. SiNの堆積
JP2017005095A (ja) 2015-06-10 2017-01-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP2018157035A (ja) 2017-03-16 2018-10-04 東芝メモリ株式会社 半導体装置、およびその製造方法

Also Published As

Publication number Publication date
KR20210083181A (ko) 2021-07-06
TW202134469A (zh) 2021-09-16
US20210198787A1 (en) 2021-07-01
JP2021106216A (ja) 2021-07-26
TWI826756B (zh) 2023-12-21
CN113053726A (zh) 2021-06-29

Similar Documents

Publication Publication Date Title
JP5346904B2 (ja) 縦型成膜装置およびその使用方法
KR102158903B1 (ko) 질화막의 형성 방법 및 형성 장치
JP5190307B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4179311B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP7321085B2 (ja) 膜形成方法及びシステム
CN108930026B (zh) 氮化硅膜的成膜方法、成膜装置以及存储介质
JP6656103B2 (ja) 窒化膜の成膜方法および成膜装置
JP7209568B2 (ja) 基板処理方法及び基板処理装置
JP2007189173A (ja) 成膜方法、成膜装置及び記憶媒体
JP2009170557A (ja) 成膜方法及び成膜装置
KR101555572B1 (ko) 성막 방법 및 성막 장치
JP2007281082A (ja) 成膜方法及び成膜装置並びに記憶媒体
JP2017022276A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP7278123B2 (ja) 処理方法
JP7195241B2 (ja) 窒化膜の成膜方法、および窒化膜の成膜装置
US20220238335A1 (en) Method for forming film and processing apparatus
JP7500454B2 (ja) 成膜方法及び処理装置
US20220223403A1 (en) Deposition method and plasma processing apparatus
US11380538B2 (en) Method of forming nitride film and apparatus for forming nitride film
JP2022120422A (ja) 成膜方法
KR20220147661A (ko) 기판 처리 방법 및 기판 처리 장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220621

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230523

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230524

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230615

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230627

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230725

R150 Certificate of patent or registration of utility model

Ref document number: 7321085

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150