JP2022180577A - 半導体デバイス製造における酸化スズ膜 - Google Patents
半導体デバイス製造における酸化スズ膜 Download PDFInfo
- Publication number
- JP2022180577A JP2022180577A JP2022154384A JP2022154384A JP2022180577A JP 2022180577 A JP2022180577 A JP 2022180577A JP 2022154384 A JP2022154384 A JP 2022154384A JP 2022154384 A JP2022154384 A JP 2022154384A JP 2022180577 A JP2022180577 A JP 2022180577A
- Authority
- JP
- Japan
- Prior art keywords
- tin oxide
- layer
- semiconductor substrate
- etching
- silicon
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 229910001887 tin oxide Inorganic materials 0.000 title claims abstract description 543
- XOLBLPGZBRYERU-UHFFFAOYSA-N tin dioxide Chemical compound O=[Sn]=O XOLBLPGZBRYERU-UHFFFAOYSA-N 0.000 title claims abstract description 533
- 239000004065 semiconductor Substances 0.000 title claims abstract description 203
- 238000004519 manufacturing process Methods 0.000 title abstract description 24
- 238000000034 method Methods 0.000 claims abstract description 398
- 239000000463 material Substances 0.000 claims abstract description 328
- 239000000758 substrate Substances 0.000 claims abstract description 328
- 238000002161 passivation Methods 0.000 claims abstract description 94
- 239000001257 hydrogen Substances 0.000 claims abstract description 88
- 229910052739 hydrogen Inorganic materials 0.000 claims abstract description 88
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims abstract description 85
- 239000000460 chlorine Substances 0.000 claims abstract description 50
- 125000006850 spacer group Chemical group 0.000 claims abstract description 39
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims abstract description 37
- 229910052801 chlorine Inorganic materials 0.000 claims abstract description 37
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims abstract description 30
- 238000005530 etching Methods 0.000 claims description 190
- 230000008569 process Effects 0.000 claims description 184
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 123
- 229910052799 carbon Inorganic materials 0.000 claims description 123
- 238000012545 processing Methods 0.000 claims description 108
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 97
- 229910052710 silicon Inorganic materials 0.000 claims description 95
- 239000010703 silicon Substances 0.000 claims description 95
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 92
- 229920002120 photoresistant polymer Polymers 0.000 claims description 90
- 239000007789 gas Substances 0.000 claims description 83
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 63
- 238000000151 deposition Methods 0.000 claims description 57
- 239000000376 reactant Substances 0.000 claims description 55
- 229910052751 metal Inorganic materials 0.000 claims description 42
- 239000002184 metal Substances 0.000 claims description 42
- -1 tin nitride Chemical class 0.000 claims description 39
- 239000002210 silicon-based material Substances 0.000 claims description 37
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 claims description 37
- 239000001301 oxygen Substances 0.000 claims description 36
- 229910052760 oxygen Inorganic materials 0.000 claims description 36
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 35
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 claims description 33
- 229910044991 metal oxide Inorganic materials 0.000 claims description 32
- 150000004706 metal oxides Chemical class 0.000 claims description 32
- 230000008021 deposition Effects 0.000 claims description 31
- KXCAEQNNTZANTK-UHFFFAOYSA-N stannane Chemical compound [SnH4] KXCAEQNNTZANTK-UHFFFAOYSA-N 0.000 claims description 25
- 230000015572 biosynthetic process Effects 0.000 claims description 24
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 claims description 24
- 229930195733 hydrocarbon Natural products 0.000 claims description 24
- 150000002430 hydrocarbons Chemical class 0.000 claims description 24
- 229910000083 tin tetrahydride Inorganic materials 0.000 claims description 24
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 claims description 22
- 238000000059 patterning Methods 0.000 claims description 21
- 229910015844 BCl3 Inorganic materials 0.000 claims description 19
- FAQYAMRNWDIXMY-UHFFFAOYSA-N trichloroborane Chemical compound ClB(Cl)Cl FAQYAMRNWDIXMY-UHFFFAOYSA-N 0.000 claims description 19
- 235000012239 silicon dioxide Nutrition 0.000 claims description 18
- 239000004215 Carbon black (E152) Substances 0.000 claims description 17
- 239000000377 silicon dioxide Substances 0.000 claims description 17
- 229910021417 amorphous silicon Inorganic materials 0.000 claims description 16
- 229910052681 coesite Inorganic materials 0.000 claims description 16
- 229910052906 cristobalite Inorganic materials 0.000 claims description 16
- 150000004767 nitrides Chemical class 0.000 claims description 16
- 229910052682 stishovite Inorganic materials 0.000 claims description 16
- 229910052905 tridymite Inorganic materials 0.000 claims description 16
- 150000002739 metals Chemical class 0.000 claims description 15
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 15
- 229910052721 tungsten Inorganic materials 0.000 claims description 15
- 239000010937 tungsten Substances 0.000 claims description 15
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 claims description 11
- 229910001928 zirconium oxide Inorganic materials 0.000 claims description 11
- 229920000642 polymer Polymers 0.000 claims description 10
- HPGGPRDJHPYFRM-UHFFFAOYSA-J tin(iv) chloride Chemical compound Cl[Sn](Cl)(Cl)Cl HPGGPRDJHPYFRM-UHFFFAOYSA-J 0.000 claims description 9
- QGLKJKCYBOYXKC-UHFFFAOYSA-N nonaoxidotritungsten Chemical compound O=[W]1(=O)O[W](=O)(=O)O[W](=O)(=O)O1 QGLKJKCYBOYXKC-UHFFFAOYSA-N 0.000 claims description 8
- 229910001930 tungsten oxide Inorganic materials 0.000 claims description 8
- 238000011049 filling Methods 0.000 claims description 7
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 7
- 230000001590 oxidative effect Effects 0.000 claims description 5
- 239000000654 additive Substances 0.000 claims description 4
- 230000000996 additive effect Effects 0.000 claims description 4
- 239000013077 target material Substances 0.000 claims description 4
- 150000001804 chlorine Chemical class 0.000 claims description 3
- 150000002431 hydrogen Chemical class 0.000 claims description 3
- 238000003763 carbonization Methods 0.000 claims description 2
- 239000000126 substance Substances 0.000 abstract description 13
- 238000001312 dry etching Methods 0.000 abstract 1
- 239000010410 layer Substances 0.000 description 356
- 210000002381 plasma Anatomy 0.000 description 165
- 235000012431 wafers Nutrition 0.000 description 52
- 238000000231 atomic layer deposition Methods 0.000 description 39
- 239000002243 precursor Substances 0.000 description 25
- 229910052581 Si3N4 Inorganic materials 0.000 description 24
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 24
- 239000011261 inert gas Substances 0.000 description 22
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 18
- 229910052731 fluorine Inorganic materials 0.000 description 18
- 239000011737 fluorine Substances 0.000 description 18
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 17
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 15
- 238000005229 chemical vapour deposition Methods 0.000 description 14
- 150000001875 compounds Chemical class 0.000 description 14
- 229910010271 silicon carbide Inorganic materials 0.000 description 14
- MEOSMFUUJVIIKB-UHFFFAOYSA-N [W].[C] Chemical compound [W].[C] MEOSMFUUJVIIKB-UHFFFAOYSA-N 0.000 description 13
- 238000010586 diagram Methods 0.000 description 12
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 12
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 12
- 239000000203 mixture Substances 0.000 description 10
- 238000012546 transfer Methods 0.000 description 10
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 9
- 229910052786 argon Inorganic materials 0.000 description 9
- 238000006243 chemical reaction Methods 0.000 description 9
- 239000001307 helium Substances 0.000 description 9
- 229910052734 helium Inorganic materials 0.000 description 9
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 9
- 238000001020 plasma etching Methods 0.000 description 9
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 8
- 229910000449 hafnium oxide Inorganic materials 0.000 description 8
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 8
- 229910003481 amorphous carbon Inorganic materials 0.000 description 7
- 150000002500 ions Chemical class 0.000 description 7
- 229910001868 water Inorganic materials 0.000 description 7
- 229910006404 SnO 2 Inorganic materials 0.000 description 6
- 239000011521 glass Substances 0.000 description 6
- 238000005240 physical vapour deposition Methods 0.000 description 6
- 238000010926 purge Methods 0.000 description 6
- 238000004544 sputter deposition Methods 0.000 description 6
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 5
- 229910004298 SiO 2 Inorganic materials 0.000 description 5
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 5
- 239000012159 carrier gas Substances 0.000 description 5
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 5
- 230000002441 reversible effect Effects 0.000 description 5
- 229910001936 tantalum oxide Inorganic materials 0.000 description 5
- 230000032258 transport Effects 0.000 description 5
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 description 4
- 229910021627 Tin(IV) chloride Inorganic materials 0.000 description 4
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 4
- 238000009616 inductively coupled plasma Methods 0.000 description 4
- 239000011229 interlayer Substances 0.000 description 4
- 238000001459 lithography Methods 0.000 description 4
- 229910052757 nitrogen Inorganic materials 0.000 description 4
- 229920000620 organic polymer Polymers 0.000 description 4
- 239000011295 pitch Substances 0.000 description 4
- LTSUHJWLSNQKIP-UHFFFAOYSA-J tin(iv) bromide Chemical compound Br[Sn](Br)(Br)Br LTSUHJWLSNQKIP-UHFFFAOYSA-J 0.000 description 4
- 238000009835 boiling Methods 0.000 description 3
- 230000000295 complement effect Effects 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- WHXTVQNIFGXMSB-UHFFFAOYSA-N n-methyl-n-[tris(dimethylamino)stannyl]methanamine Chemical compound CN(C)[Sn](N(C)C)(N(C)C)N(C)C WHXTVQNIFGXMSB-UHFFFAOYSA-N 0.000 description 3
- 239000002245 particle Substances 0.000 description 3
- 238000009832 plasma treatment Methods 0.000 description 3
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 3
- YUOWTJMRMWQJDA-UHFFFAOYSA-J tin(iv) fluoride Chemical compound [F-].[F-].[F-].[F-].[Sn+4] YUOWTJMRMWQJDA-UHFFFAOYSA-J 0.000 description 3
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 2
- 238000003848 UV Light-Curing Methods 0.000 description 2
- 230000009286 beneficial effect Effects 0.000 description 2
- 229910002091 carbon monoxide Inorganic materials 0.000 description 2
- 239000003575 carbonaceous material Substances 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 238000001723 curing Methods 0.000 description 2
- 239000002019 doping agent Substances 0.000 description 2
- 239000012636 effector Substances 0.000 description 2
- 230000005672 electromagnetic field Effects 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 150000002222 fluorine compounds Chemical class 0.000 description 2
- 238000002347 injection Methods 0.000 description 2
- 239000007924 injection Substances 0.000 description 2
- 238000005468 ion implantation Methods 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 150000001247 metal acetylides Chemical class 0.000 description 2
- 238000000678 plasma activation Methods 0.000 description 2
- 238000011112 process operation Methods 0.000 description 2
- 238000003672 processing method Methods 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 239000012713 reactive precursor Substances 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- ABTOQLMXBSRXSM-UHFFFAOYSA-N silicon tetrafluoride Chemical compound F[Si](F)(F)F ABTOQLMXBSRXSM-UHFFFAOYSA-N 0.000 description 2
- 229910001220 stainless steel Inorganic materials 0.000 description 2
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- CKEPNQBBRACRLR-UHFFFAOYSA-N C(C)N(C)[Sn](N(CC)C)(N(CC)C)N(CC)C Chemical compound C(C)N(C)[Sn](N(CC)C)(N(CC)C)N(CC)C CKEPNQBBRACRLR-UHFFFAOYSA-N 0.000 description 1
- GEIAQOFPUVMAGM-UHFFFAOYSA-N Oxozirconium Chemical compound [Zr]=O GEIAQOFPUVMAGM-UHFFFAOYSA-N 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- 229910008284 Si—F Inorganic materials 0.000 description 1
- 229910021623 Tin(IV) bromide Inorganic materials 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- UOBPHQJGWSVXFS-UHFFFAOYSA-N [O].[F] Chemical compound [O].[F] UOBPHQJGWSVXFS-UHFFFAOYSA-N 0.000 description 1
- 238000001994 activation Methods 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 1
- 229910052794 bromium Inorganic materials 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000003153 chemical reaction reagent Substances 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 150000001805 chlorine compounds Chemical class 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 238000009833 condensation Methods 0.000 description 1
- 230000005494 condensation Effects 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 239000008367 deionised water Substances 0.000 description 1
- 229910021641 deionized water Inorganic materials 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 230000007613 environmental effect Effects 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 150000004820 halides Chemical class 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 239000002784 hot electron Substances 0.000 description 1
- 150000004678 hydrides Chemical class 0.000 description 1
- AMGQUBHHOARCQH-UHFFFAOYSA-N indium;oxotin Chemical compound [In].[Sn]=O AMGQUBHHOARCQH-UHFFFAOYSA-N 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 229910052987 metal hydride Inorganic materials 0.000 description 1
- 150000004681 metal hydrides Chemical class 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 1
- 238000005121 nitriding Methods 0.000 description 1
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- JMOHEPRYPIIZQU-UHFFFAOYSA-N oxygen(2-);tantalum(2+) Chemical compound [O-2].[Ta+2] JMOHEPRYPIIZQU-UHFFFAOYSA-N 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000009428 plumbing Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 238000012805 post-processing Methods 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 230000002829 reductive effect Effects 0.000 description 1
- 230000003252 repetitive effect Effects 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 238000012552 review Methods 0.000 description 1
- 239000000523 sample Substances 0.000 description 1
- 238000005389 semiconductor device fabrication Methods 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 150000004756 silanes Chemical class 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical class [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 239000000243 solution Substances 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- QHGNHLZPVBIIPX-UHFFFAOYSA-N tin(ii) oxide Chemical class [Sn]=O QHGNHLZPVBIIPX-UHFFFAOYSA-N 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 238000012876 topography Methods 0.000 description 1
- 230000007704 transition Effects 0.000 description 1
- 238000011144 upstream manufacturing Methods 0.000 description 1
- 238000009834 vaporization Methods 0.000 description 1
- 230000008016 vaporization Effects 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0332—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32623—Mechanical discharge control means
- H01J37/32651—Shields, e.g. dark space shields, Faraday shields
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02565—Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
- H01L21/31122—Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
- H01L21/32137—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/34—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
- H01L21/46—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
- H01L21/461—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/465—Chemical or electrical treatment, e.g. electrolytic etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/34—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
- H01L21/46—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
- H01L21/461—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/465—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/467—Chemical or electrical treatment, e.g. electrolytic etching using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67167—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/18—Vacuum control means
- H01J2237/186—Valves
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/332—Coating
- H01J2237/3321—CVD [Chemical Vapor Deposition]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/321—Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
- H01J37/3211—Antennas, e.g. particular shapes of coils
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/68—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
- H01L21/6833—Details of electrostatic chucks
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Formation Of Insulating Films (AREA)
- Semiconductor Memories (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
Abstract
Description
本出願は、2017年2月17日に出願され発明者としてTanらが挙げられている「Tin Oxide Films in Semiconductor Device Manufacturing」と題する米国仮特許出願第62/460,573号と、2017年3月31日に出願され発明者としてTanらが挙げられている「Tin Oxide Films in Semiconductor Device Manufacturing」と題する米国仮特許出願第62/479,709号と、2018年2月12日に出願され発明者としてYuらが挙げられている「Tin Oxide Films in Semiconductor Device Manufacturing」と題する米国特許出願公開第15/894,635号との利益を主張し、その全体が参照により本明細書に組み込まれる。
いくつかの実施形態では、選択的な酸化スズのエッチングは水素ベースのエッチングを使用して実行される。水素ベースのエッチングは、酸化スズを水素含有反応物に暴露させ(典型的には反応物のプラズマ活性化を伴い)、それにより、水素含有反応物が酸化スズを揮発性の水素化スズに変換することを伴う。SnH4は-52℃の沸点を有し、プロセスチャンバから容易に除去することができる。水素含有反応物の例には、H2、HBr、NH3、H2O、および炭化水素(CH4など)が含まれる。水素含有反応物の混合物も使用できる。水素ベースのエッチングは、水素含有反応物、および任意選択的に不活性ガスを含有するプロセスガス中でプラズマを形成させ、形成されたプラズマに基板を接触させることを伴う。不活性ガスの例には、窒素(N2)、ヘリウム(He)、アルゴン(Ar)、ネオン(Ne)、およびキセノン(Xe)が含まれる。いくつかの実施形態では、H2が好ましい水素含有反応物であり、いくつかの実施形態では、体積で少なくとも50%、例えば少なくとも80%のH2を含有するガス中でプラズマを形成することが好ましい。他の実施形態では、水素含有反応物としてHBrが使用される。例えば、HBrと不活性ガスとから本質的になるプロセスガス、例えば、HBr、N2およびアルゴンの混合物、の中で形成されたプラズマを用いて、酸化スズを選択的にエッチングできる。水素ベースのエッチングは、典型的には、酸素含有化学種およびフッ素含有化学種を含まないプロセスガスを用いて実施される。いくつかの実施形態では、プロセスガスは、本質的に1つ以上の水素含有反応物、および任意選択的に不活性ガスからなる。
i.H2:25~750sccm
ii.Cl2:0~500sccm(例えば、5~200sccm)
iii.He:0~500sccm(例えば、5~100sccm)
iv.CH4:0~500sccm(例えば、5~100sccm)
である。
i.H2:100~300sccm
ii.Cl2:0~200sccm(例えば、5~100sccm)
iii.He:0~100sccm(例えば、5~50sccm)
iv.CH4:0~100sccm(例えば、5~50sccm)
である。
いくつかの実施形態では、選択的な酸化スズのエッチングは塩素ベースのエッチングを使用して実行される。塩素ベースのエッチングは、酸化スズを塩素含有反応物に暴露させ(典型的には反応物のプラズマ活性化を伴い)、それにより、塩素含有反応物が酸化スズを揮発性の塩化スズに変換することを伴う。SnCl4は114℃の沸点を有し、プロセスチャンバから容易に除去することができる。好適な塩素含有反応物の例には、Cl2およびBCl3が含まれる。一実施形態では、Cl2とBCl3の混合物が使用される。一実現形態では、塩素ベースのエッチングは、塩素含有反応物、および任意選択的に不活性ガスを含有するプロセスガス中でプラズマを形成させ、形成されたプラズマに基板を接触させることを伴う。塩素ベースのエッチングは、以下の材料、すなわちケイ素含有化合物、例えばSiO2、SiN、SiC、SiOC、SiCN、SiON、SiCNO、スピンオングラス、炭素、およびフォトレジストの存在下で、酸化スズを選択的に除去できるが、ケイ素含有材料に対する選択性は、典型的には水素ベースのエッチングを用いた場合よりも低い。いくつかの実施形態では半導体基板が提供され、半導体基板は、露出された酸化スズ層、およびこれら材料のうちのいずれかの層を含む。次に、塩素ベースのエッチングを使用して、これら材料の存在下で酸化スズが選択的にエッチングされる。これら材料は、このエッチングの前に露出されていてよく、または酸化スズのエッチング中に露出されてよい。一実現形態では、BCl3/Cl2エッチングを使用して、これら材料のうちのいずれかの存在下で酸化スズが選択的にエッチングされる。一実現形態では、エッチング方法は、5~100sccmの流量でBCl3を流し、50~500sccmの流量でCl2を流し、100~500sccmの流量で不活性ガス(例えばヘリウム)を流し、0.14~0.71W/cm2の電力密度に対応する100~500W(300mmウェハ1枚当たり)のRF電力を使用して、このプロセスガス中でプラズマを形成することを含む。このエッチングは、基板バイアスの有無に関わらず実施できる。例えば、基板バイアスは、0~100Vb、例えば10~100Vbであってよい。このプロセスは、100℃未満の温度、5~50mTorrの圧力で実行できる。
いくつかの実施形態では、SiO2、SiN、SiC、SiOC、SiCN、SiON、SiCNO、スピンオングラスなどのケイ素含有化合物が、フルオロカーボンベースのエッチングを使用して、酸化スズの存在下で選択的にエッチングされる。フルオロカーボンベースのエッチングは、ケイ素含有化合物をプラズマ活性化フルオロカーボン(CxFy)に暴露させ、それにより、Si-F結合を含有する揮発性化合物に変換させることを伴う。好適なフルオロカーボン反応物の例には、CF4、C2F6などが含まれる。一実現形態では、フルオロカーボンベースのエッチングは、フルオロカーボン、および任意選択的に不活性ガスを含有するプロセスガス中でプラズマを形成させ、形成されたプラズマに基板を接触させることを伴う。フルオロカーボンエッチングは、酸化スズの存在下でケイ素含有化合物を選択的に除去できる。いくつかの実施形態では半導体基板が提供され、半導体基板は、ケイ素含有化合物の露出層、および酸化スズの層を含む。次に、基板がフルオロカーボンプラズマと接触させられ、酸化スズの存在下でケイ素含有化合物が選択的にエッチングされる。酸化スズは、このエッチングの前に露出されていてよく、またはエッチング中に露出されてよい。フルオロカーボンベースのエッチングは、フッ素ベースのエッチングの一種である。
いくつかの実施形態では、SiO2、SiN、SiC、SiOC、SiCN、SiON、SiCNO、スピンオングラスなどの元素状ケイ素およびケイ素含有化合物が、フッ素ベースのエッチングを使用して、酸化スズの存在下で選択的にエッチングされる。フッ素ベースのエッチングは、ケイ素含有材料を、いくつかの実施形態ではプラズマ活性化されたフッ素含有試剤(例えば、NF3、SF6、またはフルオロカーボン)に暴露させ、ケイ素含有材料を揮発性フッ化ケイ素に変換することを含む。しかし、酸化スズは揮発性フッ化物を形成せず、従って、この化学物質では実質的にエッチングされない。ケイ素含有材料に加えて、酸化チタン、タングステン、およびタングステン炭素は、フッ素ベースのエッチングを使用して酸化スズの存在下で選択的にエッチングできる。フッ素ベースのエッチングは、一実現形態では、フッ素含有反応物(例えば、NF3)、および任意選択的に不活性ガスを含有するプロセスガス中でプラズマを形成させ、形成されたプラズマと基板を接触させることを伴う。フッ素ベースのエッチングは、酸化スズの存在下でケイ素含有化合物および元素状ケイ素を選択的に除去できる。いくつかの実施形態では半導体基板が提供され、半導体基板は、ケイ素含有化合物の露出層および/または元素状ケイ素(Si)の層、ならびに酸化スズの層を含む。次に、基板がプラズマ中のフッ素含有反応物と接触させられ、酸化スズの存在下でケイ素含有化合物および/またはケイ素が選択的にエッチングされる。酸化スズは、このエッチングの前に露出されていてよく、またはエッチング中に露出されてよい。
いくつかの実施形態では、元素状炭素、炭素含有化合物、ポリマー、およびフォトレジストからなる群から選択される1つ以上の材料が、酸素ベースのエッチングを使用して、酸化スズの存在下で選択的にエッチングされる。酸素ベースのエッチングは、上記の材料を、いくつかの実施形態ではプラズマ活性化された、酸素含有試剤(例えば、O2、O3、SO2、またはCO2)に暴露させ、この材料を炭素-酸素結合(例えば、COまたはCO2)を含有する揮発性生成物に変換することを含む。酸素ベースのエッチングは、一実現形態では、酸素含有反応物(例えば、O2)、および任意選択的に不活性ガスを含有するプロセスガス中でプラズマを形成させ、形成されたプラズマと基板を接触させることを伴う。他の実施形態では、プラズマの非存在下でエッチングが生じ得る。酸素ベースのエッチングは、酸化スズの存在下で、炭素(例えば、非晶質またはダイヤモンド状炭素)、炭素含有化合物、フォトレジストを選択的に除去できる。いくつかの実施形態では半導体基板が提供され、半導体基板は、炭素、炭素含有化合物、およびフォトレジストからなる群から選択される1つ以上の材料の露出層、および酸化スズ層を含む。次に、基板を酸素含有反応物(任意選択的にプラズマ中で活性化された)と接触させて、炭素含有材料を揮発性のCOまたはCO2に変換し、それにより、炭素含有材料を酸化スズの存在下で選択的にエッチングする。酸化スズは、このエッチングの前に露出されていてよく、またはエッチング中に露出されてよい。
本明細書で参照する材料は、CVD(PECVDを含む)、ALD(PEALDを含む)、PVD(例えば、金属および金属酸化物の堆積用)、スピンオン法(例えば、炭素および一部の誘電体の堆積用)などの、様々な堆積法を用いて堆積させることができる。コンフォーマルな堆積が必要な場合は、典型的にはALD法が好ましい。
いくつかの実施形態では、酸化スズ層がスペーサとして使用される。酸化スズスペーサの使用は、処理の異なる段階における半導体基板の概略的断面図を提供する図1A~図1Fを参照して表される。図2は、これらの方法の実施形態のプロセスフロー図を示す。
いくつかの実施形態では、酸化スズ膜がハードマスクとして使用される。酸化スズハードマスクは、底部に露出された材料がある凹状フィーチャを有する基板を形成するようにパターン形成することができる。そのとき、基板を酸化スズハードマスクの存在下で処理できる。いくつかの実施形態では、処理は、凹状フィーチャの底部に露出された材料をエッチングすることを含む。他の実施形態では、処理は、凹状フィーチャの中に材料を堆積させることを伴ってよい。他の実施形態では、処理は、凹状フィーチャの底部に露出された材料を化学的に修正することを伴ってよい。
別のハードマスクの実現形態では、炭素層または別の材料のパターニング用の中間ハードマスク(中間層)として、酸化スズが使用される。一実施形態では基板が提供され、基板は、炭素含有層上(例えば、非晶質炭素層上)に形成された酸化スズのパターン形成された層を含み、基板は複数の凹状フィーチャを含み、凹状フィーチャは底部に、露出された炭素含有材料を有する。次に、露出された炭素含有材料を酸化スズの存在下で選択的にエッチングして、炭素含有層内に凹状フィーチャを形成する。好適な選択エッチング化学物質は、水素ベースのエッチング化学物質(例えば、プラズマ中のH2、およびプラズマ中のHBr)、および塩素ベースのエッチング化学物質(例えば、プラズマ中のBCl3および/またはCl2)を含む。好適なプロセスシーケンスの1つが、図5D~図5Gに示す基板の断面図によって表される。図5Dに示す基板は、副層51(例えば、非晶質ケイ素、または本明細書に記載のいずれかのターゲット層)、副層51上に存在する炭素含有材料(例えば、非晶質炭素)のブランケット層53、および炭素含有層53の上に存在するブランケット酸化スズ層55、を含む。基板は、酸化スズ層55上のパターン形成されたフォトレジスト層57、およびフォトレジスト57と酸化スズ層55との間の下地層56を更に含み、下地層は、例えば、スピンオングラスであってよい。下地層56は、基板の表面上のパターン形成されたフォトレジスト層57に形成された凹状フィーチャの底部で露出されている。フォトレジストのパターンは、例えばフルオロカーボンベースのプラズマエッチングによって、フォトレジストの存在下で下地層を選択的にエッチングすることにより、下地層56に転写される。図5Eに示すように、エッチングにより、凹状フィーチャの底部の酸化スズ層55が露出される。次に、好ましくは下地層材料に対して選択的であるエッチングを使用して、酸化スズがパターン形成される。例えば、酸化スズは、水素ベースのエッチングまたは塩素ベースのエッチングを使用してエッチングされてよい。図5Fに示す結果として得られる構造では、パターンはフォトレジストから酸化スズ層に転写されており、炭素含有層53は凹状フィーチャの底部で露出されている。次に、プロセスは、露出された炭素含有層53をエッチングすることにより続く。好ましくは、酸化スズに選択的な化学物質が使用される。例えば、露出された炭素含有層53は、酸素ベースのエッチングによりエッチングされてよい(例えば、炭素は、酸素含有ガス中で形成されたプラズマによりエッチングされ得る)。残っているフォトレジストもこのステップで除去されてよい。このエッチング後に得られる構造を図5Gに示す。プロセスは更に、酸化スズ55の除去、およびその後の露出された副層51の処理が続いてよい。
いくつかの実施形態では、酸化スズは、様々なリバーストーンハードマスク用途で使用される。1つの例示的なプロセスフローを図8に示し、図7A~図7Cの基板の概略的断面図によって表される。プロセスは801において、露出されたパターン形成されたアッシング可能層と複数の凹状フィーチャとを有する基板を提供することにより開始される。アッシング可能材料の例には、非晶質炭素、ダイヤモンド状炭素、フォトレジスト、および有機ポリマーなどの炭素含有材料が含まれ、ポリマーは非ドープであるか、または金属もしくは金属酸化物でドープされていてよい。アッシング可能材料のパターン形成された層は、例えば、アッシング可能材料のブランケット層を堆積し(例えば、PECVDまたはスピンオン法により)、続いてフォトリソグラフィパターン形成することにより形成できる。図7Aは、副層701(例えば、Si、SiN、金属窒化物、または本明細書に記載の任意のターゲット材料または副層材料)上に存在するアッシング可能材料703のパターン形成された層を有する基板を示す。基板上には複数の凹状フィーチャがあり、層701は凹状フィーチャの底部で露出されている。次に、作業803において、基板上の凹状フィーチャを酸化スズで充填する(例えば、CVDを使用して)。このステップでは、典型的には、アッシング可能材料703の層上にオーバーバーデンも形成される。得られた構造を図7Bに示し、堆積された酸化スズ705が、アッシング可能材料703間の間隙を充填しオーバーバーデンを形成している。次いで、例えば、化学的機械的研磨(CMP)作業またはバルクプラズマエッチング(例えば、水素ベースおよび/または塩素ベースのプラズマエッチングを使用して)によってオーバーバーデンを除去して、アッシング可能材料703を露出させることができる。平坦化の後に、作業805において、酸化スズ材料を実質的に除去することなく、アッシング可能材料を除去し(例えば、酸化スズの少なくとも90%が残る)、それにより図7Cに示すように酸化スズ705の相補的なパターンを形成する。
本明細書に記載のエッチング方法は、様々な装置で実施することができる。好適な装置は、エッチングプロセスチャンバと、エッチング中に基板を所定位置に保持するように構成された、エッチングプロセスチャンバ内の基板ホルダと、プロセスガス中でプラズマを生成するように構成されたプラズマ生成機構と、を含む。
本明細書で記載される装置およびプロセスは、例えば、半導体デバイス、ディスプレイ、LED、光起電力パネルなどの作製または製造のために、リソグラフィパターニング用のツールまたはプロセス共に使用されてよい。典型的には、しかし必ずしもそうではないが、そのような装置およびプロセスは、共通の製造施設で共に使用されるか、または実行されることになる。膜のリソグラフィパターニングは典型的には、以下のステップ、(1)スピンオンツールまたはスプレーオンツールを用いて、ワークピース、すなわち基板上にフォトレジストを塗布するステップ、(2)ホットプレート、加熱炉、または、UV硬化ツールを用いてフォトレジストを硬化させるステップ、(3)ウェハステッパなどのツールを用いてフォトレジストを可視光、UV、またはX線に暴露させるステップ、(4)ウェットベンチなどのツールを使用して、レジストを現像し、それによりレジストを選択的に除去してパターニングするステップ、(5)ドライエッチングツールまたはプラズマ援用エッチングツールを用いることによってレジストパターンを下地の膜またはワークピースに転写するステップ、および(6)RFまたはマイクロ波によるプラズマレジスト剥離装置などのツールを用いてレジストを除去するステップ、の一部または全てを含み、各ステップは複数の実行可能なツールによって可能になる。
本明細書で記載される装置およびプロセスは、例えば、半導体デバイス、ディスプレイ、LED、光起電力パネルなどの作製または製造のために、リソグラフィパターニング用のツールまたはプロセス共に使用されてよい。典型的には、しかし必ずしもそうではないが、そのような装置およびプロセスは、共通の製造施設で共に使用されるか、または実行されることになる。膜のリソグラフィパターニングは典型的には、以下のステップ、(1)スピンオンツールまたはスプレーオンツールを用いて、ワークピース、すなわち基板上にフォトレジストを塗布するステップ、(2)ホットプレート、加熱炉、または、UV硬化ツールを用いてフォトレジストを硬化させるステップ、(3)ウェハステッパなどのツールを用いてフォトレジストを可視光、UV、またはX線に暴露させるステップ、(4)ウェットベンチなどのツールを使用して、レジストを現像し、それによりレジストを選択的に除去してパターニングするステップ、(5)ドライエッチングツールまたはプラズマ援用エッチングツールを用いることによってレジストパターンを下地の膜またはワークピースに転写するステップ、および(6)RFまたはマイクロ波によるプラズマレジスト剥離装置などのツールを用いてレジストを除去するステップ、の一部または全てを含み、各ステップは複数の実行可能なツールによって可能になる。本発明は以下の適用例としても実現できる。
[適用例1]
半導体基板を処理する方法であって、
(a)水平面および側壁を有する複数の突出フィーチャを有する半導体基板を提供することと、
(b)前記突出フィーチャの前記水平面および前記側壁上に酸化スズ層を形成させることと、
(c)前記突出フィーチャの前記側壁において前記酸化スズ層の上にパシベーション層を形成することと、
(d)前記パシベーション層が形成された後に、前記突出フィーチャの前記水平面から酸化スズをエッチングし完全に除去し、それにより前記突出フィーチャの前記側壁に存在する酸化スズを完全には除去することなく、前記突出フィーチャの材料を露出させることと、
を含む方法。
[適用例2]
適用例1に記載の方法であって、前記(d)で露出された前記突出フィーチャの前記材料は、ケイ素含有材料、炭素含有材料、および金属酸化物からなる群から選択される、方法。
[適用例3]
適用例1に記載の方法であって、前記(d)は、水素化スズの形成をもたらす水素ベースのエッチング化学物質を使用して、前記酸化スズをエッチングすることを含む、方法。
[適用例4]
適用例1に記載の方法であって、前記(d)は、前記半導体基板を、H 2 、HBr、NH 3 、H 2 O、炭化水素、およびそれらの組み合わせからなる群から選択されるプラズマ活性化水素含有反応物と接触させることにより、水素ベースのエッチング化学物質を使用して、前記酸化スズをエッチングすることを含む、方法。
[適用例5]
適用例1に記載の方法であって、前記(d)は、前記半導体基板を、Cl 2 、BCl 3 、およびそれらの組み合わせからなる群から選択されるプラズマ活性化塩素含有反応物に暴露させることを含む、塩素ベースのエッチング化学物質を使用して、前記酸化スズをエッチングすることを含む、方法。
[適用例6]
適用例1に記載の方法であって、前記(d)で露出される前記突出フィーチャの前記材料は、SiO 2 、SiN、SiOC、SiC、SiCN、SiCNO、およびSiOCからなる群から選択され、前記(d)は、水素化スズの形成をもたらす水素ベースのエッチング化学物質を使用して、酸化スズをエッチングすることを含む、方法。
[適用例7]
適用例1に記載の方法であって、前記突出フィーチャは酸化ケイ素で覆われたケイ素突出フィーチャであり、前記(d)は、水素化スズの形成をもたらす水素ベースのエッチング化学物質を使用して酸化スズをエッチングし、前記酸化ケイ素の材料を露出させることを含む、方法。
[適用例8]
適用例1に記載の方法であって、前記突出フィーチャは、炭素突出フィーチャまたはフォトレジスト突出フィーチャである、方法。
[適用例9]
適用例1に記載の方法であって、前記突出フィーチャは、TaO、TiO、WO、ZrO、およびHfOからなる群から選択される金属酸化物突出フィーチャである、方法。
[適用例10]
適用例1に記載の方法であって、前記突出フィーチャの前記側壁において前記酸化スズ層上に前記パシベーション層を形成することは、前記突出フィーチャの前記水平面および前記側壁の両方にパシベーション材料を堆積させ、その後、前記突出フィーチャの前記水平面から前記パシベーション材料を除去することを含む、方法。
[適用例11]
適用例1に記載の方法であって、前記突出フィーチャの前記側壁において前記酸化スズ層上に前記パシベーション層を形成することは、前記突出フィーチャの前記水平面および前記側壁の両方にケイ素含有パシベーション材料を堆積させ、その後、フルオロカーボンベースのエッチング化学物質を用いて、前記突出フィーチャの前記水平面から前記ケイ素含有パシベーション材料を除去することを含む、方法。
[適用例12]
適用例1に記載の方法であって、前記突出フィーチャの前記側壁において前記酸化スズ層上に前記パシベーション層を形成することは、前記突出フィーチャの前記水平面および前記側壁の両方に炭素含有パシベーション材料を堆積させ、その後、前記水平面から前記炭素含有パシベーション材料を除去することを含む、方法。
[適用例13]
適用例1に記載の方法であって、前記突出フィーチャの前記側壁において前記酸化スズ層上に前記パシベーション層を形成することは、前記酸化スズ層の外側部分を、SnN、SnBr、SnFからなる群から選択されるスズ含有パシベーション材料に変換することを含む、方法。
[適用例14]
適用例1に記載の方法であって、前記突出フィーチャの前記側壁において前記酸化スズ層上に前記パシベーション層を形成することは、前記基板をプラズマ中の窒素含有反応物と接触させることにより、前記酸化スズ層の外側部分を窒化スズに変換することを含む、方法。
[適用例15]
適用例1に記載の方法であって、前記(d)は、Cl 2 およびBCl 3 を含むガス中で形成されたプラズマを使用して前記酸化スズ層をエッチングし、その後、H 2 含有ガス中で形成されたプラズマで前記酸化スズ層をエッチングすることを含む、方法。
[適用例16]
適用例1に記載の方法であって、前記(a)において提供される前記半導体基板は、前記突出フィーチャ間に、酸化ケイ素、酸化チタン、酸化ジルコニウム、および酸化タングステンからなる群から選択される、露出された材料を更に含む、方法。
[適用例17]
適用例1に記載の方法であって、前記(d)の後に、前記突出フィーチャの前記側壁に存在する前記酸化スズ層を完全には除去することなく、前記突出フィーチャを除去し、それにより酸化スズスペーサを形成することを更に含む、方法。
[適用例18]
適用例1に記載の方法であって、前記(d)の後に、前記突出フィーチャの前記側壁に存在する前記酸化スズ層を完全には除去することなく、前記突出フィーチャを除去し、それにより酸化スズスペーサを形成することを更に含む、方法。
[適用例19]
適用例1に記載の方法であって、前記酸化スズ層は約5~30nmの厚さにコンフォーマルに堆積される、方法。
[適用例20]
適用例1に記載の方法であって、前記パシベーション層は1~5nmの厚さを有する、方法。
[適用例21]
適用例1に記載の方法であって、
前記基板にフォトレジストを塗布することと、
前記フォトレジストを露光することと、
前記フォトレジストにパターンを形成し、前記パターンを前記基板に転写することと、
前記基板から前記フォトレジストを選択的に除去することと、
を更に含む方法。
[適用例22]
部分的に作製された半導体基板であって、前記半導体基板は複数のスペーサを含み、前記スペーサの各々が酸化スズの層およびパシベーション材料の層を含む、半導体基板。
[適用例23]
半導体基板を処理するシステムであって、前記システムは、
(a)1つ以上の堆積チャンバと、
(b)1つ以上のエッチングチャンバと、
(c)システムコントローラと、を備え、前記システムコントローラは、
(i)前記半導体基板上の複数の突出フィーチャの水平面および側壁上に酸化スズ層の堆積を生じさせ、
(ii)前記突出フィーチャの前記側壁において前記酸化スズ層上にパシベーション層を形成させ、
(ii)前記突出フィーチャの前記側壁上の前記酸化スズ層の完全な除去を生じさせることなく、前記突出フィーチャの水平面から前記酸化スズ層の完全な除去を生じさせる、
プログラム命令を含む、システム。
[適用例24]
半導体基板を処理する方法であって、
(a)パターン形成された層をパターン形成されていない酸化スズハードマスク層上に形成し、前記パターンを前記酸化スズハードマスク層に転写することにより、前記半導体基板上にパターン形成された酸化スズハードマスク層を形成することと、
(b)前記酸化スズハードマスク層の存在下で前記半導体基板を処理することと、
を含む、方法。
[適用例25]
適用例24に記載の方法であって、前記半導体基板を処理することは、前記パターン形成された酸化スズハードマスクによって覆われていない露出された材料をエッチングすることを含む、方法。
[適用例26]
適用例25に記載の方法であって、前記パターン形成された酸化スズハードマスクで覆われていない前記露出された材料はケイ素含有材料であり、前記エッチングはフルオロカーボンベースのエッチング化学物質に前記基板を暴露させることを含む、方法。
[適用例27]
適用例25に記載の方法であって、前記パターン形成された酸化スズハードマスクで覆われていない前記露出された材料は炭素含有材料であり、前記エッチングは酸素ベースのエッチング化学物質に前記基板を暴露させることを含む、方法。
[適用例28]
半導体基板を処理する方法であって、
(a)露出されたパターン形成されたアッシング可能層を有する半導体基板であって、前記パターン形成されたアッシング可能層は複数の凹状フィーチャを備え、前記凹状フィーチャの各々の底部に露出されたターゲット材料が位置している、半導体基板を提供することと、
(b)前記凹状フィーチャを酸化スズで充填し、前記アッシング可能層の上に酸化スズのオーバーバーデンを形成することと、
(c)前記酸化スズのオーバーバーデンを除去して、下地の前記アッシング可能材料を露出させることと、
(d)酸化スズを完全には除去することなく、前記アッシング可能材料を除去して下地のターゲット層を露出させることと、
を含む方法。
[適用例29]
適用例28に記載の方法であって、前記アッシング可能材料は炭素含有材料であり、前記(d)は酸素ベースのエッチング化学物質を用いて前記アッシング可能材料を除去することを含む、方法。
[適用例30]
半導体基板を処理する方法であって、
(a)複数の凹状フィーチャを備えるパターン形成された酸化スズ層、を有する半導体基板を提供することと、
(b)前記凹状フィーチャを、ケイ素またはケイ素含有化合物であるケイ素含有材料で充填し、前記酸化スズ層上に前記ケイ素含有材料のオーバーバーデンを形成することと、
(c)前記オーバーバーデンを除去して、下地の前記酸化スズを露出させることと、
(d)前記ケイ素含有材料を除去することなく前記酸化スズを除去することと、
を含む方法。
[適用例31]
適用例30に記載の方法であって、前記(d)は、H 2 プラズマエッチング化学物質で酸化スズをエッチングすることを含む、方法。
[適用例32]
半導体基板を処理する方法であって、
(a)複数の突出フィーチャを有する半導体基板を提供することと、
(b)前記突出フィーチャの水平面および側壁の上に酸化スズ層を堆積させることと、
(c)前記突出フィーチャの前記側壁に存在する酸化スズを完全には除去することなく、前記突出フィーチャの前記水平面から酸化スズをエッチングし完全に除去することであって、前記エッチングは、前記半導体基板を水素ベースのエッチング化学物質に暴露させて、酸化スズを水素化スズに変換させる、ことと、
を含む方法。
[適用例33]
半導体基板を処理する方法であって、
(a)複数の突出フィーチャを有する半導体基板を提供することと、
(b)前記突出フィーチャの水平面および側壁の上に酸化スズ層を堆積させることと、
(c)前記突出フィーチャの前記側壁に存在する酸化スズを完全には除去することなく、前記突出フィーチャの前記水平面から酸化スズをエッチングし除去することであって、前記エッチングは、前記半導体基板を塩素ベースのエッチング化学物質に暴露させて、酸化スズを塩化スズに変換する、ことと、
を含む方法。
[適用例34]
半導体基板を処理する方法であって、
(a)酸化スズ層、前記酸化スズ層上のパターン形成されたフォトレジストの露出層、および前記酸化スズ層下の下地の材料の層を有する基板であって、前記フォトレジストによって覆われていない場所で前記酸化スズが露出されている基板、を提供することと、
(b)フォトレジストの存在下で、フォトレジストおよび下地の前記材料の両方に対して選択性を有するエッチングにより、前記酸化スズを選択的にエッチングし、下地の前記材料を露出させることと、
を含む方法。
[適用例35]
適用例34に記載の方法であって、前記酸化スズを選択的にエッチングすることは、前記基板を、水素ベースのプラズマエッチング化学物質に炭素含有添加剤と共に暴露させ、その結果、前記エッチング中に前記半導体基板上に炭素含有ポリマーを形成させることを含む、方法。
[適用例36]
適用例34に記載の方法であって、前記酸化スズを選択的にエッチングすることは、H 2 および炭化水素を含むプロセスガス中で形成されたプラズマに前記半導体基板を暴露させることを含む、方法。
[適用例37]
適用例34に記載の方法であって、前記(b)で使用される前記酸化スズのエッチングは、フォトレジストに対して少なくとも100:1、下地の前記材料に対して少なくとも10:1のエッチング選択性を有する、方法。
[適用例38]
適用例34に記載の方法であって、下地の前記材料は、ケイ素(Si)、ケイ素含有化合物、および炭素含有材料からなる群から選択される、方法。
[適用例39]
適用例34に記載の方法であって、下地の前記材料は非晶質ケイ素であり、前記方法は、前記(b)において露出された非晶質ケイ素を、酸化スズの存在下で選択的にエッチングすることを更に含む、方法。
[適用例40]
適用例34に記載の方法であって、下地の前記材料はタングステン(W)および炭素(C)を含み、前記方法は、前記(b)において露出された下地の前記材料を、酸化スズの存在下で選択的にエッチングすることを更に含む、方法。
[適用例41]
適用例34に記載の方法であって、
(c)露出された下地の前記材料を酸化スズの存在下で選択的にエッチングすることと、
(d)本質的にH 2 からなるプロセスガス中で形成されたプラズマに前記半導体基板を暴露させることにより、前記半導体基板から前記酸化スズを除去することと、
を更に含む方法。
[適用例42]
半導体基板を処理する方法であって、
(a)酸化スズの露出層を有する半導体基板を提供することと、
(b)フォトレジスト、ケイ素(Si)、炭素、および炭素含有材料からなるグループから選択された材料の存在下で、H 2 および炭化水素を含むプロセスガス中で形成されたプラズマに前記半導体基板を暴露させることにより、前記酸化スズを選択的にエッチングすることと、
を含む方法。
[適用例43]
半導体基板を処理する方法であって、
(a)酸化スズの露出層を有する半導体基板を提供することと、
(b)ケイ素(Si)、炭素、炭素含有材料、金属、金属酸化物、および金属窒化物からなる群から選択される材料の存在下で、水素ベースのエッチングを使用して、前記酸化スズを選択的にエッチングすることと、
を含む方法。
[適用例44]
半導体基板を処理する方法であって、
(a)酸化スズの露出層を有する半導体基板を提供することと、
(b)ケイ素(Si)、ケイ素含有化合物、フォトレジスト、炭素(C)、炭素含有材料、金属、金属酸化物、および金属窒化物からなる群から選択される材料の存在下で、HBr、炭化水素、NH 3 、およびH 2 Oからなる群から選択される水素含有反応物を含むプロセスガス中で形成されたプラズマに前記半導体基板を暴露させて、前記エッチング中に水素化スズを形成させることにより、前記酸化スズを選択的にエッチングすることと、
を含む方法。
[適用例45]
半導体基板を処理する方法であって、
(a)酸化チタンおよびタングステン含有材料からなる群から選択される材料の露出層を有する半導体基板を提供することと、
(b)酸化チタンおよびタングステン含有材料からなる群から選択される材料の存在下で前記酸化スズを選択的にエッチングすることと、
を含む方法。
Claims (45)
- 半導体基板を処理する方法であって、
(a)水平面および側壁を有する複数の突出フィーチャを有する半導体基板を提供することと、
(b)前記突出フィーチャの前記水平面および前記側壁上に酸化スズ層を形成させることと、
(c)前記突出フィーチャの前記側壁において前記酸化スズ層の上にパシベーション層を形成することと、
(d)前記パシベーション層が形成された後に、前記突出フィーチャの前記水平面から酸化スズをエッチングし完全に除去し、それにより前記突出フィーチャの前記側壁に存在する酸化スズを完全には除去することなく、前記突出フィーチャの材料を露出させることと、
を含む方法。 - 請求項1に記載の方法であって、前記(d)で露出された前記突出フィーチャの前記材料は、ケイ素含有材料、炭素含有材料、および金属酸化物からなる群から選択される、方法。
- 請求項1に記載の方法であって、前記(d)は、水素化スズの形成をもたらす水素ベースのエッチング化学物質を使用して、前記酸化スズをエッチングすることを含む、方法。
- 請求項1に記載の方法であって、前記(d)は、前記半導体基板を、H2、HBr、NH3、H2O、炭化水素、およびそれらの組み合わせからなる群から選択されるプラズマ活性化水素含有反応物と接触させることにより、水素ベースのエッチング化学物質を使用して、前記酸化スズをエッチングすることを含む、方法。
- 請求項1に記載の方法であって、前記(d)は、前記半導体基板を、Cl2、BCl3、およびそれらの組み合わせからなる群から選択されるプラズマ活性化塩素含有反応物に暴露させることを含む、塩素ベースのエッチング化学物質を使用して、前記酸化スズをエッチングすることを含む、方法。
- 請求項1に記載の方法であって、前記(d)で露出される前記突出フィーチャの前記材料は、SiO2、SiN、SiOC、SiC、SiCN、SiCNO、およびSiOCからなる群から選択され、前記(d)は、水素化スズの形成をもたらす水素ベースのエッチング化学物質を使用して、酸化スズをエッチングすることを含む、方法。
- 請求項1に記載の方法であって、前記突出フィーチャは酸化ケイ素で覆われたケイ素突出フィーチャであり、前記(d)は、水素化スズの形成をもたらす水素ベースのエッチング化学物質を使用して酸化スズをエッチングし、前記酸化ケイ素の材料を露出させることを含む、方法。
- 請求項1に記載の方法であって、前記突出フィーチャは、炭素突出フィーチャまたはフォトレジスト突出フィーチャである、方法。
- 請求項1に記載の方法であって、前記突出フィーチャは、TaO、TiO、WO、ZrO、およびHfOからなる群から選択される金属酸化物突出フィーチャである、方法。
- 請求項1に記載の方法であって、前記突出フィーチャの前記側壁において前記酸化スズ層上に前記パシベーション層を形成することは、前記突出フィーチャの前記水平面および前記側壁の両方にパシベーション材料を堆積させ、その後、前記突出フィーチャの前記水平面から前記パシベーション材料を除去することを含む、方法。
- 請求項1に記載の方法であって、前記突出フィーチャの前記側壁において前記酸化スズ層上に前記パシベーション層を形成することは、前記突出フィーチャの前記水平面および前記側壁の両方にケイ素含有パシベーション材料を堆積させ、その後、フルオロカーボンベースのエッチング化学物質を用いて、前記突出フィーチャの前記水平面から前記ケイ素含有パシベーション材料を除去することを含む、方法。
- 請求項1に記載の方法であって、前記突出フィーチャの前記側壁において前記酸化スズ層上に前記パシベーション層を形成することは、前記突出フィーチャの前記水平面および前記側壁の両方に炭素含有パシベーション材料を堆積させ、その後、前記水平面から前記炭素含有パシベーション材料を除去することを含む、方法。
- 請求項1に記載の方法であって、前記突出フィーチャの前記側壁において前記酸化スズ層上に前記パシベーション層を形成することは、前記酸化スズ層の外側部分を、SnN、SnBr、SnFからなる群から選択されるスズ含有パシベーション材料に変換することを含む、方法。
- 請求項1に記載の方法であって、前記突出フィーチャの前記側壁において前記酸化スズ層上に前記パシベーション層を形成することは、前記基板をプラズマ中の窒素含有反応物と接触させることにより、前記酸化スズ層の外側部分を窒化スズに変換することを含む、方法。
- 請求項1に記載の方法であって、前記(d)は、Cl2およびBCl3を含むガス中で形成されたプラズマを使用して前記酸化スズ層をエッチングし、その後、H2含有ガス中で形成されたプラズマで前記酸化スズ層をエッチングすることを含む、方法。
- 請求項1に記載の方法であって、前記(a)において提供される前記半導体基板は、前記突出フィーチャ間に、酸化ケイ素、酸化チタン、酸化ジルコニウム、および酸化タングステンからなる群から選択される、露出された材料を更に含む、方法。
- 請求項1に記載の方法であって、前記(d)の後に、前記突出フィーチャの前記側壁に存在する前記酸化スズ層を完全には除去することなく、前記突出フィーチャを除去し、それにより酸化スズスペーサを形成することを更に含む、方法。
- 請求項1に記載の方法であって、前記(d)の後に、前記突出フィーチャの前記側壁に存在する前記酸化スズ層を完全には除去することなく、前記突出フィーチャを除去し、それにより酸化スズスペーサを形成することを更に含む、方法。
- 請求項1に記載の方法であって、前記酸化スズ層は約5~30nmの厚さにコンフォーマルに堆積される、方法。
- 請求項1に記載の方法であって、前記パシベーション層は1~5nmの厚さを有する、方法。
- 請求項1に記載の方法であって、
前記基板にフォトレジストを塗布することと、
前記フォトレジストを露光することと、
前記フォトレジストにパターンを形成し、前記パターンを前記基板に転写することと、
前記基板から前記フォトレジストを選択的に除去することと、
を更に含む方法。 - 部分的に作製された半導体基板であって、前記半導体基板は複数のスペーサを含み、前記スペーサの各々が酸化スズの層およびパシベーション材料の層を含む、半導体基板。
- 半導体基板を処理するシステムであって、前記システムは、
(a)1つ以上の堆積チャンバと、
(b)1つ以上のエッチングチャンバと、
(c)システムコントローラと、を備え、前記システムコントローラは、
(i)前記半導体基板上の複数の突出フィーチャの水平面および側壁上に酸化スズ層の堆積を生じさせ、
(ii)前記突出フィーチャの前記側壁において前記酸化スズ層上にパシベーション層を形成させ、
(ii)前記突出フィーチャの前記側壁上の前記酸化スズ層の完全な除去を生じさせることなく、前記突出フィーチャの水平面から前記酸化スズ層の完全な除去を生じさせる、
プログラム命令を含む、システム。 - 半導体基板を処理する方法であって、
(a)パターン形成された層をパターン形成されていない酸化スズハードマスク層上に形成し、前記パターンを前記酸化スズハードマスク層に転写することにより、前記半導体基板上にパターン形成された酸化スズハードマスク層を形成することと、
(b)前記酸化スズハードマスク層の存在下で前記半導体基板を処理することと、
を含む、方法。 - 請求項24に記載の方法であって、前記半導体基板を処理することは、前記パターン形成された酸化スズハードマスクによって覆われていない露出された材料をエッチングすることを含む、方法。
- 請求項25に記載の方法であって、前記パターン形成された酸化スズハードマスクで覆われていない前記露出された材料はケイ素含有材料であり、前記エッチングはフルオロカーボンベースのエッチング化学物質に前記基板を暴露させることを含む、方法。
- 請求項25に記載の方法であって、前記パターン形成された酸化スズハードマスクで覆われていない前記露出された材料は炭素含有材料であり、前記エッチングは酸素ベースのエッチング化学物質に前記基板を暴露させることを含む、方法。
- 半導体基板を処理する方法であって、
(a)露出されたパターン形成されたアッシング可能層を有する半導体基板であって、前記パターン形成されたアッシング可能層は複数の凹状フィーチャを備え、前記凹状フィーチャの各々の底部に露出されたターゲット材料が位置している、半導体基板を提供することと、
(b)前記凹状フィーチャを酸化スズで充填し、前記アッシング可能層の上に酸化スズのオーバーバーデンを形成することと、
(c)前記酸化スズのオーバーバーデンを除去して、下地の前記アッシング可能材料を露出させることと、
(d)酸化スズを完全には除去することなく、前記アッシング可能材料を除去して下地のターゲット層を露出させることと、
を含む方法。 - 請求項28に記載の方法であって、前記アッシング可能材料は炭素含有材料であり、前記(d)は酸素ベースのエッチング化学物質を用いて前記アッシング可能材料を除去することを含む、方法。
- 半導体基板を処理する方法であって、
(a)複数の凹状フィーチャを備えるパターン形成された酸化スズ層、を有する半導体基板を提供することと、
(b)前記凹状フィーチャを、ケイ素またはケイ素含有化合物であるケイ素含有材料で充填し、前記酸化スズ層上に前記ケイ素含有材料のオーバーバーデンを形成することと、
(c)前記オーバーバーデンを除去して、下地の前記酸化スズを露出させることと、
(d)前記ケイ素含有材料を除去することなく前記酸化スズを除去することと、
を含む方法。 - 請求項30に記載の方法であって、前記(d)は、H2プラズマエッチング化学物質で酸化スズをエッチングすることを含む、方法。
- 半導体基板を処理する方法であって、
(a)複数の突出フィーチャを有する半導体基板を提供することと、
(b)前記突出フィーチャの水平面および側壁の上に酸化スズ層を堆積させることと、
(c)前記突出フィーチャの前記側壁に存在する酸化スズを完全には除去することなく、前記突出フィーチャの前記水平面から酸化スズをエッチングし完全に除去することであって、前記エッチングは、前記半導体基板を水素ベースのエッチング化学物質に暴露させて、酸化スズを水素化スズに変換させる、ことと、
を含む方法。 - 半導体基板を処理する方法であって、
(a)複数の突出フィーチャを有する半導体基板を提供することと、
(b)前記突出フィーチャの水平面および側壁の上に酸化スズ層を堆積させることと、
(c)前記突出フィーチャの前記側壁に存在する酸化スズを完全には除去することなく、前記突出フィーチャの前記水平面から酸化スズをエッチングし除去することであって、前記エッチングは、前記半導体基板を塩素ベースのエッチング化学物質に暴露させて、酸化スズを塩化スズに変換する、ことと、
を含む方法。 - 半導体基板を処理する方法であって、
(a)酸化スズ層、前記酸化スズ層上のパターン形成されたフォトレジストの露出層、および前記酸化スズ層下の下地の材料の層を有する基板であって、前記フォトレジストによって覆われていない場所で前記酸化スズが露出されている基板、を提供することと、
(b)フォトレジストの存在下で、フォトレジストおよび下地の前記材料の両方に対して選択性を有するエッチングにより、前記酸化スズを選択的にエッチングし、下地の前記材料を露出させることと、
を含む方法。 - 請求項34に記載の方法であって、前記酸化スズを選択的にエッチングすることは、前記基板を、水素ベースのプラズマエッチング化学物質に炭素含有添加剤と共に暴露させ、その結果、前記エッチング中に前記半導体基板上に炭素含有ポリマーを形成させることを含む、方法。
- 請求項34に記載の方法であって、前記酸化スズを選択的にエッチングすることは、H2および炭化水素を含むプロセスガス中で形成されたプラズマに前記半導体基板を暴露させることを含む、方法。
- 請求項34に記載の方法であって、前記(b)で使用される前記酸化スズのエッチングは、フォトレジストに対して少なくとも100:1、下地の前記材料に対して少なくとも10:1のエッチング選択性を有する、方法。
- 請求項34に記載の方法であって、下地の前記材料は、ケイ素(Si)、ケイ素含有化合物、および炭素含有材料からなる群から選択される、方法。
- 請求項34に記載の方法であって、下地の前記材料は非晶質ケイ素であり、前記方法は、前記(b)において露出された非晶質ケイ素を、酸化スズの存在下で選択的にエッチングすることを更に含む、方法。
- 請求項34に記載の方法であって、下地の前記材料はタングステン(W)および炭素(C)を含み、前記方法は、前記(b)において露出された下地の前記材料を、酸化スズの存在下で選択的にエッチングすることを更に含む、方法。
- 請求項34に記載の方法であって、
(c)露出された下地の前記材料を酸化スズの存在下で選択的にエッチングすることと、
(d)本質的にH2からなるプロセスガス中で形成されたプラズマに前記半導体基板を暴露させることにより、前記半導体基板から前記酸化スズを除去することと、
を更に含む方法。 - 半導体基板を処理する方法であって、
(a)酸化スズの露出層を有する半導体基板を提供することと、
(b)フォトレジスト、ケイ素(Si)、炭素、および炭素含有材料からなるグループから選択された材料の存在下で、H2および炭化水素を含むプロセスガス中で形成されたプラズマに前記半導体基板を暴露させることにより、前記酸化スズを選択的にエッチングすることと、
を含む方法。 - 半導体基板を処理する方法であって、
(a)酸化スズの露出層を有する半導体基板を提供することと、
(b)ケイ素(Si)、炭素、炭素含有材料、金属、金属酸化物、および金属窒化物からなる群から選択される材料の存在下で、水素ベースのエッチングを使用して、前記酸化スズを選択的にエッチングすることと、
を含む方法。 - 半導体基板を処理する方法であって、
(a)酸化スズの露出層を有する半導体基板を提供することと、
(b)ケイ素(Si)、ケイ素含有化合物、フォトレジスト、炭素(C)、炭素含有材料、金属、金属酸化物、および金属窒化物からなる群から選択される材料の存在下で、HBr、炭化水素、NH3、およびH2Oからなる群から選択される水素含有反応物を含むプロセスガス中で形成されたプラズマに前記半導体基板を暴露させて、前記エッチング中に水素化スズを形成させることにより、前記酸化スズを選択的にエッチングすることと、
を含む方法。 - 半導体基板を処理する方法であって、
(a)酸化チタンおよびタングステン含有材料からなる群から選択される材料の露出層を有する半導体基板を提供することと、
(b)酸化チタンおよびタングステン含有材料からなる群から選択される材料の存在下で前記酸化スズを選択的にエッチングすることと、
を含む方法。
Applications Claiming Priority (8)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201762460573P | 2017-02-17 | 2017-02-17 | |
US62/460,573 | 2017-02-17 | ||
US201762479709P | 2017-03-31 | 2017-03-31 | |
US62/479,709 | 2017-03-31 | ||
US15/894,635 | 2018-02-12 | ||
US15/894,635 US10546748B2 (en) | 2017-02-17 | 2018-02-12 | Tin oxide films in semiconductor device manufacturing |
JP2019543306A JP7267926B2 (ja) | 2017-02-17 | 2018-02-13 | 半導体デバイス製造における酸化スズ膜 |
PCT/US2018/018019 WO2018152115A1 (en) | 2017-02-17 | 2018-02-13 | Tin oxide films in semiconductor device manufacturing |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2019543306A Division JP7267926B2 (ja) | 2017-02-17 | 2018-02-13 | 半導体デバイス製造における酸化スズ膜 |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2022180577A true JP2022180577A (ja) | 2022-12-06 |
Family
ID=63167882
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2019543306A Active JP7267926B2 (ja) | 2017-02-17 | 2018-02-13 | 半導体デバイス製造における酸化スズ膜 |
JP2022154384A Pending JP2022180577A (ja) | 2017-02-17 | 2022-09-28 | 半導体デバイス製造における酸化スズ膜 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2019543306A Active JP7267926B2 (ja) | 2017-02-17 | 2018-02-13 | 半導体デバイス製造における酸化スズ膜 |
Country Status (6)
Country | Link |
---|---|
US (5) | US10546748B2 (ja) |
JP (2) | JP7267926B2 (ja) |
KR (4) | KR102580008B1 (ja) |
CN (2) | CN113675082A (ja) |
TW (2) | TWI828619B (ja) |
WO (1) | WO2018152115A1 (ja) |
Families Citing this family (33)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9824893B1 (en) | 2016-06-28 | 2017-11-21 | Lam Research Corporation | Tin oxide thin film spacers in semiconductor device manufacturing |
KR20180093798A (ko) | 2017-02-13 | 2018-08-22 | 램 리써치 코포레이션 | 에어 갭들을 생성하는 방법 |
US10546748B2 (en) | 2017-02-17 | 2020-01-28 | Lam Research Corporation | Tin oxide films in semiconductor device manufacturing |
US10745282B2 (en) | 2017-06-08 | 2020-08-18 | Applied Materials, Inc. | Diamond-like carbon film |
CN109545684B (zh) * | 2017-09-22 | 2020-11-27 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
US10734238B2 (en) | 2017-11-21 | 2020-08-04 | Lam Research Corporation | Atomic layer deposition and etch in a single plasma chamber for critical dimension control |
US10515815B2 (en) | 2017-11-21 | 2019-12-24 | Lam Research Corporation | Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation |
US10658174B2 (en) | 2017-11-21 | 2020-05-19 | Lam Research Corporation | Atomic layer deposition and etch for reducing roughness |
US11276572B2 (en) * | 2017-12-08 | 2022-03-15 | Tokyo Electron Limited | Technique for multi-patterning substrates |
US10446394B2 (en) * | 2018-01-26 | 2019-10-15 | Lam Research Corporation | Spacer profile control using atomic layer deposition in a multiple patterning process |
KR102604345B1 (ko) * | 2018-01-30 | 2023-11-20 | 램 리써치 코포레이션 | 패터닝에서 주석 옥사이드 맨드렐들 (mandrels) |
US11987876B2 (en) | 2018-03-19 | 2024-05-21 | Lam Research Corporation | Chamfer-less via integration scheme |
US20190390341A1 (en) * | 2018-06-26 | 2019-12-26 | Lam Research Corporation | Deposition tool and method for depositing metal oxide films on organic materials |
US10867804B2 (en) * | 2018-06-29 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Patterning method for semiconductor device and structures resulting therefrom |
CN115360093A (zh) | 2018-09-21 | 2022-11-18 | 朗姆研究公司 | 蚀刻金属氧化物和保护腔室部件 |
US10845704B2 (en) | 2018-10-30 | 2020-11-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance |
WO2020102783A1 (en) * | 2018-11-16 | 2020-05-22 | Lam Research Corporation | Bubble defect reduction |
US11189499B2 (en) * | 2019-03-28 | 2021-11-30 | Tokyo Electron Limited | Atomic layer etch (ALE) of tungsten or other metal layers |
CN115565867A (zh) | 2019-06-27 | 2023-01-03 | 朗姆研究公司 | 交替蚀刻与钝化工艺 |
JP2022542170A (ja) * | 2019-08-01 | 2022-09-29 | アプライド マテリアルズ インコーポレイテッド | パターニングされた金属酸化物フォトレジストの線量減少 |
JP7427155B2 (ja) * | 2019-08-23 | 2024-02-05 | 東京エレクトロン株式会社 | 別の金属及び誘電体に対してチューニング可能な選択性を有するチタン含有材料層の非プラズマエッチング |
WO2021041366A1 (en) * | 2019-08-30 | 2021-03-04 | Mattson Technology, Inc. | Spacer etching process |
CN115428130A (zh) * | 2020-02-03 | 2022-12-02 | 东京毅力科创株式会社 | 在选择性原子层蚀刻中使用超薄蚀刻停止层的方法 |
US11232952B2 (en) * | 2020-03-05 | 2022-01-25 | Nanya Technology Corporation | Semiconductor device structure with fine patterns and method for forming the same |
US20210358807A1 (en) * | 2020-05-15 | 2021-11-18 | Tokyo Electron Limited | Contact Openings in Semiconductor Devices |
EP3919979A1 (en) | 2020-06-02 | 2021-12-08 | Imec VZW | Resistless patterning mask |
US20220004105A1 (en) * | 2020-07-01 | 2022-01-06 | Applied Materials, Inc. | Dry develop process of photoresist |
US20220005688A1 (en) * | 2020-07-02 | 2022-01-06 | Applied Materials, Inc. | Selective deposition of carbon on photoresist layer for lithography applications |
US20230402282A1 (en) * | 2020-11-13 | 2023-12-14 | Enkris Semiconductor, Inc. | Substrate and manufacturing method therefor |
JP2023552977A (ja) * | 2020-12-15 | 2023-12-20 | アプライド マテリアルズ インコーポレイテッド | 半導体パターニングアプリケーションのための酸化スズおよび炭化スズ材料 |
JP2022163526A (ja) * | 2021-04-14 | 2022-10-26 | 東京エレクトロン株式会社 | 基板処理方法 |
US20230154752A1 (en) * | 2021-11-12 | 2023-05-18 | Tokyo Electron Limited | Method For Highly Anisotropic Etching Of Titanium Oxide Spacer Using Selective Top-Deposition |
TWI821875B (zh) * | 2022-01-21 | 2023-11-11 | 力晶積成電子製造股份有限公司 | 半導體結構的製造方法 |
Family Cites Families (103)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4778562A (en) | 1984-08-13 | 1988-10-18 | General Motors Corporation | Reactive ion etching of tin oxide films using neutral reactant gas containing hydrogen |
US4544444A (en) | 1984-08-15 | 1985-10-01 | General Motors Corporation | Reactive ion etching of tin oxide films using silicon tetrachloride reactant gas |
JPS6151379A (ja) * | 1984-08-22 | 1986-03-13 | Fujitsu Ltd | カセツトキヤリヤ |
JPS62179774A (ja) | 1986-02-04 | 1987-08-06 | Fujitsu Ltd | イメ−ジセンサの製造方法 |
US4708766A (en) | 1986-11-07 | 1987-11-24 | Texas Instruments Incorporated | Hydrogen iodide etch of tin oxide |
JP2644758B2 (ja) * | 1987-07-22 | 1997-08-25 | 株式会社日立製作所 | レジスト除去方法及び装置 |
JP3001891B2 (ja) * | 1987-10-01 | 2000-01-24 | グンゼ株式会社 | 透明導電膜のエッチング方法及びその装置 |
FR2640809B1 (fr) * | 1988-12-19 | 1993-10-22 | Chouan Yannick | Procede de gravure d'une couche d'oxyde metallique et depot simultane d'un film de polymere, application de ce procede a la fabrication d'un transistor |
US4878993A (en) | 1988-12-22 | 1989-11-07 | North American Philips Corporation | Method of etching thin indium tin oxide films |
JP2521815B2 (ja) * | 1989-08-17 | 1996-08-07 | 沖電気工業株式会社 | 透明導電膜のエッチング方法 |
US5032221A (en) | 1990-05-07 | 1991-07-16 | Eastman Kodak Company | Etching indium tin oxide |
US5171401A (en) | 1990-06-04 | 1992-12-15 | Eastman Kodak Company | Plasma etching indium tin oxide |
US5318664A (en) | 1990-06-25 | 1994-06-07 | General Electric Company | Patterning of indium-tin oxide via selective reactive ion etching |
JPH05267701A (ja) * | 1992-03-18 | 1993-10-15 | Taiyo Yuden Co Ltd | 酸化錫透明導電膜のパターニング方法 |
US5286337A (en) | 1993-01-25 | 1994-02-15 | North American Philips Corporation | Reactive ion etching or indium tin oxide |
KR0135165B1 (ko) * | 1993-10-15 | 1998-04-22 | 윤정환 | 다층레지스트를 이용한 패턴형성방법 |
US5723366A (en) | 1994-09-28 | 1998-03-03 | Sanyo Electric Co. Ltd. | Dry etching method, method of fabricating semiconductor device, and method of fabricating liquid crystal display device |
US5607602A (en) | 1995-06-07 | 1997-03-04 | Applied Komatsu Technology, Inc. | High-rate dry-etch of indium and tin oxides by hydrogen and halogen radicals such as derived from HCl gas |
US5667631A (en) | 1996-06-28 | 1997-09-16 | Lam Research Corporation | Dry etching of transparent electrodes in a low pressure plasma reactor |
US6036876A (en) * | 1997-06-25 | 2000-03-14 | Applied Komatsu Technology, Inc. | Dry-etching of indium and tin oxides |
US20010008227A1 (en) * | 1997-08-08 | 2001-07-19 | Mitsuru Sadamoto | Dry etching method of metal oxide/photoresist film laminate |
GB9726511D0 (en) | 1997-12-13 | 1998-02-11 | Philips Electronics Nv | Thin film transistors and electronic devices comprising such |
US6368978B1 (en) * | 1999-03-04 | 2002-04-09 | Applied Materials, Inc. | Hydrogen-free method of plasma etching indium tin oxide |
JP4554011B2 (ja) | 1999-08-10 | 2010-09-29 | ルネサスエレクトロニクス株式会社 | 半導体集積回路装置の製造方法 |
KR100447263B1 (ko) | 1999-12-30 | 2004-09-07 | 주식회사 하이닉스반도체 | 식각 폴리머를 이용한 반도체 소자의 제조방법 |
US6789910B2 (en) | 2000-04-12 | 2004-09-14 | Semiconductor Energy Laboratory, Co., Ltd. | Illumination apparatus |
US6580475B2 (en) | 2000-04-27 | 2003-06-17 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and method of fabricating the same |
US6428859B1 (en) | 2000-12-06 | 2002-08-06 | Angstron Systems, Inc. | Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6416822B1 (en) | 2000-12-06 | 2002-07-09 | Angstrom Systems, Inc. | Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6750394B2 (en) | 2001-01-12 | 2004-06-15 | Sharp Kabushiki Kaisha | Thin-film solar cell and its manufacturing method |
US6623653B2 (en) * | 2001-06-12 | 2003-09-23 | Sharp Laboratories Of America, Inc. | System and method for etching adjoining layers of silicon and indium tin oxide |
KR100542736B1 (ko) | 2002-08-17 | 2006-01-11 | 삼성전자주식회사 | 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법 |
JP4748986B2 (ja) | 2002-11-01 | 2011-08-17 | 株式会社半導体エネルギー研究所 | 半導体装置の作製方法 |
US6953705B2 (en) * | 2003-07-22 | 2005-10-11 | E. I. Du Pont De Nemours And Company | Process for removing an organic layer during fabrication of an organic electronic device |
KR100574952B1 (ko) | 2003-11-04 | 2006-05-02 | 삼성전자주식회사 | 스플릿 게이트형 비휘발성 반도체 메모리 소자 제조방법 |
US7435610B2 (en) | 2003-12-31 | 2008-10-14 | Chung Yuan Christian University | Fabrication of array pH sensitive EGFET and its readout circuit |
JP4655939B2 (ja) | 2004-02-09 | 2011-03-23 | 旭硝子株式会社 | 透明電極の製造方法 |
US7338907B2 (en) | 2004-10-04 | 2008-03-04 | Sharp Laboratories Of America, Inc. | Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications |
US7355672B2 (en) | 2004-10-04 | 2008-04-08 | Asml Netherlands B.V. | Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus |
US7868304B2 (en) | 2005-02-07 | 2011-01-11 | Asml Netherlands B.V. | Method for removal of deposition on an optical element, lithographic apparatus, device manufacturing method, and device manufactured thereby |
EP1707952A1 (de) | 2005-03-31 | 2006-10-04 | Micronas GmbH | Gassensitiver Feldeffekttransistor mit Luftspalt und Verfahren zu dessen Herstellung |
US7561247B2 (en) | 2005-08-22 | 2009-07-14 | Asml Netherlands B.V. | Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus |
US7393736B2 (en) | 2005-08-29 | 2008-07-01 | Micron Technology, Inc. | Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics |
US7372058B2 (en) | 2005-09-27 | 2008-05-13 | Asml Netherlands B.V. | Ex-situ removal of deposition on an optical element |
JP4609335B2 (ja) | 2006-02-02 | 2011-01-12 | 富士電機システムズ株式会社 | 炭化珪素半導体基板のドライエッチング方法 |
US20080061030A1 (en) | 2006-09-13 | 2008-03-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods for patterning indium tin oxide films |
US7833893B2 (en) | 2007-07-10 | 2010-11-16 | International Business Machines Corporation | Method for forming conductive structures |
TW200938660A (en) | 2007-11-22 | 2009-09-16 | Idemitsu Kosan Co | Etching solution composition |
TW200937389A (en) | 2007-12-06 | 2009-09-01 | Intevac Inc | System and method for commercial fabrication of patterned media |
US8247315B2 (en) | 2008-03-17 | 2012-08-21 | Semiconductor Energy Laboratory Co., Ltd. | Plasma processing apparatus and method for manufacturing semiconductor device |
US8435608B1 (en) | 2008-06-27 | 2013-05-07 | Novellus Systems, Inc. | Methods of depositing smooth and conformal ashable hard mask films |
FR2936651B1 (fr) | 2008-09-30 | 2011-04-08 | Commissariat Energie Atomique | Dispositif optoelectronique organique et son procede d'encapsulation. |
JP5446648B2 (ja) | 2008-10-07 | 2014-03-19 | 信越化学工業株式会社 | パターン形成方法 |
KR20100044029A (ko) | 2008-10-21 | 2010-04-29 | 주식회사 하이닉스반도체 | 반도체 소자의 제조 방법 |
KR20100052598A (ko) | 2008-11-11 | 2010-05-20 | 삼성전자주식회사 | 미세 패턴의 형성방법 |
US8492282B2 (en) * | 2008-11-24 | 2013-07-23 | Micron Technology, Inc. | Methods of forming a masking pattern for integrated circuits |
WO2010071034A1 (en) | 2008-12-19 | 2010-06-24 | Semiconductor Energy Laboratory Co., Ltd. | Method for manufacturing transistor |
US8163094B1 (en) | 2009-07-23 | 2012-04-24 | The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration | Method to improve indium bump bonding via indium oxide removal using a multi-step plasma process |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US9390909B2 (en) | 2013-11-07 | 2016-07-12 | Novellus Systems, Inc. | Soft landing nanolaminates for advanced patterning |
US8435901B2 (en) | 2010-06-11 | 2013-05-07 | Tokyo Electron Limited | Method of selectively etching an insulation stack for a metal interconnect |
JP2012099517A (ja) * | 2010-10-29 | 2012-05-24 | Sony Corp | 半導体装置及び半導体装置の製造方法 |
CN103189964A (zh) | 2010-11-04 | 2013-07-03 | 诺发系统公司 | 钽的离子诱导原子层沉积 |
US9111775B2 (en) | 2011-01-28 | 2015-08-18 | Semiconductor Energy Laboratory Co., Ltd. | Silicon structure and manufacturing methods thereof and of capacitor including silicon structure |
US9190316B2 (en) | 2011-10-26 | 2015-11-17 | Globalfoundries U.S. 2 Llc | Low energy etch process for nitrogen-containing dielectric layer |
TWI479663B (zh) * | 2011-12-22 | 2015-04-01 | Au Optronics Corp | 陣列基板及其製作方法 |
DE112013001641T5 (de) | 2012-03-23 | 2014-12-31 | Sanyo Electric Co., Ltd. | Solarzelle und Verfahren zum Herstellen einer Solarzelle |
US10861978B2 (en) | 2012-04-02 | 2020-12-08 | Samsung Display Co., Ltd. | Display device |
US8987047B2 (en) | 2012-04-02 | 2015-03-24 | Samsung Display Co., Ltd. | Thin film transistor, thin film transistor array panel including the same, and method of manufacturing the same |
US8916477B2 (en) * | 2012-07-02 | 2014-12-23 | Novellus Systems, Inc. | Polysilicon etch with high selectivity |
US20150140726A1 (en) * | 2012-07-10 | 2015-05-21 | Sharp Kabushiki Kaisha | Method for manufacturing semiconductor device |
JP6538300B2 (ja) | 2012-11-08 | 2019-07-03 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | 感受性基材上にフィルムを蒸着するための方法 |
TWI539626B (zh) * | 2012-12-21 | 2016-06-21 | 鴻海精密工業股份有限公司 | 發光二極體及其製造方法 |
US9153486B2 (en) * | 2013-04-12 | 2015-10-06 | Lam Research Corporation | CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications |
US9437443B2 (en) | 2013-06-12 | 2016-09-06 | Globalfoundries Inc. | Low-temperature sidewall image transfer process using ALD metals, metal oxides and metal nitrides |
KR20150012540A (ko) * | 2013-07-25 | 2015-02-04 | 삼성디스플레이 주식회사 | 유기발광표시장치의 제조방법. |
US9614053B2 (en) | 2013-12-05 | 2017-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Spacers with rectangular profile and methods of forming the same |
US9171703B2 (en) | 2013-12-20 | 2015-10-27 | Seagate Technology Llc | Apparatus with sidewall protection for features |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US20150247238A1 (en) | 2014-03-03 | 2015-09-03 | Lam Research Corporation | Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9209038B2 (en) * | 2014-05-02 | 2015-12-08 | GlobalFoundries, Inc. | Methods for fabricating integrated circuits using self-aligned quadruple patterning |
US9285673B2 (en) * | 2014-07-10 | 2016-03-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Assist feature for a photolithographic process |
US9515156B2 (en) | 2014-10-17 | 2016-12-06 | Lam Research Corporation | Air gap spacer integration for improved fin device performance |
TWI633596B (zh) * | 2015-01-14 | 2018-08-21 | 聯華電子股份有限公司 | 形成溝渠的方法 |
US9478433B1 (en) | 2015-03-30 | 2016-10-25 | Applied Materials, Inc. | Cyclic spacer etching process with improved profile control |
WO2016161287A1 (en) | 2015-04-02 | 2016-10-06 | Tokyo Electron Limited | Trench and hole patterning with euv resists using dual frequency capacitively coupled plasma (ccp) |
JP6580705B2 (ja) | 2015-04-20 | 2019-09-25 | ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム | 大面積多層ナノ構造体の加工 |
US9870899B2 (en) | 2015-04-24 | 2018-01-16 | Lam Research Corporation | Cobalt etch back |
US10049892B2 (en) | 2015-05-07 | 2018-08-14 | Tokyo Electron Limited | Method for processing photoresist materials and structures |
US9523148B1 (en) | 2015-08-25 | 2016-12-20 | Asm Ip Holdings B.V. | Process for deposition of titanium oxynitride for use in integrated circuit fabrication |
TWI661466B (zh) | 2016-04-14 | 2019-06-01 | 日商東京威力科創股份有限公司 | 使用具有多種材料之一層的基板圖案化方法 |
US9824893B1 (en) | 2016-06-28 | 2017-11-21 | Lam Research Corporation | Tin oxide thin film spacers in semiconductor device manufacturing |
US9859153B1 (en) | 2016-11-14 | 2018-01-02 | Lam Research Corporation | Deposition of aluminum oxide etch stop layers |
KR20180093798A (ko) | 2017-02-13 | 2018-08-22 | 램 리써치 코포레이션 | 에어 갭들을 생성하는 방법 |
US10546748B2 (en) | 2017-02-17 | 2020-01-28 | Lam Research Corporation | Tin oxide films in semiconductor device manufacturing |
JP2018160556A (ja) | 2017-03-23 | 2018-10-11 | 三菱電機株式会社 | 薄膜トランジスタ基板、薄膜トランジスタ基板の製造方法、液晶表示装置、および薄膜トランジスタ |
US11075079B2 (en) | 2017-11-21 | 2021-07-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Directional deposition for semiconductor fabrication |
KR102604345B1 (ko) | 2018-01-30 | 2023-11-20 | 램 리써치 코포레이션 | 패터닝에서 주석 옥사이드 맨드렐들 (mandrels) |
US11987876B2 (en) | 2018-03-19 | 2024-05-21 | Lam Research Corporation | Chamfer-less via integration scheme |
US20190390341A1 (en) | 2018-06-26 | 2019-12-26 | Lam Research Corporation | Deposition tool and method for depositing metal oxide films on organic materials |
US10867804B2 (en) | 2018-06-29 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Patterning method for semiconductor device and structures resulting therefrom |
US10840082B2 (en) | 2018-08-09 | 2020-11-17 | Lam Research Corporation | Method to clean SnO2 film from chamber |
-
2018
- 2018-02-12 US US15/894,635 patent/US10546748B2/en active Active
- 2018-02-13 TW TW107105182A patent/TWI828619B/zh active
- 2018-02-13 JP JP2019543306A patent/JP7267926B2/ja active Active
- 2018-02-13 CN CN202110746345.1A patent/CN113675082A/zh active Pending
- 2018-02-13 TW TW110121421A patent/TWI828989B/zh active
- 2018-02-13 CN CN201880023914.6A patent/CN110520963B/zh active Active
- 2018-02-13 WO PCT/US2018/018019 patent/WO2018152115A1/en active Application Filing
- 2018-02-13 KR KR1020197026772A patent/KR102580008B1/ko active IP Right Grant
- 2018-02-13 KR KR1020227032676A patent/KR20220132673A/ko active Application Filing
- 2018-02-13 KR KR1020247002427A patent/KR20240014106A/ko active Application Filing
- 2018-02-13 KR KR1020217017077A patent/KR102447088B1/ko active IP Right Grant
-
2019
- 2019-11-18 US US16/687,142 patent/US11322351B2/en active Active
-
2021
- 2021-05-13 US US17/302,850 patent/US20210265163A1/en active Pending
-
2022
- 2022-02-10 US US17/650,550 patent/US20220270877A1/en active Pending
- 2022-02-10 US US17/650,551 patent/US20220165571A1/en active Pending
- 2022-09-28 JP JP2022154384A patent/JP2022180577A/ja active Pending
Also Published As
Publication number | Publication date |
---|---|
US20210265163A1 (en) | 2021-08-26 |
TW201842573A (zh) | 2018-12-01 |
KR102580008B1 (ko) | 2023-09-18 |
CN110520963B (zh) | 2024-04-02 |
JP7267926B2 (ja) | 2023-05-02 |
US20220270877A1 (en) | 2022-08-25 |
KR20240014106A (ko) | 2024-01-31 |
KR102447088B1 (ko) | 2022-09-23 |
KR20220132673A (ko) | 2022-09-30 |
US20180240667A1 (en) | 2018-08-23 |
TW202341276A (zh) | 2023-10-16 |
TWI828989B (zh) | 2024-01-11 |
WO2018152115A1 (en) | 2018-08-23 |
CN110520963A (zh) | 2019-11-29 |
TWI828619B (zh) | 2024-01-11 |
TW202137327A (zh) | 2021-10-01 |
KR20190110142A (ko) | 2019-09-27 |
US10546748B2 (en) | 2020-01-28 |
KR20210069129A (ko) | 2021-06-10 |
CN113675082A (zh) | 2021-11-19 |
US11322351B2 (en) | 2022-05-03 |
US20200083044A1 (en) | 2020-03-12 |
US20220165571A1 (en) | 2022-05-26 |
JP2020510994A (ja) | 2020-04-09 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP7267926B2 (ja) | 半導体デバイス製造における酸化スズ膜 | |
US20210265173A1 (en) | Tin oxide mandrels in patterning | |
JP7320085B2 (ja) | 交互のエッチングプロセスおよび不動態化プロセス | |
TWI838003B (zh) | 半導體裝置製造中之氧化錫膜 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20221027 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20221027 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20230905 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20231204 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20240130 |