TWI821875B - 半導體結構的製造方法 - Google Patents

半導體結構的製造方法 Download PDF

Info

Publication number
TWI821875B
TWI821875B TW111102616A TW111102616A TWI821875B TW I821875 B TWI821875 B TW I821875B TW 111102616 A TW111102616 A TW 111102616A TW 111102616 A TW111102616 A TW 111102616A TW I821875 B TWI821875 B TW I821875B
Authority
TW
Taiwan
Prior art keywords
layer
metal
dielectric layer
patterned photoresist
semiconductor structure
Prior art date
Application number
TW111102616A
Other languages
English (en)
Other versions
TW202331811A (zh
Inventor
蔡佳宏
Original Assignee
力晶積成電子製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 力晶積成電子製造股份有限公司 filed Critical 力晶積成電子製造股份有限公司
Priority to TW111102616A priority Critical patent/TWI821875B/zh
Priority to CN202210115728.3A priority patent/CN116504620A/zh
Publication of TW202331811A publication Critical patent/TW202331811A/zh
Application granted granted Critical
Publication of TWI821875B publication Critical patent/TWI821875B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step

Landscapes

  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Semiconductor Lasers (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一種半導體結構的製造方法,包括以下步驟。在基底上形成金屬材料層。利用光罩進行第一微影製程而形成第一圖案化光阻層。利用第一圖案化光阻層為罩幕,對金屬材料層進行圖案化製程,而形成包括多個金屬圖案的金屬層。移除第一圖案化光阻層。形成覆蓋多個金屬圖案的第一介電層。利用在第一微影製程中所使用的相同光罩進行第二微影製程而形成第二圖案化光阻層。第二圖案化光阻層的光阻材料為第一圖案化光阻層的光阻材料的反型光阻材料。利用第二圖案化光阻層作為罩幕,對第一介電層進行蝕刻製程。移除第二圖案化光阻層。對第一介電層進行平坦化製程。

Description

半導體結構的製造方法
本發明是有關於一種半導體製程,且特別是有關於一種半導體結構的製造方法。
在半導體製程中,常會藉由高密度電漿化學氣相沉積(High Density Plasma-Chemical Vapor Deposition,HDPCVD)法來形成覆蓋金屬層的介電層。然而,由於藉由高密度電漿化學氣相沉積法形成在金屬層上的介電層常會具有較大的階梯高度(step height)與階梯高度差(step height difference),因此在進行後續的平坦化製程(如,化學機械研磨製程)之後,容易產生介電層殘留及/或金屬層受損等缺陷。
本發明提供一種半導體結構的製造方法,其可防止在半導體結構上產生缺陷。
本發明提出一種半導體結構的製造方法,包括以下步驟。提供基底。在基底上形成金屬材料層。利用光罩進行第一微影製程,而在金屬材料層上形成第一圖案化光阻層。利用第一圖案化光阻層為罩幕,對金屬材料層進行圖案化製程,而形成包括多個金屬圖案的金屬層。移除第一圖案化光阻層。藉由高密度電漿化學氣相沉積法在基底上形成覆蓋多個金屬圖案的第一介電層。利用在第一微影製程中所使用的相同光罩進行第二微影製程,而在第一介電層上形成第二圖案化光阻層。第二圖案化光阻層暴露出位在多個金屬圖案正上方的第一介電層。第二圖案化光阻層的光阻材料為第一圖案化光阻層的光阻材料的反型光阻材料(inverse tone photoresist)。利用第二圖案化光阻層作為罩幕,對第一介電層進行蝕刻製程。移除第二圖案化光阻層。對第一介電層進行平坦化製程,而暴露出多個金屬圖案。
依照本發明的一實施例所述,在上述半導體結構的製造方法中,金屬材料層的材料例如是鋁或鋁銅合金。
依照本發明的一實施例所述,在上述半導體結構的製造方法中,可使用標準焦點(standard focus)進行第一微影製程。
依照本發明的一實施例所述,在上述半導體結構的製造方法中,可藉由移動第二微影製程的焦點,而使得第二圖案化光阻層所包含的多個光阻圖案的剖面形狀為錐形(taper)。
依照本發明的一實施例所述,在上述半導體結構的製造方法中,金屬層可為最上層金屬層。
依照本發明的一實施例所述,在上述半導體結構的製造方法中,多個金屬圖案可包括彼此分離的第一金屬圖案與第二金屬圖案。第一金屬圖案的寬度可大於第二金屬圖案的寬度。位在第一金屬圖案的正上方的第一介電層的厚度可大於位在第二金屬圖案的正上方的第一介電層的厚度。
依照本發明的一實施例所述,在上述半導體結構的製造方法中,蝕刻製程對位在第一金屬圖案的正上方的第一介電層的蝕刻深度可大於蝕刻製程對位在第二金屬圖案的正上方的第一介電層的蝕刻深度。
依照本發明的一實施例所述,在上述半導體結構的製造方法中,平坦化製程可包括對第一介電層進行化學機械研磨製程或對第一介電層依序進行化學機械研磨製程與回蝕刻製程。
依照本發明的一實施例所述,在上述半導體結構的製造方法中,更可包括以下步驟。在移除第二圖案化光阻層之後,在第一介電層上形成第二介電層。在平坦化製程中,移除第二介電層。
依照本發明的一實施例所述,在上述半導體結構的製造方法中,更可包括以下步驟。在暴露出多個金屬圖案之後,在多個金屬圖案上形成保護層(passivation)。
基於上述,在本發明所提出的半導體結構的製造方法中,由於第一微影製程與第二微影製程使用相同光罩,且第二圖案化光阻層的光阻材料為第一圖案化光阻層的光阻材料的反型光阻材料,因此無須增加額外的光罩。此外,由於利用第二圖案化光阻層作為罩幕來對覆蓋多個金屬圖案的第一介電層進行蝕刻製程,因此可降低第一介電層的階梯高度與階梯高度差,且可降低後續平坦化製程的負荷(loading)。如此一來,有助於進行後續的平坦化製程,藉此可提升第一介電層在進行平坦化製程之後的厚度均勻性,且可防止在半導體結構上產生缺陷。
為讓本發明的上述特徵和優點能更明顯易懂,下文特舉實施例,並配合所附圖式作詳細說明如下。
圖1A至圖1G為根據本發明的一些實施例的半導體結構的製造流程剖面圖。
請參照圖1A,提供基底100。基底100可為半導體基底,如矽基底。此外,在圖1A中雖未示出,但在基底100中可具有摻雜區及或隔離結構等所需的構件,且在基底100上可具有半導體元件(如主動元件及/或被動元件)、介電層與內連線結構等所需的構件,於此省略其說明。
接著,在基底100上形成金屬材料層102。金屬材料層102的材料例如是鋁或鋁銅合金。金屬材料層102的形成方法例如是物理氣相沉積法或化學氣相沉積法。
然後,利用光罩進行第一微影製程,而在金屬材料層102上形成第一圖案化光阻層104。此外,可使用標準焦點進行第一微影製程,藉此可使得第一圖案化光阻層104所包含的多個光阻圖案P1的側壁約垂直於基底100的頂面。另外,標準焦點亦可稱為最佳焦點(best focus)。第一圖案化光阻層104的光阻材料可為正型光阻材料或負型光阻材料。
請參照圖1B,利用第一圖案化光阻層104為罩幕,對金屬材料層102進行圖案化製程,而形成包括多個金屬圖案P2的金屬層102a。在一些實施例中,金屬層102a可為最上層金屬層。多個金屬圖案P2可包括彼此分離的金屬圖案P21與金屬圖案P22。金屬圖案P21的寬度W1可大於金屬圖案P22的寬度W2。在一些實施例中,可藉由乾式蝕刻製程對金屬材料層102進行圖案化製程。
接著,移除第一圖案化光阻層104。第一圖案化光阻層104的移除方法例如是乾式剝離法(dry stripping)或濕式剝離法(wet stripping)。
然後,藉由高密度電漿化學氣相沉積法在基底100上形成覆蓋多個金屬圖案P2的介電層106。介電層106可填入金屬圖案P2之間的間隙。此外,位在金屬圖案P21的正上方的介電層106的厚度T1可大於位在金屬圖案P22的正上方的介電層106的厚度T2。介電層106的材料例如是氧化矽。
請參照圖1C,利用在第一微影製程中所使用的相同光罩進行第二微影製程,而在介電層106上形成第二圖案化光阻層108。第二圖案化光阻層108暴露出位在多個金屬圖案P2正上方的介電層106。第二圖案化光阻層108的光阻材料為第一圖案化光阻層104的光阻材料的反型光阻材料。亦即,第一圖案化光阻層104(圖1A)的光阻材料與第二圖案化光阻層108(圖1C)的光阻材料互為不同型的光阻材料。舉例來說,若第一圖案化光阻層104的光阻材料為正型光阻材料,則第二圖案化光阻層108的光阻材料為負型光阻材料。此外,若第一圖案化光阻層104的光阻材料為負型光阻材料,則第二圖案化光阻層108的光阻材料為正型光阻材料。由於第一微影製程與第二微影製程使用相同光罩,且第二圖案化光阻層108的光阻材料為第一圖案化光阻層104的光阻材料的反型光阻材料,因此無須增加額外的光罩,藉此可降低製造成本。另外,可藉由移動第二微影製程的焦點,而使得第二圖案化光阻層108所包含的多個光阻圖案P3的剖面形狀為錐形。
請參照圖1D,利用第二圖案化光阻層108作為罩幕,對介電層106進行蝕刻製程,藉此可降低介電層106的階梯高度與階梯高度差,且可降低後續平坦化製程的負荷。在一些實施例中,蝕刻製程可同時移除部分第二圖案化光阻層108,而降低第二圖案化光阻層108的高度,且加大光阻圖案P3之間的開口寬度。此外,蝕刻製程對位在金屬圖案P21的正上方的介電層106的蝕刻深度D1可大於蝕刻製程對位在金屬圖案P22的正上方的介電層106的蝕刻深度D2。在一些實施例中,由於光阻圖案P3的剖面形狀為錐形,因此在對介電層106進行蝕刻製程之後,可使得介電層106具有平緩峰(smooth peak)(即,坡度較緩的峰),而有助於進行後續的製程(如,膜層沉積或化學機械研磨製程)。舉例來說,在金屬圖案P21上方的介電層106可具有平緩峰SP。蝕刻製程例如是乾式蝕刻製程。
請參照圖1E,移除第二圖案化光阻層108。第二圖案化光阻層108的移除方法例如是乾式剝離法或濕式剝離法。
接著,在移除第二圖案化光阻層108之後,可在介電層106上形成介電層110。介電層110有助於降低圖1E中的結構的階梯高度差,藉此有助於進行後續的製程(如,化學機械研磨製程)。介電層110的材料例如是氧化矽,如四乙氧基矽烷(tetraethyl orthosilicate,TEOS)氧化矽,但本發明並不以此為限。在另一些實施例中,亦可不形成介電層110。
請參照圖1F,對介電層106進行平坦化製程,而暴露出多個金屬圖案P2。平坦化製程可包括對介電層106進行化學機械研磨製程或對介電層106依序進行化學機械研磨製程與回蝕刻製程。此外,在平坦化製程中,可移除介電層110。在一些實施例中,在平坦化製程中,可完全移除介電層110。
請參照圖1G,在暴露出多個金屬圖案P2之後,可在多個金屬圖案P2上形成保護層112。此外,保護層112可形成在介電層106上。保護層112可為單層結構或多層結構。在本實施例中,保護層112是以多層結構為例,且可包括保護層112a與保護層112b,但本發明並不以此為限。保護層112a可形成在多個金屬圖案P2與介電層106上。保護層112a的材料例如是氧化矽。保護層112a的形成方法例如是化學氣相沉積法。保護層112b可形成在保護層112a上。保護層112b的材料例如是氮化矽。保護層112b的形成方法例如是化學氣相沉積法。
在一些實施例中,在金屬層102a為最上層金屬層的情況下,可對圖1G的半導體結構10進行後續的封裝製程(如,三維(three-dimensional,3D)半導體封裝製程)。此外,由於後續進行的封裝製程為所屬技術領域具有通常知識者所周知,於此省略其說明。
基於上述實施例可知,在半導體結構10的製造方法中,由於第一微影製程與第二微影製程使用相同光罩,且第二圖案化光阻層108的光阻材料為第一圖案化光阻層104的光阻材料的反型光阻材料,因此無須增加額外的光罩。此外,由於利用第二圖案化光阻層108作為罩幕來對覆蓋多個金屬圖案P2的介電層106進行蝕刻製程,因此可降低介電層106的階梯高度與階梯高度差,且可降低後續平坦化製程的負荷。如此一來,有助於進行後續的平坦化製程,藉此可提升介電層106在進行平坦化製程之後的厚度均勻性,且可防止在半導體結構上產生缺陷。
綜上所述,在上述實施例的半導體結構的製造方法中,由於第一微影製程與第二微影製程使用相同光罩,且第二圖案化光阻層的光阻材料為第一圖案化光阻層的光阻材料的反型光阻材料,因此無須增加額外的光罩。此外,由於利用第二圖案化光阻層作為罩幕來對覆蓋多個金屬圖案的介電層進行蝕刻製程,因此可降低介電層的階梯高度與階梯高度差,藉此可提升介電層在進行平坦化製程之後的厚度均勻性,且可防止在半導體結構上產生缺陷。
雖然本發明已以實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明的精神和範圍內,當可作些許的更動與潤飾,故本發明的保護範圍當視後附的申請專利範圍所界定者為準。
10:半導體結構 100:基底 102:金屬材料層 102a:金屬層 104:第一圖案化光阻層 106, 110:介電層 108:第二圖案化光阻層 112, 112a, 122b:保護層 D1, D2:蝕刻深度 P1, P3:光阻圖案 P2, P21, P22:金屬圖案 SP:平緩峰 T1, T2:厚度 W1, W2:寬度
圖1A至圖1G為根據本發明的一些實施例的半導體結構的製造流程剖面圖。
100:基底
102a:金屬層
106:介電層
108:第二圖案化光阻層
D1,D2:蝕刻深度
P2,P21,P22:金屬圖案
P3:光阻圖案
SP:平緩峰

Claims (10)

  1. 一種半導體結構的製造方法,包括: 提供基底; 在所述基底上形成金屬材料層; 利用光罩進行第一微影製程,而在所述金屬材料層上形成第一圖案化光阻層; 利用所述第一圖案化光阻層為罩幕,對所述金屬材料層進行圖案化製程,而形成包括多個金屬圖案的金屬層; 移除所述第一圖案化光阻層; 藉由高密度電漿化學氣相沉積法在所述基底上形成覆蓋多個所述金屬圖案的第一介電層; 利用在所述第一微影製程中所使用的相同所述光罩進行第二微影製程,而在所述第一介電層上形成第二圖案化光阻層,其中所述第二圖案化光阻層暴露出位在多個所述金屬圖案正上方的所述第一介電層,且所述第二圖案化光阻層的光阻材料為所述第一圖案化光阻層的光阻材料的反型光阻材料; 利用所述第二圖案化光阻層作為罩幕,對所述第一介電層進行蝕刻製程; 移除所述第二圖案化光阻層;以及 對所述第一介電層進行平坦化製程,而暴露出多個所述金屬圖案。
  2. 如請求項1所述的半導體結構的製造方法,其中所述金屬材料層的材料包括鋁或鋁銅合金。
  3. 如請求項1所述的半導體結構的製造方法,其中使用標準焦點進行所述第一微影製程。
  4. 如請求項1所述的半導體結構的製造方法,其中藉由移動所述第二微影製程的焦點,而使得所述第二圖案化光阻層的多個光阻圖案所包含的剖面形狀為錐形。
  5. 如請求項1所述的半導體結構的製造方法,其中所述金屬層包括最上層金屬層。
  6. 如請求項1所述的半導體結構的製造方法,其中 多個所述金屬圖案包括彼此分離的第一金屬圖案與第二金屬圖案, 所述第一金屬圖案的寬度大於所述第二金屬圖案的寬度,且 位在所述第一金屬圖案的正上方的所述第一介電層的厚度大於位在所述第二金屬圖案的正上方的所述第一介電層的厚度。
  7. 如請求項6所述的半導體結構的製造方法,其中所述蝕刻製程對位在所述第一金屬圖案的正上方的所述第一介電層的蝕刻深度大於所述蝕刻製程對位在所述第二金屬圖案的正上方的所述第一介電層的蝕刻深度。
  8. 如請求項1所述的半導體結構的製造方法,其中所述平坦化製程包括對所述第一介電層進行化學機械研磨製程或對所述第一介電層依序進行所述化學機械研磨製程與回蝕刻製程。
  9. 如請求項1所述的半導體結構的製造方法,更包括: 在移除所述第二圖案化光阻層之後,在所述第一介電層上形成第二介電層;以及 在所述平坦化製程中,移除所述第二介電層。
  10. 如請求項1所述的半導體結構的製造方法,更包括: 在暴露出多個所述金屬圖案之後,在多個所述金屬圖案上形成保護層。
TW111102616A 2022-01-21 2022-01-21 半導體結構的製造方法 TWI821875B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW111102616A TWI821875B (zh) 2022-01-21 2022-01-21 半導體結構的製造方法
CN202210115728.3A CN116504620A (zh) 2022-01-21 2022-02-07 半导体结构的制造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW111102616A TWI821875B (zh) 2022-01-21 2022-01-21 半導體結構的製造方法

Publications (2)

Publication Number Publication Date
TW202331811A TW202331811A (zh) 2023-08-01
TWI821875B true TWI821875B (zh) 2023-11-11

Family

ID=87318964

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111102616A TWI821875B (zh) 2022-01-21 2022-01-21 半導體結構的製造方法

Country Status (2)

Country Link
CN (1) CN116504620A (zh)
TW (1) TWI821875B (zh)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160244581A1 (en) * 2015-02-19 2016-08-25 International Business Machines Corporation Hybrid topographical and chemical pre-patterns for directed self-assembly of block copolymers
CN107203099A (zh) * 2016-03-18 2017-09-26 台湾积体电路制造股份有限公司 半导体器件及其制造方法
WO2018152115A1 (en) * 2017-02-17 2018-08-23 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US20180321581A1 (en) * 2017-05-04 2018-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Photomask and fabrication method therefor

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160244581A1 (en) * 2015-02-19 2016-08-25 International Business Machines Corporation Hybrid topographical and chemical pre-patterns for directed self-assembly of block copolymers
CN107203099A (zh) * 2016-03-18 2017-09-26 台湾积体电路制造股份有限公司 半导体器件及其制造方法
WO2018152115A1 (en) * 2017-02-17 2018-08-23 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
TW201842573A (zh) * 2017-02-17 2018-12-01 美商蘭姆研究公司 半導體裝置製造中之氧化錫膜
US20180321581A1 (en) * 2017-05-04 2018-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Photomask and fabrication method therefor

Also Published As

Publication number Publication date
TW202331811A (zh) 2023-08-01
CN116504620A (zh) 2023-07-28

Similar Documents

Publication Publication Date Title
TWI505324B (zh) 形成高密度圖案的方法
US8883649B2 (en) Sidewall image transfer process
US20070111467A1 (en) Method for forming trench using hard mask with high selectivity and isolation method for semiconductor device using the same
CN109671619B (zh) 一种晶圆级混合键合的方法
TW201923834A (zh) 半導體結構的形成方法
KR100518587B1 (ko) 얕은 트렌치 소자 분리 구조의 제조 방법 및 얕은 트렌치소자 분리 구조를 포함하는 미세 전자 소자
US9236240B2 (en) Wafer edge protection
KR20210021227A (ko) 반도체 장치의 제조 방법
US11335568B2 (en) Method for forming semiconductor structure
TWI821875B (zh) 半導體結構的製造方法
JP3645142B2 (ja) 半導体ウエハの処理方法ならびに半導体装置の製造方法
TWI252535B (en) Method for forming contact plug of semiconductor device
TWI724815B (zh) 半導體結構之形成方法
TWI803645B (zh) 平面化半導體結構的方法
TWI818787B (zh) 圖案化底層結構的方法
TWI840706B (zh) 製造半導體裝置和圖案化半導體結構的方法
TWI817469B (zh) 具有能量可移除間隙子之半導體元件結構的製備方法
TWI809846B (zh) 半導體元件結構的製備方法
CN111584431B (zh) 一种自对准四重图形形成方法
TWI497784B (zh) 磁性感測裝置及其製作方法
TWI417989B (zh) 半導體溝渠與雙溝渠的製造方法及用以隔離元件的結構
TW202207293A (zh) 製造半導體結構的方法
TW202312275A (zh) 製造半導體裝置和圖案化半導體結構的方法
KR100835414B1 (ko) 반도체 소자의 제조 방법
TWI485772B (zh) 形成介層洞的方法