TW202341276A - 半導體裝置製造中之氧化錫膜 - Google Patents

半導體裝置製造中之氧化錫膜 Download PDF

Info

Publication number
TW202341276A
TW202341276A TW111147564A TW111147564A TW202341276A TW 202341276 A TW202341276 A TW 202341276A TW 111147564 A TW111147564 A TW 111147564A TW 111147564 A TW111147564 A TW 111147564A TW 202341276 A TW202341276 A TW 202341276A
Authority
TW
Taiwan
Prior art keywords
tin oxide
semiconductor substrate
tin
layer
etching
Prior art date
Application number
TW111147564A
Other languages
English (en)
Other versions
TWI838003B (zh
Inventor
正義 游
暹華 陳
瑜 姜
惠榮 吳
理查 威茲
陽 潘
納德 莎瑪
博里斯 佛洛斯基
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202341276A publication Critical patent/TW202341276A/zh
Application granted granted Critical
Publication of TWI838003B publication Critical patent/TWI838003B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02565Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/465Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/465Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/467Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/18Vacuum control means
    • H01J2237/186Valves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

錫氧化物膜係用作半導體元件製造中的間隔件及硬遮罩。在一方法中,錫氧化物層係保形地形成於基板上之凸起特徵部的側壁及水平表面上方。然後,鈍化層係形成於側壁上之錫氧化物上方,以及然後從凸起特徵部的水平表面移除錫氧化物,而不移除凸起特徵部之側壁處的錫氧化物。然後,移除凸起特徵部的材料,同時留下位於凸起特徵部之側壁處的錫氧化物,從而形成錫氧化物間隔件。基於氫及基於氯的乾式蝕刻化學成分係用以於存在諸多材料的情況下,選擇性地蝕刻錫氧化物。在另一方法中,圖案化錫氧化物硬遮罩層係藉由在未經圖案化之錫氧化物上方形成圖案化層、並且將圖案轉移至錫氧化物而形成於基板上。

Description

半導體裝置製造中之氧化錫膜
本發明相關於半導體元件製造的方法。尤其,本發明的實施例相關於在半導體處理中利用錫氧化物膜的方法。
在積體電路(IC, integrated circuit)製造中,沉積及蝕刻技術係用以形成材料的圖案,例如用以形成嵌在介電層中的金屬線。一些圖案化方法涉及使用間隔件,該間隔件達成小型特徵部之精確的圖案化及形成。間隔件係形成於基板上,使得該等間隔件係以定義距離(該定義距離通常係由先前的圖案化所判定)而隔開,且係用作下方層之圖案化的遮罩。間隔件及周圍層的材料係選擇成具有適當的蝕刻選擇性,其將達成間隔件的形成及下方層的圖案化兩者。在完成圖案化之後,間隔件係藉由蝕刻加以移除,且並非最後製造之半導體元件的一部分。
間隔件係用於諸多應用中的圖案化,包含動態隨機存取記憶體(DRAM, dynamic random-access memory)的形成、鰭式場效應電晶體(finFET, fin field effect transistor)中的鰭部圖案化、及產線後段(BEOL, back end of line)處理中的圖案化。
在一實施態樣中,提供半導體基板的處理方法。在一些實施例中,方法包含:(a)提供具有複數凸起特徵部的半導體基板,該等凸起特徵部具有水平表面及側壁;(b)在凸起特徵部的水平表面及側壁上形成錫氧化物層(例如,保形地沉積錫氧化物至約5~30 nm之間的厚度);(c)在凸起特徵部之側壁處的錫氧化物層上方形成鈍化層;以及(d)在形成鈍化層之後,蝕刻並將錫氧化物從凸起特徵部的水平表面移除,並且從而露出凸起特徵部的一材料,而不移除位於凸起特徵部之側壁處的錫氧化物。在一些實施例中,鈍化層具有1~5 nm的厚度。在一些實施例中,在步驟(d)中所露出之凸起特徵部的材料係選自由含矽材料(例如,矽氧化物或另一含矽化合物)、含碳材料(例如,非晶碳或光阻)、及金屬氧化物(例如,鈦氧化物)組成的群組。在一些實施例中,步驟(a)中所提供的半導體基板在凸起特徵部之間包含選自由矽氧化物、鈦氧化物、鋯氧化物、及鎢氧化物組成之群組的材料。
在一些實施例中,蝕刻並將錫氧化物從凸起特徵部之水平表面移除的步驟包含利用基於氫的蝕刻化學成分來蝕刻錫氧化物,這導致形成錫氫化物。例如,如此之蝕刻可包含使半導體基板與選自由H 2、HBr、NH 3、H 2O、碳氫化合物、及其組合組成之群組的電漿活化含氫反應物接觸。
在一些實施例中,蝕刻並將錫氧化物從凸起特徵部之水平表面移除的步驟包含利用基於氯的蝕刻化學成分,其包含將半導體基板曝露至選自由Cl 2、BCl 3、及其組合組成之群組的電漿活化含氯反應物。在一些實施例中,蝕刻並將錫氧化物從凸起特徵部之水平表面移除的步驟包含:利用基於氯的蝕刻化學成分來蝕刻錫氧化物,然後利用基於氫的蝕刻化學成分來蝕刻錫氧化物。例如,此步驟可包含:利用在包含Cl 2及BCl 3之氣體中所形成的電漿來蝕刻錫氧化物層,然後利用在含H 2氣體中所形成的電漿來蝕刻錫氧化物層。
在一實施例中,在錫氧化物蝕刻期間所露出之凸起特徵部的材料係選自由SiO 2、SiN、SiOC、SiC、SiCN、SiCNO、及SiOC組成的群組,且錫氧化物蝕刻包含利用基於氫的蝕刻化學成分,其導致形成錫氫化物。在一實施例中,凸起特徵部係覆蓋有矽氧化物的矽凸起特徵部,且從凸起部之水平表面移除錫氧化物層包含:利用基於氫的蝕刻化學成分來蝕刻錫氧化物,其導致形成錫氫化物;以及露出下方的矽氧化物材料。
在另一實施例中,凸起特徵部係碳凸起特徵部或光阻凸起特徵部。在另一實施例中,凸起特徵部係選自由鉭氧化物(TaO)、鈦氧化物(TiO)、鎢氧化物(WO)、鋯氧化物(ZrO)、及鉿氧化物(HfO)組成之群組的金屬氧化物凸起特徵部。
在一些實施例中,在凸起特徵部之側壁處的錫氧化物層上方形成鈍化層包含在凸起特徵部水平表面及側壁兩者上方皆沉積鈍化材料,然後從凸起特徵部的水平表面移除鈍化材料。在一實施例中,在凸起特徵部之側壁處的錫氧化物層上方形成鈍化層包含在凸起特徵部之水平表面及側壁兩者上方皆沉積含矽鈍化材料,然後利用基於氟碳化物的蝕刻化學成分,從凸起特徵部的水平表面移除含矽鈍化材料。在另一實施例中,在凸起特徵部之側壁處的錫氧化物層上方形成鈍化層包含在凸起特徵部的水平表面及側壁兩者上方皆沉積含碳鈍化材料,然後從水平表面移除含碳鈍化材料。
在一些實施例中,在凸起特徵部之側壁處的錫氧化物層上方形成鈍化層包含將錫氧化物層的外部部分轉變成含錫鈍化材料,該含錫鈍化材料係選自由錫氮化物(SnN)、錫溴化物(SnBr)、及錫氟化物(SnF)組成的群組。在一範例中,在凸起特徵部之側壁處的錫氧化物層上方形成鈍化層包含藉由使基板與電漿中的含氮反應物接觸而將錫氧化物層的外部部分轉變成錫氮化物。
從水平表面移除錫氧化物層之後,製程然後可移除凸起特徵部,而不完全移除位於凸起特徵部之側壁處的錫氧化物層,藉此形成錫氧化物間隔件。
方法亦可包含:施加光阻至基板;將光阻曝露至光;使光阻圖案化,並將圖案轉移至基板;以及選擇性地從基板移除光阻。
在另一實施態樣中,提供部分製造的半導體基板,其中半導體基板包含複數間隔件,其中每一間隔件包含一錫氧化物層及一鈍化材料層。
在另一實施態樣中,提供半導體基板的處理系統。在一實施例中,系統包含:一或更多沉積腔室;一或更多蝕刻腔室;以及系統控制器,其包含針對以下者的程式指令:(i)使錫氧化物層沉積於半導體基板上之複數凸起特徵部的水平表面及側壁上方;(ii)使鈍化層形成於凸起特徵部之側壁處的錫氧化物層上;以及(iii)從凸起特徵部的水平表面移除錫氧化物層,而不移除凸起特徵部之側壁上方的錫氧化物層。
在另一實施態樣中,提供利用錫氧化物硬遮罩之半導體基板的處理方法。在一實施例中,半導體基板的處理方法包含:藉由在未經圖案化的錫氧化物硬遮罩層上方形成圖案化層並將圖案轉移至錫氧化物硬遮罩層,在半導體基板上形成圖案化錫氧化物硬遮罩層;以及於存在錫氧化物硬遮罩層的情況下,處理半導體基板。
在一實施例中,處理半導體基板包含蝕刻未被圖案化錫氧化物硬遮罩覆蓋的裸露材料。在一實施例中,未被圖案化錫氧化物硬遮罩覆蓋的裸露材料係含矽材料,且蝕刻包含將基板曝露至基於氟的蝕刻化學成分(例如,基於氟碳化物的蝕刻化學成分)。在另一實施例中,未被圖案化錫氧化物硬遮罩覆蓋的裸露材料係含碳材料,且蝕刻包含將基板曝露至基於氧的蝕刻化學成分。
在另一實施態樣中,提供半導體基板處理方法,其中該方法包含:(a)提供具有裸露圖案化可灰化層的半導體基板,其中圖案化可灰化層包含複數下凹特徵部,其具有位於每一下凹特徵部之底部處的裸露目標材料;(b)利用錫氧化物填滿下凹特徵部,並在可灰化層上方形成錫氧化物過度覆蓋部;(c)移除錫氧化物過度覆蓋部,以露出下方的可灰化材料;以及(d)移除可灰化層,以露出下方的目標層,而不完全移除錫氧化物。在一實施例中,可灰化材料係含碳材料(例如,碳),且步驟(d)包含利用基於氧的蝕刻化學成分來移除可灰化材料。
在另一實施態樣中,提供半導體基板處理方法,其中該方法包含:(a)提供具有圖案化錫氧化物層的半導體基板,其中圖案化錫氧化物層包含複數下凹特徵部;(b)利用含矽材料填滿下凹特徵部,其中該含矽材料係矽或含矽化合物,並且在錫氧化物層上方形成含矽材料的過度覆蓋部;(c)移除過度覆蓋部,以露出下方的錫氧化物;以及(d)移除錫氧化物,而不完全移除含矽材料。在一實施例中,移除錫氧化物而不完全移除含矽材料的步驟包含利用基於氫的蝕刻化學成分(例如,形成於H 2中的電漿)來蝕刻錫氧化物。
在另一實施態樣中,半導體基板處理方法包含:(a)提供具有複數凸起特徵部的半導體基板;(b)在凸起特徵部的水平表面及側壁上方沉積錫氧化物層;以及(c)蝕刻並從凸起特徵部的水平表面移除錫氧化物,而不完全移除位於凸起特徵部之側壁處的錫氧化物,其中該蝕刻步驟包含將半導體基板曝露至基於氫的蝕刻化學成分,以將錫氧化物轉變成錫氫化物。
在另一實施態樣中,半導體基板處理方法包含:(a)提供具有複數凸起特徵部的半導體基板;(b)在凸起特徵部的水平表面及側壁上方沉積錫氧化物層;以及(c)蝕刻並從凸起特徵部的水平表面完全移除錫氧化物,而不完全移除位於凸起特徵部之側壁處的錫氧化物,其中該蝕刻步驟包含將半導體基板曝露至基於氯的蝕刻化學成分,以將錫氧化物轉變成錫氯化物。
在另一實施態樣中,半導體基板處理方法包含:(a)提供基板,該基板具有錫氧化物層、位於錫氧化物層上方之圖案化光阻裸露層、及位於錫氧化物層下方的下方材料層,其中錫氧化物係在未被圖案化光阻覆蓋的位置處係裸露的;以及(b)於存在光阻的情況下,選擇性地蝕刻錫氧化物,並露出下方材料,其中該蝕刻步驟係對於光阻及下方材料兩者皆具有選擇性。在一些實施例中,選擇性地蝕刻錫氧化物包含將基板曝露至具有含碳添加物之基於氫的電漿蝕刻化學成分,其於蝕刻期間,在半導體基板上導致形成含碳聚合物。在一實施例中,選擇性地蝕刻錫氧化物包含將半導體基板曝露至在含H 2及碳氫化合物之製程氣體中所形成的電漿。在一些實施例中,如此之錫氧化物蝕刻化學成分提供相對於光阻之至少100、且相對於下方材料之至少10的蝕刻選擇性。在一些實施例中,下方材料係選自由矽(Si)、含矽化合物、及含碳材料組成的群組。在一實施例中,下方材料係非晶矽,且方法更包含於存在錫氧化物的情況下,選擇性地蝕刻在步驟(b)中所露出的非晶矽。在另一實施例中,下方材料包含鎢(W)及碳(C),且方法更包含於存在錫氧化物的情況下,選擇性地蝕刻在步驟(b)中所露出的下方材料。在一些實施例中,在選擇性蝕刻錫氧化物之後,方法更包含:(c)於存在錫氧化物的情況下,選擇性地蝕刻露出的下方材料;以及(d)藉由將半導體基板曝露至在實質上由H 2組成之製程氣體中所形成的電漿,將錫氧化物從半導體基板移除。
在其他實施態樣中,提供選擇性蝕刻方法。在一實施態樣中,半導體基板處理方法包含:(a)提供具有錫氧化物裸露層的半導體基板;(b)藉由將半導體基板曝露至在含H 2及碳氫化合物之製程氣體中所形成的電漿,於存在選自由光阻、矽(Si)、碳、及含碳材料組成之群組之材料的情況下,選擇性地蝕刻錫氧化物。
在另一實施態樣中,半導體基板處理方法包含:(a)提供具有錫氧化物裸露層的半導體基板;(b)利用基於氫的蝕刻,於存在選自由矽(Si)、碳、含碳材料、金屬、金屬氧化物、及金屬氮化物組成之群組之材料的情況下,選擇性地蝕刻錫氧化物。
在另一實施態樣中,半導體基板處理方法包含:(a)提供具有錫氧化物裸露層的半導體基板;以及(b)藉由將半導體基板曝露至電漿,於存在選自由矽(Si)、含矽化合物、光阻、碳(C)、含碳材料、金屬、金屬氧化物、及金屬氮化物組成之群組之材料的情況下,選擇性地蝕刻錫氧化物,以在蝕刻期間形成錫氫化物,其中該電漿係在包括含氫反應物的製程氣體中形成,該含氫反應物係選自由HBr、碳氫化合物、NH 3、及H 2O組成的群組。
在另一實施態樣中,半導體基板的處理方法包含: (a)提供具有材料裸露層的半導體基板,該材料係選自由鈦氧化物及含鎢材料組成的群組;以及(b)於存在選自由鈦氧化物及含鎢材料組成之群組之該材料的情況下,選擇性地蝕刻錫氧化物。
在另一實施態樣中,提供設備,其中該設備包含製程腔室及具有程式指令的控制器,以實施本文中所提供之方法的任何者。
在另一實施態樣中,提供半導體元件,其中該半導體元件包含呈本文中所述之任何配置的錫氧化物層及複數其他材料。
本說明書中所述之申請標的的該等及其他實施態樣係在附圖及以下內容中加以說明。
本文中提供在半導體元件製造中採用錫氧化物膜的方法。該方法利用具有可調式蝕刻速率及選擇性的數個蝕刻製程,其容許將錫氧化物膜整合至採用各式材料的製程方案中,該各式材料係例如含矽化合物(如矽氧化物(SiO 2)、矽碳化物(SiC)、矽氮化物(SiN)、矽碳氧化物(SiOC)、矽氮氧化物(SiNO)、矽碳氮氧化物(SiCNO)、及矽碳氮化物(SiCN))、元素矽(Si)、碳(含非晶碳及類鑽石碳)、光阻、含碳化合物(例如,有機聚合物、金屬碳化物、含鎢碳)、金屬(如鎢)、金屬氧化物(例如,鈦氧化物、鉿氧化物、鋯氧化物、鉭氧化物)、及金屬氮化物(例如,鉭氮化物(TaN)、及鈦氮化物(TiN))。在一些實施例中,錫氧化物係以至少約10:1(例如,至少約20:1)的蝕刻選擇性,於存在該等材料之任何者的情況下加以蝕刻。在一些實施例中,該等材料的任何者係以至少約10:1(例如,至少約20:1)的蝕刻選擇性,於存在錫氧化物的情況下加以蝕刻。
在一些實施例中,錫氧化物係用於圖案化製程中,其中錫氧化物膜係間隔件或硬遮罩(例如,位於光阻下方且位於目標層上方的中間層)。例如,錫氧化物可為自對準間隔件輔助圖案化中的間隔件、自對準雙重圖案化(SADP, self-aligned double patterning)或自對準四重圖案化(SAQP, self-aligned quadruple patterning)中的中間層、接觸孔硬遮罩、極紫外(EUV, extreme UV)硬遮罩、反向型遮罩(reverse tone mask)、或產線後段(BEOL, back end of the line)硬遮罩。結合選擇性蝕刻製程,錫氧化物符合該等應用所要求的緊密臨界尺寸(CD, critical dimension)/輪廓及選擇性要求。蝕刻製程可在容許電漿蝕刻的諸多工具上加以實施,例如Lam Research Corporation所提供的Kiyo ®及Flex TM蝕刻工具。
利用將錫氧化物轉變成揮發性錫氫化物產物(例如,四氫化錫)之基於氫的蝕刻,錫氧化物可相對於各式材料選擇性地加以蝕刻。如本文中所使用之用語「錫氫化物」包含複數錫氫化物(具有錫-氫鍵結的化合物),且不只受限於四氫化錫(SnH 4)。如「錫氯化物」及「矽氟化物」的用語類似地可包含複數氯化物及氟化物。不同於許多其他金屬的氫化物,四氫化錫具有低沸點,且因此可藉由排淨及/或排空而輕易地從製程腔室移除,從而使得基於氫的蝕刻就選擇性錫氧化物蝕刻而言,係尤其有吸引力的製程。
如本文中所使用的錫氧化物是指包含錫(Sn)及氧(O)的材料,且可選地可包含氫。如本文中所使用的錫氧化物可更包含少量的其他元素,例如碳及氮,其中其他元素的總量係10%原子百分比或更少(其中,氫不包含在含量的計算中)。例如,ALD沉積之錫氧化物可包含約0.5~5%原子百分比的碳。錫氧化物可例如藉由ALD、PECVD、或PVD加以沉積。錫氧化物的化學計量通常可改變。在一些實施例中,錫對氧的原子比率約為1:2(SnO 2)。應理解,在SnO 2中,從1:2錫對氧化學計量的小偏差係可能的,且係在SnO 2結構的範疇內。例如,在SnO 2的一些範例中,O對Sn原子比率係介於約2.0~2.3之間。如本文所使用,具有介於約1.5~2.5之間之O對Sn比率的錫氧化物係在SnO 2材料的範疇之內。本文中所述的錫氧化物材料與銦錫氧化物材料及其他混合氧化物不同。
應理解,在如本文中所使用的其他化學化合物中,除非指定,否則化學計量可改變。例如,如SiN及HfO的化學式指定所存在的元素,但不指定化學計量。進一步講,應理解,本文中所述的材料可包含氫(即使化學式中未指明亦然)及化學名稱中未明確列出之少量的摻雜劑(例如,低於10%原子百分比的摻雜劑)。
如本文中所使用之用語「半導體基板」是指處於半導體元件製造之任何階段、在基板結構內任何位置處包含半導體材料的基板。應理解,半導體基板中的半導體材料不必為裸露的。具有覆蓋半導體材料之複數其他材料層(例如,介電質)的半導體晶圓乃半導體基板的範例。以下詳細內容假設所揭露的實施例係在晶圓上實施。然而,所揭露的實施例並非如此受限。工件可具有諸多形狀、尺寸、及材料。除了半導體晶圓之外,可利用所揭露實施例之優點的其他工件包含諸多物件,例如印刷電路板及類似者。
在一些實施例中,所提供的選擇性蝕刻化學成分係用以移除基板上的某些材料或特徵部,而不移除其他材料或特徵部。如本文中所使用,當材料或特徵部的至少90%(例如,100%)被移除時(是指垂直方向上的厚度),蝕刻化學成分「移除」了材料或特徵部。如本文中所使用之用語「不移除」意指材料或特徵部的至少50%(例如,至少80%)在蝕刻之後保留,其中%是指垂直方向上的厚度。
在一些實施例中,所提供的方法係用以從水平表面移除材料,而不移除位於凸起特徵部之側壁處的材料。應理解,如本文中所使用的水平表面包含局部偏離水平平面的表面,例如,凸起特徵部之頂部上的凸蓋。
諸多蝕刻化學成分已發展用於存在其他材料情況下之錫氧化物的選擇性蝕刻、以及存在錫氧化物情況下之其他材料的選擇性蝕刻。存在其他材料情況下之錫氧化物的選擇性蝕刻是指錫氧化物蝕刻,其中錫氧化物之蝕刻速率對其他材料之蝕刻速率的比率大於一,且其中在蝕刻製程的任何時間,該其他材料係曝露至與錫氧化物相同的蝕刻化學成分。例如,其他材料可在蝕刻開始時裸露,或可在蝕刻的過程期間變成裸露。就存在另一材料情況下之錫氧化物的選擇性蝕刻而言,蝕刻選擇性是指就給定化學成分而言,錫氧化物之蝕刻速率對其他材料之蝕刻速率的比率。例如,錫氧化物可於存在含矽化合物的情況下,利用基於氫的蝕刻化學成分以大於50的蝕刻選擇性選擇性地加以蝕刻。
類似地,存在錫氧化物情況下之材料的選擇性蝕刻是指該材料的蝕刻,其中該材料之蝕刻速率對錫氧化物之蝕刻速率的比率係大於一,且其中在蝕刻製程的任何時間,錫氧化物係曝露至與受蝕刻之材料相同的蝕刻化學成分。例如,錫氧化物可在蝕刻開始時裸露,或其可在蝕刻的過程期間變成裸露。存在錫氧化物情況下之材料的選擇性蝕刻的蝕刻選擇性是指就給定化學成分而言,該材料之蝕刻速率對錫氧化物之蝕刻速率的比率。例如,碳可於存在錫氧化物的情況下,利用基於氧的蝕刻化學成分以大於50的蝕刻選擇性選擇性地加以蝕刻。
在一些實施例中,提供半導體基板,其中該半導體基板包含錫氧化物裸露層及第二材料層,其中該第二材料可裸露或不裸露。然後,錫氧化物係於存在第二材料的情況下,利用本文中所述之選擇性錫氧化物蝕刻化學成分其中一者選擇性地加以蝕刻。第二材料可在此蝕刻之前裸露,或可在錫氧化物蝕刻的過程期間變成裸露。
在一些實施例中,提供半導體基板,其中該半導體基板包含第一材料裸露層及錫氧化物層,其中錫氧化物可裸露或不裸露。然後,第一材料係於存在錫氧化物的情況下,利用本文中所述之選擇性化學成分其中一者選擇性地加以蝕刻。錫氧化物可在此蝕刻之前裸露,或可在錫氧化物蝕刻的過程期間變成裸露。
基於氫的蝕刻。在一些實施例中,選擇性錫氧化物蝕刻係利用基於氫的蝕刻執行。基於氫的蝕刻涉及將錫氧化物曝露至含氫反應物(通常伴隨著反應物的電漿活化),使得其將錫氧化物轉變成揮發性錫氫化物。SnH 4具有-52℃的沸騰溫度,且可從製程腔室輕易地移除。含氫反應物的範例包含H 2、HBr、NH 3、H 2O、及碳氫化合物(例如,CH 4)。亦可使用含氫反應物的混合物。基於氫的蝕刻涉及:在包括含氫反應物及選用惰性氣體的製程氣體中形成電漿、以及使基板與所形成的電漿接觸。惰性氣體的範例包含氮(N 2)、氦(He)、氬(Ar)、及氖(Ne)、及氙(Xe)。在一些實施例中,H 2係較佳的含氫反應物,且在一些實施例中,較佳地在包含至少50%體積百分比(例如,至少80%)之H 2的氣體中形成電漿。在其他實施例中,HBr係用作含氫反應物。例如,錫氧化物可利用在實質上由HBr及惰性氣體組成之製程氣體中(例如,在HBr、N 2、及氬的混合物中)所形成的電漿選擇性地加以蝕刻。基於氫的蝕刻通常係利用不包括含氧及含氟物種的製程氣體進行。在一些實施例中,製程氣體實質上由一或更多含氫反應物及選用的惰性氣體組成。
基於氫的蝕刻可於存在以下材料的情況下選擇性地移除錫氧化物:含矽化合物(例如,SiO 2、SiN、SiC、SiOC、SiCN、SiON、SiCNO、旋塗玻璃)、金屬氧化物(例如,鈦氧化物、鎢氧化物、及鋯氧化物)、金屬氮化物(例如,鈦氮化物、及鉭氮化物)、金屬(例如,鎢)、光阻、及有機聚合物。進一步講,基於氫的蝕刻可用以於存在覆蓋矽氧化物之矽的情況下,選擇性地蝕刻錫氧化物。當矽曝露至大氣時,矽氧化物經常形成於矽表面上。基於氫的蝕刻亦可用以於存在元素矽(例如,非晶矽)及碳的情況下,選擇性地蝕刻錫氧化物。進一步講,基於氫的蝕刻可用以於存在金屬碳化物及含金屬及碳之材料的情況下,選擇性地蝕刻錫氧化物。例如,錫氧化物可利用基於氫的蝕刻,於存在鎢碳材料(亦稱為摻雜鎢之碳)的情況下選擇性地加以蝕刻。在一些實施例中,鎢碳材料包含介於約20~60%原子百分比之間的鎢。
在一些實施例中, 提供半導體基板,其中該半導體基板包含錫氧化物裸露層及任何的該等材料層。然後,錫氧化物係於存在該等材料的情況下選擇性地加以蝕刻。該等材料可在如此之蝕刻之前裸露,或可在錫氧化物蝕刻的過程期間變成裸露。
在一些實施例中,基於氫的蝕刻的蝕刻選擇性係大於10,例如,大於30、如大於50、或大於80。蝕刻選擇性是指在所選擇的製程條件下,錫氧化物之蝕刻速率對其他材料之蝕刻速率的比率。在一些範例中,利用H 2電漿的情況下,就相對於SiO 2蝕刻錫氧化物而言,達成蝕刻選擇性100。
利用氫電漿(是指在含氫反應物中所形成的電漿)之錫氧化物蝕刻方法可在諸多設備中、於廣泛範圍之製程條件下實施。在一實施例中,該方法涉及:提供具有錫氧化物裸露層的半導體基板至蝕刻腔室;以及使基板與在含H 2(或另一含氫氣體)及選用載體氣體(例如,氦或另一惰性氣體)之製程氣體中所形成的電漿接觸。用語「蝕刻腔室」或「蝕刻設備」是指用於蝕刻的腔室及設備。在一些實施例中,「蝕刻腔室」或「蝕刻設備」係專用於蝕刻操作。在其他實施例中,除蝕刻之外,「蝕刻腔室」或「蝕刻設備」還可用於執行例如沉積的其他操作。例如,在一些實施例中,蝕刻腔室亦可用於ALD沉積。
在一些實施例中, 用於氫電漿蝕刻的電漿係在容納半導體基板之同一製程腔室中產生。在其他實施例中,電漿係遠端產生,且係透過製程腔室中的一或更多入口而被導入至容納基板的製程腔室中。
蝕刻係控制成例如將錫氧化物轉變成揮發性錫氫化物。在一實施例中,製程氣體中的H 2含量係至少50%體積百分比,例如至少80%體積百分比(可高達並且包含100%)。在一些實施例中,製程氣體可更包含例如CH 4的碳氫化合物。在一些實施例中,製程氣體更包含Cl 2。例如,製程氣體可實質上由H 2及惰性氣體(例如,He)組成,或製程氣體可實質上由H 2、惰性氣體、及碳氫化合物(例如,CH 4)組成。蝕刻係在(於基板附近量測之)低於約100℃的溫度下執行。蝕刻反應有利地僅產生例如SnH 4的揮發性材料,其可藉由排空及/或排淨而輕易地從蝕刻製程腔室移除。因為較高的溫度可能導致所形成的SnH 4分解及微粒形成(其可能污染製程腔室及基板),故蝕刻製程溫度較佳地係選擇成低於約100 ℃。製程氣體的組成及製程條件係選擇成例如減少或消除蝕刻期間的微粒形成。顯然,蝕刻反應不要求任何大量的濺射成分,且可在基板處缺少外部偏壓的情況下、及缺少重離子(例如,氬離子)的情況下執行。減少的濺射成分因使相對於基板上之第二材料的蝕刻選擇性增加而可為有利的。因此,在一些實施例中,蝕刻係在不提供外部偏壓至基板的情況下執行,且/或蝕刻涉及利用氦氣體(輕氣體)作為載體氣體,以減少濺射。
用於氫電漿蝕刻的電漿可利用諸多頻率(低頻率及高頻率)產生。適當頻率的範例包含400KHz、2MHz、13.56MHz、27MHz、或2.45GHz。在一些實施例中,用於產生電漿的功率可介於從約50 W至1000 W之間的範圍(其對應介於約0.0018與0.36 W/cm 2之間的功率密度)。基板處的偏壓係選用的,且偏壓功率可介於從約0至500 W的範圍。(用以處理一個300 mm晶圓之)每個噴淋頭的適當的氣體流速係: i. H 2:25至750 sccm; ii. Cl 2:0至500 sccm(例如,5~200 sccm); iii. He:0至500 sccm(例如,5~100 sccm);以及 iv. CH 4: 0至500 sccm(例如,5~100 sccm)。
在一些實施例中,蝕刻製程可在介於約1至175 mTorr之間的壓力下執行。
在一些特定的實施例中,電漿係利用高頻率產生方法(例如,13.56 MHz或27 MHz)而產生,且其係利用介於約200至500W之間的電漿功率(其對應0.07與0.18 W/cm 2的功率密度)加以提供。基板處之偏壓的功率係介於約0與200 W之間。(用以處理一個300 mm晶圓之)每個噴淋頭的適當的氣體流速係: i. H 2:100至300 sccm; ii. Cl 2:0至200 sccm(例如,5~100 sccm); iii. He:0至100 sccm(例如,5~50 sccm); iv .CH 4: 0至100 sccm(例如,5~50 sccm)。
在該等實施例中,蝕刻製程係在介於約1至30 mTorr之間的壓力下執行。
吾人發現,基於氫之蝕刻的選擇性可藉由在製程氣體中使用含碳反應物而顯著增加,其在蝕刻期間於基板的表面上形成含碳聚合物(例如,CH x聚合物)。在一些實施例中,用於此實施例中的製程氣體包含H 2及碳氫化合物(例如,甲烷(CH 4))。製程氣體通常亦包含惰性氣體。在一些實施例中,H 2對碳氫化合物的比率較佳地至少為5,例如至少為10。在一些實施例中,H 2對碳氫化合物的體積比率係介於約5~500之間,例如介於約10~300之間。在一些實施例中,存在另一材料(或複數材料)情況下之錫氧化物的選擇性蝕刻包含將基板曝露至在含H 2及碳氫化合物(例如,CH 4)之製程氣體中所形成的電漿。在一實施例中,H 2係以約100~500 sccm之間的流速加以提供,且碳氫化合物係以約1~20 sccm之間(例如,約5~10 sccm之間)的流速加以提供。製程可利用介於約100~1000 W之間(例如,介於約200~500 W之間)的電漿功率(就單一300 mm晶圓而言)進行,這對應於介於約0.14~1.3 W/cm 2之間(例如,0.28~0.71 W/cm 2)的功率密度。在一些實施例中,蝕刻係利用介於約50~500 Vb之間(例如,介於約100~200 Vb之間)的基板偏壓進行。製程較佳地係在低於約100℃的溫度下執行。在一特定的範例中,提供以下氣體:100 sccm之H 2;5 sccm之CH 4、及100 sccm之氦。電漿係利用300 W的功率在製程氣體中形成,且使用25%之工作循環下之100Vb的基板偏壓。製程係在30 ℃及5 mTorr的壓力下執行。如本文中所述,基板上之含碳聚合物的形成可增加錫氧化物相對於本文中所列舉之任何材料的蝕刻選擇性。此效果於存在光阻、碳、含碳材料、及矽(Si)的情況下蝕刻錫氧化物時尤其有用。例如,當於存在光阻的情況下進行蝕刻時,蝕刻選擇性可大於100,且在一些情形中接近無限大。使用如此高度具有選擇性的蝕刻容許利用具有較小厚度的光阻,以降低光微影曝露劑量,且/或防止起因於細小節距之高深寬比的光阻線坍塌。在所述的方法中,CH x聚合物保護光阻不受蝕刻。進一步講,如此之蝕刻可用以改善光阻層的幾何結構。在一些實施例中,半導體基板上的錫氧化物係於存在設置於錫氧化物層上方之光阻的情況下、且於存在位於錫氧化物下方之材料的情況下,利用如此之蝕刻以(相對於光阻、及位於錫氧化物下方之材料兩者)至少為10的蝕刻選擇性而選擇性地加以蝕刻。在一些實施例中, 位於錫氧化物下方的材料包含矽(例如,非晶矽)、含矽化合物(例如,SiO 2、SiN、SiC、SiON、SiOC)、碳(例如,非晶碳)、及含碳化合物(例如,碳鎢)其中一或更多者。
在一些實施例中,HBr係用作基於氫之蝕刻中的含氫反應物。在一實施例中,蝕刻方法涉及:使HBr以100~500sccm的流速、使惰性氣體(例如,氦)以100~500 sccm的流速流動;以及利用(每一個300 mm晶圓之)100~500 W的RF功率(對應於0.14~0.71 W/cm 2的功率密度),在如此之製程氣體中形成電漿。如此之蝕刻可在基板偏壓或不具基板偏壓的情況下進行。例如,基板偏壓可介於0~200 Vb之間,例如50~200 Vb。製程可在低於100 ℃的溫度及5~50 mTorr的壓力下執行。
基於氯的蝕刻。在一些實施例中,選擇性錫氧化物蝕刻係利用基於氯的蝕刻執行。基於氯的蝕刻涉及將錫氧化物曝露至含氯反應物(通常伴隨著反應物的電漿活化),使得其將錫氧化物轉變成錫氯化物。SnCl 4具有114℃的沸點,且可從製程腔室移除。適當的含氯反應物的範例包含Cl 2及BCl 3。在一實施例中,使用Cl 2及BCl 3的混合物。在一實施例中,基於氯的蝕刻涉及:在包括含氯反應物及選用惰性氣體的製程氣體中形成電漿、以及使基板與所形成的電漿接觸。基於氯的蝕刻可於存在以下材料的情況下選擇性地移除錫氧化物:含矽化合物(例如,SiO 2、SiN、SiC、SiOC、SiCN、SiON、SiCNO、旋塗玻璃)、碳、及光阻,但含矽材料的選擇性通常低於利用基於氫之蝕刻的情形。在一些實施例中,提供半導體基板,其中該半導體基板包含裸露的錫氧化物層及任何的該等材料層。然後,錫氧化物係於存在該等材料的情況下,利用基於氯的蝕刻選擇性地加以蝕刻。該等材料可在此蝕刻之前裸露,或可在錫氧化物蝕刻的過程期間變成裸露。在一實施例中,錫氧化物係於存在該等材料之任何者的情況下,利用BCl 3/Cl 2蝕刻選擇性地加以蝕刻。在一實施例中,蝕刻方法涉及:使BCl 3以5~100 sccm的流速、Cl 2以50~500 sccm的流速、且惰性氣體(例如,氦)以100~500 sccm的流速流動;以及利用(每一個300 mm晶圓之)100~500 W的RF功率(對應於0.14~0.71 W/cm 2的功率密度),在如此之製程氣體中形成電漿。如此之蝕刻可在基板偏壓或不具基板偏壓的情況下進行。例如,基板偏壓可介於0~100 Vb之間,例如10~100 Vb。製程可在低於100℃的溫度及5~50 mTorr的壓力下執行。
在一些實施例中,基於氯的蝕刻係於存在錫氧化物的情況下,用以選擇性地蝕刻某些金屬氧化物。例如,鈦氧化物可於存在錫氧化物的情況下,利用基於氯的蝕刻選擇性地加以蝕刻。在一些實施例中, 提供半導體基板,其中該半導體基板包含裸露的鈦氧化物層及錫氧化物層。然後,鈦氧化物係於存在錫氧化物的情況下,利用基於氯的蝕刻化學成分選擇性地加以蝕刻。錫氧化物可在如此之蝕刻之前裸露,或可在錫氧化物蝕刻的過程期間變成裸露。
基於氟碳化物的蝕刻。在一些實施例中,含矽化合物(例如,SiO 2、SiN、SiC、SiOC、SiCN、SiON、SiCNO、旋塗玻璃)係於存在錫氧化物的情況下,利用基於氟碳化物的蝕刻選擇性地加以蝕刻。基於氟碳化物的蝕刻涉及將含矽化合物曝露至經電漿活化的氟碳化合物(C xF y),使得該等含矽化合物被轉變成含Si-F鍵結的揮發性化合物。適當的氟碳反應物的範例包含CF 4、C 2F6、及類似者。在一實施例中,基於氟碳化物的蝕刻涉及:在包括氟碳化合物及選用惰性氣體的製程氣體中形成電漿、以及使基板與所形成的電漿接觸。氟碳化物蝕刻可於存在錫氧化物的情況下選擇性地移除含矽化合物。在一些實施例中,提供半導體基板,其中該半導體基板包括含矽化合物裸露層及錫氧化物層。然後,使基板與氟碳化物電漿接觸,且含矽化合物係於存在錫氧化物的情況下選擇性地加以蝕刻。錫氧化物可在此蝕刻之前裸露,或可在蝕刻的過程期間變成裸露。基於氟碳化物的蝕刻係基於氟之蝕刻的一類型。
基於氟的蝕刻。在一些實施例中,元素矽及含矽化合物(例如,SiO 2、SiN、SiC、SiOC、SiCN、SiON、SiCNO、及旋塗玻璃)係於存在錫氧化物的情況下,利用基於氟的蝕刻選擇性地加以蝕刻。基於氟的蝕刻涉及:將含矽材料曝露至含氟試劑(例如,NF 3、SF 6、或氟碳化合物),該含氟試劑在一些實施例中係經電漿活化;以及將含矽材料轉變成揮發性矽氟化物。然而,錫氧化物不形成揮發性氟化物,且因此實質上並未被如此之化學成分蝕刻。除含矽材料外,鈦氧化物、鎢、及鎢碳亦可於存在錫氧化物的情況下,利用基於氟的蝕刻選擇性地加以蝕刻。在一實施例中,基於氟的蝕刻涉及:在包括含氟反應物(例如,NF 3)及選用惰性氣體的製程氣體中形成電漿、以及使基板與所形成的電漿接觸。基於氟的蝕刻可於存在錫氧化物的情況下選擇性地移除含矽化合物及元素矽。在一些實施例中,提供半導體基板,其中該半導體基板包括含矽化合物裸露層及/或元素矽(Si)層、及錫氧化物層。然後,使基板與電漿中的含氟反應物接觸,且含矽化合物及/或Si係於存在錫氧化物的情況下選擇性地加以蝕刻。錫氧化物可在此蝕刻之前裸露,或可在蝕刻的過程期間變成裸露。
在一實施例中,矽(Si)係於存在錫氧化物的情況下,利用基於氟的蝕刻選擇性地加以蝕刻。在一實施例中,如此之蝕刻方法涉及:使NF 3以5~100 sccm的流速、Cl 2以50~500 sccm的流速、且惰性氣體(例如,氮及/或氦)以100~500 sccm的流速流動;以及利用(每一個300 mm晶圓之)100~1000 W的RF功率(對應於0.14~1.4W/cm 2的功率密度),在如此之製程氣體中形成電漿。如此之蝕刻可在基板偏壓或不具基板偏壓的情況下進行。例如,基板偏壓可介於0~100 Vb之間,例如10~100 Vb。製程可在低於100℃的溫度及10~300 mTorr的壓力下執行。
在一些實施例中, 提供半導體基板,其中該半導體基板包含:鈦氧化物、鎢、及/或鎢碳的裸露層;及錫氧化物層。然後,使基板與電漿中的含氟反應物接觸,且鈦氧化物、鎢、及/或鎢碳係於存在錫氧化物的情況下選擇性地加以蝕刻。錫氧化物可在此蝕刻之前裸露,或可在蝕刻的過程期間變成裸露。
在一實施例中,鈦氧化物係於存在錫氧化物的情況下,利用基於氟的蝕刻選擇性地加以蝕刻。在一實施例中,如此之蝕刻方法涉及:使CF 4以5~500 sccm的流速、CHF 3以0~500 sccm(例如,10~500 sccm)的流速、且惰性氣體(例如,氬)以100~500 sccm的流速流動;以及利用(每一個300 mm晶圓之)500~1000 W的RF功率(對應於0.71~1.4W/cm 2的功率密度),在如此之製程氣體中形成電漿。如此之蝕刻可在基板偏壓或不具基板偏壓的情況下進行。例如,基板偏壓可介於0~300 Vb之間,例如10~300 Vb。製程可在低於100 ℃的溫度及5~50 mTorr的壓力下執行。
在一實施例中,鎢碳係於存在錫氧化物的情況下,利用基於氟的蝕刻選擇性地加以蝕刻。在一實施例中,如此之蝕刻方法涉及:使NF 3以5~100 sccm的流速、Cl 2以5~500 sccm的流速、且惰性氣體(例如,氬及/或氮)以100~500 sccm的流速流動;以及利用(每一個300 mm晶圓之)100~1000 W的RF功率(對應於0.14~1.4W/cm 2的功率密度),在如此之製程氣體中形成電漿。如此之蝕刻可在基板偏壓或不具基板偏壓的情況下進行。例如,基板偏壓可介於0~100 Vb之間,例如10~100 Vb。製程可在低於100℃的溫度及10~100 mTorr的壓力下執行。
基於氧的蝕刻。在一些實施例中,選自由元素碳、含碳化合物、聚合物、及光阻組成之群組的一或更多材料係於存在錫氧化物的情況下,利用基於氧的蝕刻選擇性地加以蝕刻。基於氧的蝕刻涉及:將以上所列舉之材料曝露至含氧試劑(例如,O 2、O 3、SO 2、或CO 2),該含氧試劑在一些實施例中係經電漿活化;以及將材料轉變成含碳氧鍵結的揮發性產物(例如,CO或CO 2)。在一實施例中,基於氧的蝕刻涉及:在包括含氧反應物(例如,O 2)及選用惰性氣體的製程氣體中形成電漿、以及使基板與所形成的電漿接觸。在其他實施例中,蝕刻可在缺少電漿的情況下發生。基於氧的蝕刻可於存在錫氧化物的情況下選擇性地移除碳(例如,非晶碳或類鑽石碳)、含碳化合物、及光阻。在一些實施例中,提供半導體基板,其中該半導體基板包括(選自由碳、含碳化合物、及光阻組成之群組的)一或更多材料的裸露層及錫氧化物層。然後,使基板與含氧反應物(其可選地在電漿中加以活化)接觸,以將含碳材料轉變成揮發性CO或 CO 2,從而於存在錫氧化物的情況下選擇性地蝕刻該等含碳材料。錫氧化物可在此蝕刻之前裸露,或可在蝕刻的過程期間變成裸露。
材料的沉積。本文中所提及之材料可利用諸多沉積方法加以沉積,例如CVD(其包含PECVD)、ALD(其包含PEALD)、PVD(例如,用於金屬及金屬氧化物的沉積)、旋塗方法(例如,用於碳及一些介電質的沉積)。當需要保形沉積時,ALD方法通常較佳。
SiO 2、SiC、SiN、SiOC、SiNO、SiCNO、及SiCN材料可利用例如CVD、PECVD、及ALD的諸多方法加以沉積。沉積可包括含矽前驅物與反應物(例如,含氧反應物、含氮反應物、或含碳反應物)之間的反應。
諸多的含矽前驅物可用於該等材料的沉積,該等含矽前驅物包含矽烷、四烷基矽烷、三烷基矽烷、四乙基矽酸鹽(TEOS, tetraethylorthosilicate)等。例如,SiO 2可利用TEOS或矽烷作為含矽前驅物加以沉積。
藉由例如使用碳氫前驅物(例如,CH 4),碳可藉由CVD或PECVD方法加以沉積。在其他實施例中,碳可藉由旋塗方法或藉由PVD加以沉積。光阻及有機聚合物可例如藉由旋塗方法加以沉積。錫氧化物層係藉由任何適當的方法加以沉積,例如藉由CVD(包含PECVD)、ALD(包含PEALD)、濺鍍等。在一些實施例中,較佳地以保形方式沉積SnO 2膜,使得其遵循基板的表面(包含基板上之任何凸起部及下凹特徵部的表面)。保形SnO 2膜之適當沉積方法的其中一者係ALD。可使用熱ALD或電漿加強ALD。在常見的熱ALD方法中,基板係提供至ALD製程腔室,且係依序曝露至含錫前驅物及含氧反應物,其中該含錫前驅物及含氧反應物係被容許在基板的表面上進行反應,以形成SnO 2。在基板被曝露至含錫前驅物之後且在含氧反應物被引入至製程腔室之前,ALD製程腔室通常係利用惰性氣體加以排淨,以防止製程腔室之主體中的反應。進一步講,ALD製程腔室通常係在基板已利用含氧反應物進行處理之後利用惰性氣體加以排淨。重複若干循環的順序曝露,例如,可執行約10~100個之間的循環,直到沉積具有期望厚度的錫氧化物層。適當含錫前驅物的範例包含鹵化含錫前驅物(例如,SnCl 4及SnBr 4)及非鹵化含錫前驅物(例如,有機錫化合物(其包含烷基取代錫醯胺及類似者))。適用於ALD的烷基取代錫醯胺的特定範例為肆(二甲基胺基)錫、肆(乙基甲基胺基)錫、N 2,N 3-二叔丁基-丁烷-2,3-二胺基錫(II)、及1,3-雙(1,1-二甲基乙基)-4,5-二甲基-(4R,5R)-1,3,2-二氮雜錫戊環-2-亞基(1,3-bis(1,1-dimethylethyl)-4,5-dimethyl-(4R,5R)-1,3,2-diazastannolidin-2-ylidene)。含氧反應物包含但不限於氧、臭氧、水、過氧化氫、及NO。亦可使用含氧反應物的混合物。沉積條件將取決於ALD反應物的選擇而改變,其中較具反應性的前驅物通常將比較不具反應性的前驅物在更低的溫度下進行反應。製程通常將在介於約20~500℃之間之溫度、及次大氣壓力下實施。溫度及壓力係選擇成使得反應物在製程腔室中保持氣態形式,以避免凝結。各反應物係呈氣態形式單獨或與載體氣體(例如,氬、氦、或氮)混合提供至製程腔室。該等混合物的流率將取決於製程腔室的尺寸,且在一些實施例中係介於約10~10,000 sccm之間。
在一範例中,ALD製程包含在200~400℃的溫度下,依序或交替地使基板在ALD真空腔室中曝露至SnCl 4(含錫前驅物)及去離子水(含氧反應物)。在ALD循環的特定範例中,SnCl 4蒸氣與N 2載體氣體的混合物係被導入至ALD製程腔室中0.5秒,且然後對基板曝露3秒。接著,ALD製程腔室係使用N 2排淨10秒,以從製程腔室的主體移除SnCl 4,且使H 2O蒸氣與N 2載體氣體的混合物流入製程腔室1秒且對基板曝露3秒。接著,ALD製程腔室係利用N 2加以排淨,且重複進行循環。ALD製程係在次大氣壓力(例如,0.4 Torr)及200~400℃的溫度下執行。
雖然在ALD中使用鹵化錫前驅物適用於許多實施例,但在一些實施例中,更佳地使用非鹵化有機錫前驅物來避免利用鹵化前驅物(例如,SnCl 4)之情況下可能發生的腐蝕問題。適當的非鹵化有機錫前驅物的範例包含烷基胺錫(烷基化的錫醯胺)前驅物,如肆(二甲基胺基)錫。在ALD製程的一範例中,基板係在介於約50~300℃的溫度下,於ALD腔室中依序曝露至肆(二甲基胺基)錫及H 2O 2。有利地,此前驅物的使用容許在100℃或更低的低溫下沉積SnO 2膜。舉例而言,SnO 2膜可在不使用電漿的情況下,於50℃加以沉積,以增加反應速率。
在一些實施例中,SnO 2膜係藉由PEALD加以沉積。可使用與上述熱ALD所用相同類型的含錫前驅物及含氧反應物。在PEALD中,ALD設備係配備有用以在製程腔室中產生電漿且用以利用電漿處理基板的系統。在常見的PEALD製程序列中,基板係提供至PEALD製程腔室且係曝露至含錫前驅物,該含錫前驅物吸附在基板的表面上。製程腔室係利用惰性氣體(例如氬或氦)加以排淨,以從製程腔室移除前驅物,且基板係曝露至被導入至製程腔室中的含氧反應物。在導入含氧反應物的同時或在一延遲之後,在製程腔室中形成電漿。電漿促進在基板表面上之含錫前驅物與含氧反應物間的反應,該反應導致形成錫氧化物。接著,製程腔室係利用惰性氣體加以排淨,且依需要之次數重複包含錫前驅物注入、排淨、含氧反應物注入、電漿處理、及第二排淨的循環,以形成具有期望厚度的錫氧化物膜。
錫氧化物作為間隔件
在一些實施例中, 錫氧化物層係用作間隔件。錫氧化物間隔件的使用係參考圖1A~1F加以繪示,該等圖式提供處於處理之不同階段的半導體基板的示意性橫剖面圖。圖2提供該等方法之實施例的製程流程圖。
參考圖2,製程開始於步驟201,提供具有複數凸起特徵部的基板。例示性基板係顯示於圖1A中,其顯示位於蝕刻停止層(ESL, etch stop layer)103上的兩芯軸101。在一些實施例中,相鄰芯軸之間的距離d1係介於約10~100 nm之間。在一些實施例中,使用約40~100 nm之相對較大的距離。在其他應用中,最接近芯軸之間的距離係介於約10~30 nm之間。最接近芯軸之中心之間的距離d2(其亦稱為節距)在一些實施例中係介於約30~130 nm之間。在一些實施例中,節距係介於約80~130 nm之間。在其他實施例中,節距係介於約30~40 nm之間。芯軸的高度d3通常係介於約20~200 nm之間,例如介於約50~100 nm之間。
芯軸及ESL的材料係例如選擇成容許後續之:於存在裸露錫氧化物的情況下,選擇性蝕刻芯軸材料;以及於存在裸露錫氧化物的情況下,選擇性蝕刻ESL材料。因此,就第一蝕刻化學成分而言,ESL材料之蝕刻速率對錫氧化物之蝕刻速率的比率係大於1,更佳地大於約1.5,例如大於約2。類似地,就第二蝕刻化學成分而言,芯軸材料之蝕刻速率對錫氧化物之蝕刻速率的比率係大於1,更佳地大於約1.5,例如大於約2。
在一些實施例中,ESL材料係含矽化合物(例如,SiO 2)或金屬氧化物(例如,鈦氧化物、鋯氧化物、鎢氧化物)。芯軸材料可包括含矽化合物(例如,SiO 2、SiN、或SiC)、含碳化合物(例如,非晶碳、類鑽石碳、或光阻)、非晶矽(經摻雜或未經摻雜)、及金屬氧化物(TaO、TiO、WO、ZrO、HfO)。在一些實施例中,芯軸的外部材料可與芯軸芯部不同。例如, 在一些實施例中,芯軸係由覆蓋有矽氧化物(例如,覆蓋有自發形成之熱氧化物層)的非晶矽製成。ESL層及芯軸可藉由以下一或更多者形成:物理氣相沉積(PVD)、化學氣相沉積(CVD)、 ALD(無電漿或藉由PEALD)、或電漿加強化學氣相沉積(PECVD),且芯軸的圖案可利用光微影技術加以定義。適當的ESL/芯軸組合的範例包含:(i)矽氧化物ESL及覆蓋矽氧化物的矽芯軸;(ii)矽氧化物ESL及含碳芯軸;(iii)矽氧化物ESL及金屬氧化物芯軸;(iv)金屬氧化物ESL及覆蓋有矽氧化物的矽芯軸;(v)金屬氧化物ESL及含碳芯軸。
重新參考圖1A中所示的基板,ESL 103位於目標層105上方並接觸該目標層105。目標層105係需要進行圖案化的覆層。目標層105可為半導體、介電質、或其他覆層,且例如可由矽(Si)、矽氧化物(SiO 2)、矽氮化物(SiN)、或鈦氮化物 (TiN)製成。在一些實施例中, 目標層稱為硬遮罩層,且包含例如鈦氮化物的金屬氮化物。目標層105可藉由ALD(無電漿或藉由PEALD)、CVD、或其他適當沉積技術而加以沉積。
目標層105位於覆層107上方並接觸該覆層107,該覆層107在一些實施例中係包含嵌於介電材料層中之複數金屬線的BEOL層。
重新參考圖2,製程接著進行步驟203,在凸起特徵部的水平表面及側壁兩者上方皆沉積錫氧化物層。參考圖1B中所示的結構,錫氧化物層109係沉積於ESL 103上方及芯軸101(包含芯軸的側壁)上方。錫氧化物層係藉由任何適當的方法加以沉積,例如藉由CVD(包含PECVD)、ALD(包含PEALD)、濺鍍等。在一些實施例中,如圖1B中所示,較佳地以保形方式沉積錫氧化物膜,使得其遵循ESL 103及芯軸101的表面。在一些實施例中,錫氧化物層係保形沉積至介於約5~30 nm之間的厚度,例如約10~20 nm之間。保形錫氧化物膜的適當沉積方法的一者係ALD。可使用熱ALD或電漿加強ALD。
參考圖2之流程圖,在已沉積錫氧化物層之後,製程接著進行步驟205,利用基於氫的蝕刻或基於氯的蝕刻,將錫氧化物從水平表面完全移除,而不從凸起特徵部的側壁完全移除錫氧化物層。若芯軸具有含矽化合物或金屬氧化物作為外部層,則可使用基於氫的蝕刻。若芯軸的外部層係碳層,則可使用基於氯的蝕刻。此步驟中所使用的蝕刻化學成分應較佳地對ESL材料及芯軸之外部層的材料兩者皆具有選擇性,亦即,就此蝕刻化學成分而言,錫氧化物的蝕刻速率應比外部芯軸材料的蝕刻速率更大,且比ESL材料的蝕刻速率更大。從水平表面移除錫氧化物係藉由圖1C加以繪示。錫氧化物層109係從ESL 103上方及芯軸101上方的水平表面蝕掉,而不從附著至芯軸101之側壁的位置處完全蝕掉。如此之蝕刻露出除芯軸101之側壁附近位置以外之任何位置處的ESL 103。進一步講,如此之蝕刻露出芯軸的頂部部分。結果結構係顯示於圖1C中。較佳地,在如此之蝕刻後,側壁處之錫氧化物層之初始高度的至少50%(例如,至少80%,或至少90%)被保留。在一範例中,錫氧化物係藉由基於氫的蝕刻(例如,H 2電漿蝕刻)從覆蓋有矽氧化物的芯軸選擇性地蝕掉,使得露出芯軸的外部材料(SiO 2)。基於氫的蝕刻係對SiO 2具有選擇性。在另一範例中,錫氧化物係藉由基於氯的蝕刻(例如,BCl 3/Cl 2電漿蝕刻)從含碳芯軸(例如,碳芯軸)選擇性地蝕掉,使得露出芯軸的含碳材料。如此之蝕刻係對含碳材料具有選擇性。在另一範例中,錫氧化物係藉由基於氫的蝕刻(例如,H 2電漿蝕刻)從金屬氧化物(例如,鈦氧化物)芯軸選擇性地蝕掉,使得露出芯軸材料(金屬氧化物)。如此之蝕刻係對不形成揮發性氫化物之金屬的氧化物(例如,鈦氧化物)具有選擇性。
在一些實施例中,從基板之水平部分移除錫氧化物層涉及採用利用兩不同化學成分的兩步驟。在第一步驟(稱為主蝕刻)中,錫氧化物層的主體通常係從水平表面移除,而不完全露出下方的芯軸層及ESL材料。因此,在一些實施例中,主蝕刻的蝕刻化學成分不必具有選擇性。在一些實施例中,主蝕刻係藉由利用基於氯的化學成分(例如,BCl 3/Cl 2電漿蝕刻)處理基板而執行。在主蝕刻蝕穿SnO膜或蝕穿SnO膜之前不久,蝕刻化學成分係轉換成過蝕刻化學成分。主蝕刻的終點可藉由使用光學探針加以偵測,該光學探針當芯軸材料或ESL材料變為裸露時將發出訊號。如上所述,使用選擇性過蝕刻化學成分,以移除剩餘的錫氧化物膜,而實質上不蝕刻芯軸及ESL的材料。
然後,如圖1D中所示,從基板移除芯軸101,留下裸露的錫氧化物間隔件109及裸露的覆層ESL 103。芯軸的移除係藉由將基板曝露至選擇性蝕刻芯軸材料的蝕刻化學成分而執行。因此,在此步驟中,芯軸材料之蝕刻速率對錫氧化物之蝕刻速率的比率係大於1,且更佳地大於1.5。進一步講,在一些實施例中,此步驟中所使用的蝕刻化學成分應相對於ESL材料選擇性地蝕刻芯軸材料。可使用諸多蝕刻方法,且化學成分的特定選擇取決於芯軸的材料及ESL層的材料。當芯軸係由覆蓋有矽氧化物的非晶矽製成時,可使用基於氟的化學成分(例如,NF 3),以移除矽芯軸101及覆蓋於其上的SiO 2層。如此之化學成分係對錫氧化物具有選擇性。
矽芯軸移除的另一方式係使用在HBr與O 2的混合物中所形成的電漿。在一些實施例中,在蝕刻開始之前,薄的保護性矽氧化物層係從矽芯軸的表面移除。這可藉由將基板短暫地曝露至在含氟碳化合物之製程氣體中所形成的電漿而完成。從芯軸移除保護性矽氧化物層之後,選擇性蝕刻矽。在一些實施例中,在此步驟中,針對基板較佳地使用相對小的RF偏壓,或完全不使用外部偏壓。若不使用外部偏壓,則基板的自偏壓(10~20 V)係足夠的。在無偏壓或低偏壓的條件下, HBr/O 2電漿將於存在錫氧化物及矽氧化物的情況下,選擇性地蝕刻矽。如此之蝕刻可於存在包括含矽化合物之ESL的情況下執行。
當芯軸係含碳材料時(例如,碳或光阻),芯軸可利用基於氧的蝕刻選擇性地移除。如此之化學成分係對錫氧化物具有選擇性,且可於存在由含矽化合物組成之ESL、及金屬氧化物ESL的情況下加以使用。
當芯軸係金屬氧化物時(例如,鈦氧化物、鎢氧化物、鋯氧化物、鉿氧化物、鉭氧化物),基板可利用基於氯的蝕刻化學成分(例如,電漿中的BCl 3/Cl 2)加以處理,以相對於錫氧化物選擇性地移除芯軸。如此之化學成分可於存在包括含矽化合物(例如,SiO 2、SiN、SiC)之ESL的情況下加以使用。
然後,裸露的ESL 103係受到蝕刻,以露出未被錫氧化物間隔件109保護之所有位置處的下方目標層105。結果結構係顯示於圖1E中。此步驟中所使用的蝕刻化學成分於存在錫氧化物的情況下,選擇性地蝕刻ESL材料。換言之,ESL材料之蝕刻速率對錫氧化物之蝕刻速率的比率係大於1,且更佳地大於1.5。此步驟中所使用之化學成分的特定類型將取決於ESL材料的類型。當使用含矽化合物(例如,矽氧化物、及基於矽氧化物的材料)時,選擇性蝕刻可藉由將基板曝露至在包括氟碳化合物之製程氣體中所形成的電漿而達成。例如,ESL膜可藉由在包含CF 4、C 2F 6、及C 3F 8之一或更多者之製程氣體中所形成的電漿而加以蝕刻。當ESL係金屬氧化物層(例如,鈦氧化物、鎢氧化物、或鋯氧化物)時,其可於存在錫氧化物的情況下,利用基於氯的蝕刻化學成分(例如,電漿中的BCl 3/Cl 2)選擇性地加以蝕刻。
在下一步驟中,目標層105係在未被ESL 103保護的所有位置處受到蝕刻,以露出下方的覆層107。錫氧化物間隔件109亦在此蝕刻步驟中移除,從而提供圖1F中所示的圖案化結構。在一些實施例中,此步驟中所使用的蝕刻化學成分係選擇成移除目標材料及錫氧化物間隔件材料兩者。在其他實施例中,利用不同化學成分的兩不同蝕刻步驟可分別地用以使目標層105圖案化、及移除錫氧化物間隔件109。取決於目標層的化學成分,可使用諸多蝕刻化學成分。在一實施例中,目標層105係金屬氮化物層(例如,TiN層)。在此實施例中,金屬氮化物層可受到蝕刻,且藉由將基板曝露至在含Cl 2及碳氫化合物(例如,CH 4)之製程氣體中所形成的電漿,錫氧化物間隔件可利用單一蝕刻化學成分加以移除。一般來講,錫氧化物間隔件可利用上述錫氧化物蝕刻方法之任何者加以移除。
在間隔件的形成期間,遇到的問題之一係間隔件的基腳(footing),其係底部處之間隔件的寬度與頂部處之間隔件的寬度之間的差異。理想地,間隔件應為筆直。錫氧化物間隔件已在實驗上與鈦氧化物間隔件比較。顯示出,在利用H 2電漿蝕刻的情況下,在錫氧化物間隔件上,基腳可顯著地被減少至低於1 nm。然而,鈦氧化物完全無法藉由H 2電漿加以蝕刻。當鈦氧化物間隔件係利用HBr/N 2/氬電漿加以蝕刻時,在較大間隔件高度及CD損失的代價下,其基腳卻僅被減少至2.4 nm。
進一步講,就HBr/N 2/氬電漿蝕刻而言,ALD沉積鈦氧化物相對於熱矽氧化物(TOX)的蝕刻選擇性係顯示為低於ALD沉積錫氧化物相對於TOX的蝕刻選擇性。具體而言,利用如此之化學成分,鈦氧化物係於存在TOX的情況下,以約10:1的選擇性加以蝕刻,而錫氧化物係以大於100:1的選擇性加以蝕刻。利用H 2電漿,鈦氧化物完全未被蝕刻,而錫氧化物則於存在TOX的情況下,以大於100:1的選擇性加以蝕刻。利用BCl 3/Cl 2/He電漿,鈦氧化物係以約5:1的選擇性(鈦氧化物相對於TOX)加以蝕刻,而錫氧化物係以約4:1(錫氧化物相對於TOX)的選擇性加以蝕刻。基於氫(基於H 2、及基於HBr之)的蝕刻化學成分提供相對於矽氧化物之大於50:1、及大於80:1的極高蝕刻選擇性,這無法利用鈦氧化物達成。
在一些實施例中,在本文中參考圖1A~1F及圖2所述的方法係加以調整,且涉及利用芯軸之側壁處之錫氧化物層上方的鈍化層。鈍化層的目的係在從水平表面移除錫氧化物的步驟期間,使側壁處之錫氧化物的蝕刻最少化。在缺少鈍化層的情況下,側壁處之錫氧化物可能在橫向方向上不一致地受到蝕刻,這可能導致間隔件臨界尺寸(CD)改變。使用鈍化層可防止或使如此之橫向蝕刻最少化,且在間隔件之間導致更一致的距離。此外,使用鈍化層可防止間隔件之頂部轉角的腐蝕,從而導致具有更呈長方形形狀的間隔件。進一步講,在側壁處使用鈍化層由於將有更多的可用蝕刻物種(例如,電漿中的離子)受到基板處之偏壓向下驅使,故容許更容易地蝕刻錫氧化物層的底坡(bottom slope)或基部。鈍化層可能在後續的蝕刻步驟中最終被部分或完全消耗,使得可保持間隔件CD。
鈍化層的材料係選擇成使得其對於從水平表面移除錫氧化物所使用的特定蝕刻化學成分較具抵抗性。在一些實施例中,鈍化材料係例如SiO 2、 SiN、或SiC的含矽化合物,其可例如藉由PECVD加以沉積。在其他實施例中,鈍化材料係如本文中所述之碳(例如,利用碳氫前驅物所沉積的碳)。在其他實施例中,鈍化材料係例如錫氮化物(SnN)、錫溴化物(SnBr)、或錫氟化物(SnF)的含錫化合物。在一些實施例中,該等化合物係藉由將錫氧化物的外部部分轉變成含錫鈍化材料而形成。例如,錫氧化物可藉由將基板曝露至電漿(例如,N 2電漿)中的含氮化合物而被轉變成錫氮化物。錫溴化物可藉由將基板曝露至含溴化合物(例如,HBr)而形成。錫氟化物可藉由將基板曝露至電漿(例如,NF 3電漿、或氟碳化物電漿)中的含氟化合物而形成。SnBr及SnF沉積期間的條件係例如調整成使蝕刻最少化。例如,反應可在不對基板施加偏壓、或施加低偏壓的情況下實施,以使SnBr及SnF的移除最少化。鈍化層通常係形成至約1~5 nm的厚度。
圖3A~3E提供利用鈍化層之處理期間,基板之部分的示意性橫剖面圖。圖4提供如此之製程的製程流程圖。參考圖4,製程開始於步驟4401,提供具有複數凸起特徵部的基板,且製程接著進行步驟4403,以相同於參考圖1A及1B所述之方式的方式,在凸起特徵部的側壁及水平表面上沉積錫氧化物。圖1B中所示的基板亦繪示於圖3A中,其中目標層係層301、ESL係303、芯軸係305、且錫氧化物層係307。覆層之材料通常可與參考圖1A~1F所述之實施例相同。在圖3A中所繪示的實施例中,芯軸305係具有矽氧化物(SiO 2)外部層302的矽(Si)芯軸,但吾人應理解,所述製程序列可與包括含碳芯軸及金屬氧化物芯軸的諸多芯軸材料一起使用。參考圖4,在已沉積錫氧化物層之後,製程繼續進行步驟4405,在凸起特徵部之側壁處的錫氧化物層上方形成鈍化層。結果結構係顯示圖3C中,其顯示凸起特徵部之側壁上的鈍化層309。在所繪示的實施例中,鈍化層並未出現在水平表面上。
在一些實施例中,如此之鈍化層可藉由以下步驟形成:首先(a)在芯軸之側壁及水平表面兩者上方皆保形沉積鈍化材料(例如,矽氧化物、矽氮化物、矽碳化物、或碳);以及然後(b)從水平表面完全移除鈍化層,而不從側壁完全移除鈍化層(例如,使得側壁處之鈍化層材料的至少50%、或至少80%在蝕刻之後保留)。
當鈍化材料係含矽化合物時,其可利用基於氟碳化物的蝕刻相對於錫氧化物具有選擇性地從水平表面蝕掉。當鈍化材料係含碳材料時,其可利用基於氧的蝕刻相對於錫氧化物具有選擇性地從水平表面蝕掉,或利用短暫曝露至在含氫氣體(例如,H 2)中所形成的電漿而從水平表面蝕掉。在一些實施例中,在於基板處使用足夠偏壓(其促進材料從水平表面的移除)的情況下,含錫鈍化材料係利用與錫氧化物蝕刻所用相同的化學成分而從水平表面移除。例如,製程可開始於利用第一偏壓進行電漿蝕刻,以從水平表面移除含錫鈍化材料,以及然後當製程轉移至主錫氧化物蝕刻時,可使偏壓降低或關閉。在一些實施例中,基於氯的化學成分(例如,BCl 3/Cl 2電漿)係在從水平表面蝕掉鈍化材料及錫氧化物的期間加以使用。
接著,參考操作步驟4407,製程繼續進行從芯軸之水平表面完全移除錫氧化物,而不完全移除芯軸之側壁處的錫氧化物。此蝕刻可利用本文中所述之適當錫氧化物蝕刻化學成分的任何者加以執行,例如利用基於氫的蝕刻(例如,H 2電漿)、基於氯的蝕刻(例如,電漿中的Cl 2及/或BCl 3)、HBr電漿蝕刻、或該等蝕刻的任何組合。在圖3D及3E中所繪示的實施例中,此蝕刻係以兩步驟加以執行。在第一步驟中,錫氧化物的主體係利用基於氯的蝕刻(例如,電漿中的BCl 3及Cl 2)從水平表面蝕掉,以提供圖3C中所示的結構,其中該結構具有位於芯軸之頂部處之裸露的矽氧化物外部芯軸材料及位於芯軸之底部轉角處之過多的錫氧化物。接著,過多的錫氧化物係利用基於氫的過蝕刻化學成分(例如,電漿中的H 2)加以蝕刻,從而提供圖3D中所示的結構。接著,芯軸材料係如先前參考圖1A~1A所述選擇性地加以蝕刻及移除,留下間隔件307。在所繪示的實施例中,芯軸蝕刻化學成分亦移除鈍化層309,導致圖3E中所示的結構。如先前參考圖1D~1F所述,然後可進行ESL的後續處理。
在一更特別的範例中,層301係TiN、ESL 303係矽氧化物層、芯軸305係覆蓋有矽氧化物外部層302的矽(Si)、且層307係錫氧化物。參考此範例,處理方法包含:提供具有矽氧化物層及複數矽凸起部的基板,其中矽凸起部係覆蓋有原生矽氧化物;然後在基板上方(例如,藉由ALD)保形地沉積錫氧化物層並僅在凸起特徵部之側壁上的錫氧化物上方形成(例如,1~2 nm厚的)矽氧化物鈍化層。在形成鈍化層之後,方法接著從水平表面蝕掉錫氧化物,而不完全移除位於凸起特徵部之側壁處的錫氧化物。在此範例中,蝕刻係利用主(主體)蝕刻(例如,Cl 2/BCl 3電漿蝕刻)、然後過蝕刻而進行,其中過蝕刻例如可為用來減少基腳的氫電漿蝕刻。在蝕刻之後,方法繼續移除矽芯軸,而不移除錫氧化物間隔件。注意到,側壁上的矽氧化物鈍化層可藉由首先在錫氧化物上方(例如藉由PECVD或ALD)保形沉積矽氧化物、以及然後從水平表面選擇性地蝕掉(例如,藉由氟碳化物電漿)矽氧化物而形成。就從水平表面移除錫氧化物而言,此序列係利用BCl 3/Cl 2電漿主蝕刻、接著H 2電漿過蝕刻而加以實驗性測試。在此實驗性測試的範例中,在主蝕刻之後,臨界尺寸損失係零奈米、基腳約為6 nm、且蝕刻進入矽氧化物ESL的量係零奈米。在過蝕刻之後,臨界尺寸損失、基腳、及蝕刻進入矽氧化物ESL的量皆為零奈米。
在另一特定範例中,由錫氧化物之外部部分所形成的錫氮化物係用作鈍化材料。在一些實施例中,在錫氧化物間隔件的形成期間,較佳地利用錫氮化物鈍化層使錫氧化物的側壁鈍化,並從而降低間隔件的改變。在一些實施例中,方法包含:(a)提供具有矽氧化物層及複數矽凸起部的基板,其中矽凸起部係覆蓋有原生的矽氧化物;(b)在基板上方(例如藉由ALD)保形沉積錫氧化物層;(c)僅在凸起特徵部之側壁上的錫氧化物上方形成薄的錫氮化物鈍化層;(d)從水平表面蝕掉錫氧化物,而不完全移除位於凸起特徵部之側壁處的錫氧化物(例如,利用主(主體)蝕刻(例如,Cl 2/BCl 3蝕刻)與過蝕刻的組合,其中該過蝕刻例如可為用來減少基腳的氫電漿蝕刻);以及(d)移除矽芯軸,而不移除錫氧化物間隔件。側壁上的錫氮化物鈍化層可藉由首先在整個錫氧化物層上方保形形成鈍化層、接著從水平表面選擇性移除錫氮化物而形成。在一些實施例中,錫氮化物層係藉由利用含氮電漿處理裸露的錫氧化物層而形成。例如,電漿可在例如N 2或NH 3的含氮氣體中形成。電漿可為直接的(形成於容納基板之同一腔室隔間中),或遠端的(形成於不同腔室或腔室隔間中,並被饋送至容納基板的隔間)。在一些實施例中,如此之氮化處理係在用於錫氧化物層沉積的同一製程腔室中執行。在其他實施例中,氮化係在不同腔室中執行。在一些實施例中,氮化電漿處理係執行持續少於約5秒。形成保形錫氮化物層的另一方法係在保形錫氧化物層上方沉積錫氮化物。錫氮化物例如可藉由ALD或CVD加以沉積。在一些實施例中,ALD沉積涉及:使基板與含錫前驅物接觸並在基板的表面上形成含錫層、然後利用含氮電漿進行處理,其中依需要之次數重複製程,以建立具有期望厚度的鈍化層。在已形成保形錫氮化物層之後,從水平表面蝕掉錫氮化物,從而僅在側壁上留下錫氮化物。在一些實施例中,如此之蝕刻的化學成分係與主蝕刻的化學成分相同,但係在利用基板偏壓的情況下實施,使得其比主蝕刻更具垂直非等向性。例如,錫氮化物可利用Cl 2/BCl 3電漿蝕刻,在基板偏壓的情況下從水平表面加以移除。接著,(例如,在無基板偏壓的情況下、或在比錫氮化物從水平表面移除期間所用偏壓更低的偏壓下)繼續進行Cl 2/BCl 3主蝕刻,然後接著為H 2過蝕刻。錫氮化物在主蝕刻製程期間提供側壁處之錫氧化物的優異的保護。使用錫氮化物作為鈍化層已進行實驗測試,且經確認,相較於未經錫氧化物氮化處理的相同結構,芯軸之側壁上之錫氧化物的厚度在使用錫氮化物鈍化層的該等情形中較大。
硬遮罩應用
在一些實施例中,錫氧化物膜係用作硬遮罩。錫氧化物硬遮罩可被圖案化,以例如形成具有下凹特徵部的基板,其中在下凹特徵部的底部處有裸露的材料。然後,基板可於存在錫氧化物硬遮罩的情況下加以處理。在一些實施例中,處理涉及蝕刻裸露於下凹特徵部之底部處的材料。在其他實施例中,處理可涉及沉積材料至下凹特徵部中,在其他實施例中,處理可涉及對裸露於下凹特徵部之底部處的材料進行化學改質。
圖案化錫氧化物層可利用諸多方法形成。在一實施例中,圖案化錫氧化物膜係利用光微影圖案化形成。在一實施例中,方法涉及:提供具有形成於基板上之包覆錫氧化物層的基板;以及在該包覆錫氧化物層上方形成圖案化光阻層。在一些實施例中,錫氧化物層上方的圖案化光阻層係直接形成於錫氧化物層的頂部上並接觸該錫氧化物層。在其他實施例中,在錫氧化物層與光阻之間可有一或更多中間硬遮罩層。在光阻層已沉積並且利用標準光微影技術加以圖案化之後,來自光阻的圖案被轉移至錫氧化物層上,亦即,裸露的錫氧化物層受到蝕刻。在一些實施例中, 錫氧化物層係於存在裸露光阻的情況下,利用例如基於氫之蝕刻(例如,電漿中的H 2)的選擇性蝕刻加以蝕刻。當中間硬遮罩係存在於錫氧化物層與光阻之間時,來自光阻的圖案係首先被轉移至該等中間硬遮罩 (例如,包括含矽化合物(例如,旋塗玻璃)的遮罩、或碳遮罩),以及然後被轉移至錫氧化物。在一些實施例中,錫氧化物層係於存在另一中間硬遮罩材料(例如,含矽化合物或碳)的情況下,利用適當的選擇性化學成分(例如,基於氫的蝕刻及/或基於氯的蝕刻)加以蝕刻。
圖5A~5C繪示利用錫氧化物遮罩受到處理之基板的示意性橫剖面圖。圖6提供利用錫氧化物硬遮罩處理基板的製程流程圖。在操作601中,提供具有圖案化錫氧化物層的基板。錫氧化物層可利用如上所述的光微影技術、或利用用以形成錫氧化物間隔件的製程序列(其係參考圖1A~1D加以描述)加以圖案化。如此之基板的範例係顯示於圖5A中,其中基板包含子層51、及位於子層51與圖案化錫氧化物層55之間的覆層53。基板的裸露部分包含形成於錫氧化物層55中的下凹特徵部。下凹特徵部之底部處的覆層53的材料係裸露的。雖然在所繪示的實施例中,在圖案化錫氧化物層55的頂部上沒有額外的材料,但在其他實施例中,在錫氧化物層55的頂部上可存在來自中間硬遮罩的光阻或材料。
接著,在操作603中,基板係於存在錫氧化物層55的情況下加以處理。例如,處理可涉及:裸露覆層53的蝕刻、材料至下凹特徵部中的沉積、或裸露覆層53的化學改質。覆層53的蝕刻係繪示於圖5B中,其中藉由錫氧化物層55之圖案所定義的下凹特徵部係形成於覆層53中。諸多的選擇性蝕刻化學成分可於存在錫氧化物層55的情況下,用於蝕刻覆層53的材料。例如,當覆層53為含矽材料時,其可於存在錫氧化物的情況下,利用基於氟的化學成分選擇性地加以蝕刻。例如,含矽化合物(例如,矽氧化物、矽氮化物、及矽碳化物)可利用氟碳化物電漿化學成分加以蝕刻。當覆層53係含碳層(例如,非晶碳)時,其可利用如本文中所述之基於氧的化學成分選擇性地加以蝕刻。當覆層53係金屬氧化物層(例如,鈦氧化物、鋯氧化物、鉭氧化物、鉿氧化物)時,其可於存在錫氧化物的情況下,利用如本文中所述之基於氯的化學成分(例如,電漿中的BCl 3/Cl 2)選擇性地加以蝕刻。子層51的材料係與覆層53的材料不同,且覆層51在覆層53的蝕刻期間,實質上未受到蝕刻。顯示於圖5B中的結果結構具有形成於覆層55及53中的下凹特徵部及下凹特徵部之底部處之子層51的裸露材料。
接著,在操作605中,移除錫氧化物層55,從而提供圖5C中所示的結構,其中圖案化覆層53位於子層51上方。在一些實施例中,如此之序列係進一步用於使子層51圖案化,該子層51在一些實施例中係SiN層、金屬氮化物(例如,TiN或TaN)、或金屬層。錫氧化物的移除較佳地係利用對覆層53及覆層51兩者之材料皆具有選擇性的化學成分加以執行。例如,當該等材料係含矽材料、含碳材料、金屬氧化物、金屬氮化物、或金屬時,可使用具有選擇性的基於氫的蝕刻(例如,H 2電漿蝕刻)。進一步講,在一些實施例中,當覆層53及51的材料係含矽材料或含碳材料時,可採用基於氯的蝕刻(例如,電漿中的BCl 3/Cl 2)。
在利用錫氧化物硬遮罩之製程流程的一特定範例中,子層51係SiN層、或金屬層,且覆層53係矽氧化物。製程開始於提供具有位於SiN或金屬層51上方之矽氧化物裸露層的平坦基板。接著,包覆錫氧化物層係沉積於矽氧化物上方,且錫氧化物然後係(例如,利用光微影圖案化)加以圖案化,導致圖5A中所示的結構。接著,裸露的矽氧化物係例如利用氟碳化物電漿相對於錫氧化物選擇性地加以蝕刻,導致圖5B中所示的結構。接著,錫氧化物係例如利用氫電漿蝕刻而加以剝除(移除),導致圖5C中所示的結構。
錫氧化物中間層。在另一硬遮罩實施例中,錫氧化物係用作針對碳層或另一材料之圖案化的中間硬遮罩(中間層)。在一實施例中,提供基板,其中該基板包含形成於含碳層(例如,非晶碳層)上的圖案化錫氧化物層,其中該基板包含複數下凹特徵部,其在下凹特徵部的底部處具有裸露的含碳材料。接著,裸露的含碳材料係於存在錫氧化物的情況下選擇性地加以蝕刻,以在含碳層中形成下凹特徵部。適當的選擇性蝕刻化學成分包含基於氫的蝕刻化學成分(例如,電漿中的H 2,及電漿中的HBr)、及基於氯的蝕刻化學成分(例如,電漿中的BCl 3及/或Cl 2)。適當的製程序列係藉由圖5D~5G中所示之橫剖面基板視圖加以繪示。圖5D中所示的基板包含子層51(例如,本文中所述之非晶矽或任何目標層)、位於子層51上方的含碳材料包覆層53(例如,非晶碳)、及位於含碳層53上方的包覆錫氧化物層55。基板更包含位於錫氧化物層55上方的圖案化光阻層57及光阻層57與錫氧化物層55之間的下方層56,其中下方層例如可為旋塗玻璃。在基板表面上之圖案化光阻層57中所形成之下凹特徵部的底部處,下方層56係裸露的。光阻的圖案係藉由存在光阻的情況下選擇性蝕刻下方層(例如,藉由基於氟碳化物的電漿蝕刻)而被轉移至下方層56。如圖5E中所示,蝕刻露出下凹特徵部之底部處的錫氧化物層55。接著,錫氧化物係利用對下方層材料較佳地具有選擇性的蝕刻加以圖案化。例如,錫氧化物可利用基於氫的蝕刻或基於氯的蝕刻加以蝕刻。在圖5F中所示的結果結構中,圖案已從光阻被轉移至錫氧化物層,且含碳層53在下凹特徵部的底部處係裸露的。接著,製程繼續蝕刻裸露的含碳層53。較佳地,使用對錫氧化物具有選擇性的化學成分。例如,裸露的含碳層53可藉由基於氧的蝕刻(例如,碳可藉由在含氧氣體中所形成的電漿加以蝕刻)加以蝕刻。剩下的光阻亦可在此步驟中加以移除。在此蝕刻之後所獲得的結構係顯示於圖5G中。製程更可繼續移除錫氧化物層55及後續地處理裸露的子層51。
中間層實施例的另一實施例係顯示於圖5H~5K中。序列係類似於參考圖5D~5G所述者,但係在無下方層56的情況下執行。在此序列中,圖案化光阻層57係直接地形成於錫氧化物層55上,隨後為於存在光阻的情況下,(例如,利用基於氫的蝕刻(H 2或HBr)或基於氯的蝕刻)蝕刻錫氧化物。製程然後繼續將圖案從錫氧化物層55轉移至含碳層53,其中層53本身可用作使下方層51圖案化的硬遮罩。接著,錫氧化物層55係於存在含碳層53的情況下,例如利用基於氫的蝕刻化學成分(例如,電漿中的H 2)選擇性地加以蝕刻並移除。該等中間層序列係適用於EUV硬遮罩處理應用。
在另一實施例中,高度選擇性蝕刻係用以將光阻圖案轉移至錫氧化物層。例如,錫氧化物可於存在上方光阻及下方材料的情況下,利用添加含碳反應物之基於氫的蝕刻化學成分選擇性地加以蝕刻,其中含碳反應物係用以在基板的表面上形成含碳聚合物,並且增加蝕刻選擇性。例如,如本文中所述,電漿可在H 2、碳氫化合物(例如,CH 4)、及選用惰性氣體的混合物中形成。這將參考圖5H~5K加以繪示。在此範例中,如圖5H所示,圖案化光阻層57係形成於錫氧化物層55上方(例如,9~12 nm厚)。在此圖中,直接位於錫氧化物層下方的材料層係鎢碳層53(35~55 nm厚)。此圖中的覆層51係矽氧化物(例如,TEOS蓋),其可位於BEOL製程序列中所使用之極低k介電質層上。首先,錫氧化物係於存在光阻及鎢碳的情況下,利用高度選擇性H 2/CH 4電漿蝕刻選擇性地加以蝕刻。如圖5I中所示,形成下凹特徵部,且露出鎢碳層。接著,鎢碳係於存在錫氧化物的情況下選擇性地加以蝕刻,從而露出下方的TEOS層。例如,鎢碳可利用本文中所述之NF 3/Cl 2電漿蝕刻而相對於錫氧化物選擇性地加以蝕刻。光阻亦可在此步驟期間被實質上移除。結果結構係顯示於圖5J中。接著,錫氧化物係利用對碳鎢具有選擇性的蝕刻從基板移除。在一些實施例中,較佳地利用H 2電漿移除錫氧化物。在一些實施例中,在此步驟期間,不使用碳氫添加物。例如,錫氧化物可在已利用H 2蝕刻蝕刻下方層之後,在以下製程條件下加以移除。在此範例中,蝕刻涉及:使H 2以100 ~500 sccm的流速流動、以及利用(每個300 nm晶圓之)100~500 W的RF功率在如此之製程氣體中形成電漿。如此之蝕刻可在基板偏壓或不具基板偏壓的情況下進行。例如,基板偏壓可介於0~100 Vb之間,例如10~100 Vb。製程可在低於100℃的溫度及5~50 mTorr的壓力下執行。
利用錫氧化物中間層硬遮罩的另一製程流程係藉由圖5L~5O中的橫剖面圖加以繪示。在此範例中,如圖5L中所示,圖案化光阻層57係形成於錫氧化物層55上方(例如,9~12 nm厚)。在此圖中,直接位於錫氧化物層下方的材料層係非晶矽(Si)層53(35~55 nm厚)。此圖中的覆層51係矽氧化物蝕刻停止層。在此圖中,光阻係沉積成使得其在底部比在頂部更寬。如所示,在利用H 2/碳氫電漿蝕刻的情況下,減少光阻寬度之差異並使其橫剖面實質上呈長方形係可行的。首先,錫氧化物係於存在光阻及非晶矽的情況下,利用高度選擇性H 2/CH 4電漿蝕刻而選擇性地加以蝕刻。如圖5M中所示,形成下凹特徵部,且露出非晶矽層,同時改善光阻的幾何結構。接著,矽係於存在錫氧化物的情況下選擇性地加以蝕刻,從而露出下方的蝕刻停止層。例如,矽可利用本文中所述之NF 3/Cl 2電漿蝕刻而相對於錫氧化物選擇性地加以蝕刻。大於40(矽相對於錫氧化物)的蝕刻選擇性可利用如此之蝕刻達成。光阻亦可在此步驟期間被部分地移除。結果結構係顯示於圖5N中。接著,錫氧化物係利用對矽具有選擇性的蝕刻而從基板移除。在一些實施例中,較佳地利用H 2電漿移除錫氧化物。剩下的光阻層57可在H 2電漿處理期間加以移除。結果結構係顯示於圖5O中。
利用錫氧化物作為硬遮罩係非常有利的,因為其可以相對於矽氧化物之(其係常見的待圖案化層)極高的選擇性加以蝕刻。錫氧化物亦可利用乾式電漿蝕刻化學成分(例如,基於氫或基於氯的化學成分)相對於諸多其他材料(包含碳、光阻、金屬、金屬氮化物、及金屬氧化物)而選擇性地加以蝕刻。 錫氧化物硬遮罩相較於鈦氮化物硬遮罩亦為較佳,因為錫氧化物不需濕式蝕刻且可利用氫電漿加以蝕刻,而鈦氮化物通常係藉由溼式蝕刻方法加以移除。
反向型硬遮罩。在一些實施例中,錫氧化物係用於諸多反向型硬遮罩應用中。一例示性製程流程係顯示於圖8中,且係藉由圖7A~7C中之基板的示意性橫剖面圖加以繪示。製程開始於操作801,提供具有裸露圖案化可灰化層及複數下凹特徵部的基板。可灰化材料的範例包括例如非晶碳、類鑽石碳、光阻、及有機聚合物(其中,聚合物可未經摻雜,或利用金屬或金屬氧化物加以摻雜)的含碳材料。圖案化可灰化材料層可例如藉由沉積可灰化材料包覆層(例如,藉由PECVD或旋塗方法)、接著進行光微影圖案化而形成。圖7A繪示具有位於子層701(例如,Si、SiN、金屬氮化物、或本文中所述的任何目標或子層材料)上之可灰化材料703之圖案化層的基板。基板上具有複數下凹特徵部,且層701在下凹特徵部的底部處係裸露的。接著,在操作803中,基板上的下凹特徵部係利用錫氧化物填滿(例如,利用CVD)。在此步驟中,過度覆蓋部通常亦形成於可灰化材料703層的上方。所獲得的結構係顯示於圖7B中,其中所沉積的錫氧化物705填充可灰化材料703之間的間隙,並形成過度覆蓋部。然後,過度覆蓋部可例如藉由化學機械拋光(CMP, chemical mechanical polishing)操作或主體電漿蝕刻(例如,利用基於氫及/或基於氯的電漿蝕刻)加以移除,以露出可灰化材料703。在平坦化之後,在操作805中,可灰化材料係在不實質移除錫氧化物材料(例如,錫氧化物的至少90%留下)的情況下加以移除,從而形成如圖7C中所示之錫氧化物705的互補型圖案。
在一特定的範例中,提供基板,該基板包含位於目標層(例如,矽氧化物、矽氮化物、或金屬)上的圖案化碳層。圖案化碳層具有複數凹部(例如,具有介於約5~50 nm之間的寬度)。然後,凹部係利用錫氧化物填滿,並形成錫氧化物過度覆蓋部(例如,CVD)。然後,移除錫氧化物過度覆蓋部(例如,藉由CMP或相對於碳具有選擇性的乾式電漿蝕刻),以露出碳,以及然後碳係例如利用O 2電漿加以移除(剝除),而不完全移除錫氧化物。
反向型遮罩實施例的另一實施例係顯示於圖10中的製程流程圖中,且係藉由圖9A~9C中之受處理基板的示意性橫剖面圖加以繪示。製程開始於操作1001,提供具有圖案化錫氧化物層及複數下凹特徵部的基板。這係繪示於圖9A中,其中圖案化錫氧化物層903位於子層901(例如,金屬氮化物、或金屬層)上。子層901在形成於錫氧化物層903中之下凹特徵部的底部處係裸露的。然後,在操作1003中,下凹特徵部係例如藉由CVD而利用含矽材料填滿。適當含矽材料的範例包含矽(例如,非晶矽或多晶矽)及含矽化合物(例如,矽氧化物、矽氮化物、及矽碳化物)。在此沉積期間,可在錫氧化物層上方形成過度覆蓋部。結果結構係顯示於圖9B中,其中含矽層905填充圖案化錫氧化物層中的間隙,並形成過度覆蓋部。然後,過度覆蓋部係藉由CMP或藉由電漿蝕刻(例如,如氟碳化物電漿蝕刻之基於氟的蝕刻)加以移除,並露出錫氧化物層903。在下一操作1005中,錫氧化物係在不移除含矽材料的情況下加以移除,從而形成圖案化含矽材料層(相對於錫氧化物圖案之反向型或互補型圖案)。錫氧化物係例如利用基於氫的蝕刻(例如,H 2電漿蝕刻、或HBr電漿蝕刻)或基於氯的蝕刻(例如,BCl 3/Cl 2蝕刻)而相對於含矽材料選擇性地加以蝕刻。蝕刻後所獲得的結構係顯示於圖9C中,其中顯示圖案化含矽層905。子層901在形成於含矽層905中之下凹特徵部的底部處係裸露的。
在一實施例中,提供具有目標層的基板,其具有位於目標層上方的圖案化錫氧化物層。然後,圖案化錫氧化物中的下凹特徵部係利用矽氧化物填滿,並且形成矽氧化物過度覆蓋部(例如,藉由PECVD)。然後,蝕刻矽氧化物過度覆蓋部(下凹蝕刻),以露出錫氧化物,以及然後錫氧化物係例如利用氫電漿而加以移除(剝除),從而留下圖案化矽氧化物,其具有與初始錫氧化物圖案互補的圖案。
設備
本文中所述的蝕刻方法可在諸多設備中實施。適當的適當包含蝕刻製程腔室、在蝕刻期間用以將基板固持定位之蝕刻製程腔室中的基板固持器、及用以在製程氣體中產生電漿的電漿產生機制。
適當設備的範例包含電感耦合電漿(ICP, inductively coupled plasma)反應器,其在某些實施例亦可適用於循環沉積及活化製程,包含原子層蝕刻(ALE, atomic layer etching)操作及原子層沉積(ALD, atomic layer deposition)操作。雖然在本文中詳細描述ICP反應器,但吾人應理解,亦可使用電容耦合電漿反應器。
圖11示意性地顯示適用於實施本文中所述電漿蝕刻之整合式電感耦合電漿蝕刻及沉積設備400的橫剖面圖,該設備400的一範例係由Lam Research Corp. of Fremont, CA生產的Kiyo ®反應器。電感耦合電漿設備400包含在結構上由腔室壁401及窗部411所界定的總製程腔室424。腔室壁401可由不鏽鋼或鋁製成。窗部411可由石英或其他介電材料製成。選用的內部電漿網格450將總製程腔室劃分成上部子腔室402及下部子腔室403。在多數實施例中,可移除電漿網格450,從而利用由子腔室402及403構成的腔室空間。卡盤417係定位於下部子腔室403內接近底部內表面處。卡盤417係用以接收及固持半導體晶圓419,在該半導體晶圓419上執行蝕刻及沉積製程。卡盤417可為當晶圓419出現時用以支撐該晶圓419的靜電卡盤。在一些實施例中,邊緣環(未顯示)圍繞卡盤417,且具有與晶圓419的頂部表面幾乎共面(當該晶圓419出現於卡盤417上時)的上表面。卡盤417亦包含用以夾持及鬆開晶圓419的靜電電極。就此目的而言,可設置濾波器及DC夾持電源(未顯示)。亦可設置用以將晶圓419抬離卡盤417的其他控制系統。卡盤417可利用RF電源423而充電。RF電源423係透過連接部427連接至匹配電路421。匹配電路421係透過連接部425連接至卡盤417。以此方式,RF電源423係連接至卡盤417。在諸多實施例中,靜電卡盤的偏壓功率可設定於約50Vb,或者取決於根據所揭露之實施例而執行的製程,可設定於不同的偏壓功率。例如,偏壓功率可介於約20Vb與約100Vb之間,或介於約30Vb與約150Vb之間。
用以產生電漿的元件包含定位於窗部411上方的線圈433。在一些實施例中,在所揭露的實施例中不使用線圈。線圈433係由導電材料製成且包含至少一個完整迴旋。圖4中所示之線圈433的範例包含三個迴旋。線圈433的橫剖面係以符號顯示,且標有「X」的線圈旋轉地延伸進入頁面,而標有「●」的線圈旋轉地延伸出頁面。用以產生電漿的元件亦包含配置成供應RF功率至線圈433的RF電源441。一般而言,RF電源441係透過連接部445連接至匹配電路439。匹配電路439係透過連接部443連接至線圈433。以此方式,RF電源441係連接至線圈433。選用的法拉第屏蔽449a係定位於線圈433與窗部411之間。法拉第屏蔽449a可相對於線圈433維持隔開的關係。在一些實施例中,法拉第屏蔽449a係設置於緊鄰窗部411的上方。在一些實施例中,法拉第屏蔽449b係介於窗部411與卡盤417之間。在一些實施例中,法拉第屏蔽449b相對於線圈433並非維持隔開的關係。例如,法拉第屏蔽449b可無間隙地位於窗部411的正下方。線圈433、法拉第屏蔽449a、及窗部411係各配置成彼此實質上平行。法拉第屏蔽449a可防止金屬或其他物種沉積在製程腔室424的窗部411上。
製程氣體(例如,H 2及 He等)可通過定位於上部子腔室402中的一或更多主氣體流動入口460、及/或通過一或更多側氣體流動入口470而流入製程腔室中。同樣地,雖未明確顯示,但類似的氣體流動入口可用以供應製程氣體至電容耦合電漿製程腔室。例如一或二段式機械乾燥泵浦及/或渦輪分子泵浦的真空泵浦440可用以將製程氣體從製程腔室424抽出,且用以維持製程腔室424內的壓力。例如,真空泵浦可用以在下部子腔室403的排淨操作期間將該下部子腔室403排空。閥控制管道可用以將真空泵浦流體連接至製程腔室424,以選擇性地控制由真空泵浦所提供之真空環境的施加。這可藉由在操作性電漿處理期間採用閉迴路控制式流動限制裝置(如節流閥(未顯示)、或擺閥(未顯示))而完成。同樣地,亦可就電容耦合電漿製程腔室採用真空泵浦及閥控制流體連接部。
在設備400的操作期間,例如含H 2氣體的一或更多製程氣體可通過氣體流動入口460及/或470而加以供應。在某些實施例中,製程氣體可僅通過主氣體流動入口460而加以供應,或僅通過側氣體流動入口470而加以供應。在一些情形中,圖中所示的氣體流動入口可被較複雜的氣體流動入口取代,如一或更多噴淋頭。法拉第屏蔽449a及/或選用網格450可包含容許製程氣體輸送至製程腔室424的內部通道及孔洞。法拉第屏蔽449a及選用網格450之任一者或兩者可用作輸送製程氣體的噴淋頭。在一些實施例中,液體汽化及輸送系統可位於製程腔室424的上游處,使得一旦液體反應物或前驅物汽化,則汽化的反應物或前驅物係經由氣體流動入口460及/或470而導入至製程腔室424中。
射頻功率係自RF電源441供應至線圈433,以產生流過線圈433的RF電流。流過線圈433的RF電流產生環繞線圈433的電磁場。電磁場在上部子腔室402內產生感應電流。所產生之諸多離子及自由基與晶圓419的物理及化學相互作用蝕刻晶圓419的特徵部,並在晶圓419上選擇性沉積覆層。
若使用電漿網格450使得存在上部子腔室402及下部子腔室403兩者,則感應電流作用於出現在上部子腔室402中的氣體,以在上部子腔室402中產生電子-離子電漿。選用的內部電漿網格450限制下部子腔室403中之熱電子的量。在一些實施例中,設備400係設計及操作成使得出現在下部子腔室403中的電漿為離子-離子電漿。
上部的電子-離子電漿與下部的離子-離子電漿兩者皆可包含正離子及負離子,但離子-離子電漿將具有更高的負離子對正離子比率。揮發性蝕刻及/或沉積副產物可透過埠422從下部子腔室403移除。例如,在利用H 2電漿之錫氧化物的蝕刻期間所產生的矽氫化物可在排淨及/或排空期間透過埠422加以移除。本文中所揭露的卡盤417可在介於約10℃與約250℃間之範圍內的升高溫度下運作。溫度將取決於製程操作及特定配方。在一些實施例中,設備係控製成在低於約100℃的溫度下進行蝕刻。
當設備400被安裝於潔淨室或製造設施中時,該設備400可耦接至設備(未顯示)。設備包含提供處理氣體、真空、溫度控制、及環境微粒控制的管路。當安裝於目標製造設施中時,該等設備係耦接至設備400。此外,設備400可耦接至轉移腔室,該轉移腔室容許自動機器使用常見的自動化系統將半導體晶圓轉移進出設備400。
在一些實施例中,系統控制器430(其可包含一或更多實體或邏輯控制器)控制製程腔室424之操作的一些或全部者。系統控制器430可包含一或更多記憶體裝置及一或更多處理器。在一些實施例中,設備400包含用以控制製程氣體之流速的切換系統。在一些實施例中,控制器包含用以進行本文中所提供之任何方法之操作的程式指令。
在一些實施例中,系統控制器430係系統的一部分,該系統可為上述範例的一部分。如此之系統可包含半導體處理設備,該半導體處理設備包含(複數)處理工具、(複數)腔室、(複數)處理平台、及/或特定的處理元件(晶圓基座、氣體流動系統等)。該等系統可與電子設備整合,以在半導體晶圓或基板的處理之前、期間、以及之後,控制該等系統的運作。電子設備可整合至系統控制器430中,該系統控制器430可控制系統或複數系統的諸多元件或子部件。取決於處理參數及/或系統類型,系統控制器可程式設定成控制本文中所揭露製程的任何者,包含處理氣體的輸送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體輸送設定、位置和操作設定、晶圓轉移(進出與特定系統相連接或相接合之工具及其他轉移工具及/或裝載鎖)。
廣泛地講,系統控制器430可定義為具有諸多積體電路、邏輯、記憶體、及/或軟體的電子設備,其用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測、及類似者。積體電路可包含:儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP, digital signal processor)、定義為特定用途積體電路(ASIC, application specific integrated circuit)的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以諸多單獨設定(或程式檔案)之形式傳達至控制器或系統的指令,該等單獨設定(或程式檔案)針對實行(半導體晶圓上之,或針對半導體晶圓之)特定製程而定義操作參數。在一些實施例中,操作參數可為由製程工程師為了在一或更多以下者的製造或移除期間完成一或更多處理操作而定義之配方的一部分:覆層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒。
在一些實施例中,系統控制器430可為電腦的一部分,或耦合至電腦,該電腦係與系統整合、耦合至系統、以其他網路的方式接至系統、或其組合的方式而接至系統。例如,控制器可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作檢查趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理操作、或開始新的製程。在一些範例中,遠端電腦(例如,伺服器)可經由網路提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含使得可以輸入參數及/或設定、或對參數及/或設定進行程式設定的使用者介面,然後將該參數及/或設定自遠端電腦傳達至系統。在一些範例中,系統控制器430以資料的形式接收指令,該指令為即將於一或更多操作期間執行之處理操作的每一者指定參數。吾人應理解,參數可特定地針對待執行之製程的類型、及控制器與之接合或加以控制之工具的類型。因此,如上所述,系統控制器430可為分散式,例如藉由包含以網路的方式接在一起且朝向共同之目的(例如,本文中所述的製程及控制)運作的一或更多分離控制器。針對如此目的之分散式控制器的範例將是腔室上與位於遠端的一或更多積體電路(例如,在作業平臺層級、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者結合以控制腔室上的製程。
在無限制的情況下,例示性系統可包含以下者:電漿蝕刻腔室或模組、沉積腔室或模組、旋轉淋洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、ALE腔室或模組、離子植入腔室或模組、軌道腔室或模組、以及可在半導體晶圓的加工及/或製造中相關聯或使用的任何其他半導體處理系統。
如以上所提及,取決於即將藉由工具執行之(複數)製程操作,控制器可與半導體製造工廠中之一或更多以下者進行通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分佈的工具、主電腦、另一控制器、或材料輸送所使用的工具,該材料輸送所使用的工具攜帶晶圓的容器往返工具位置及/或裝載埠。
圖12描繪具有諸多模組的半導體製程叢集構造,該諸多模組與真空轉移模組(VTM, vacuum transfer module)538相接合。諸多模組將晶圓「轉移」於複數儲存設施及複數處理模組之間的配置可稱為「叢集工具構造」系統。空氣鎖530(亦稱為裝載鎖或轉移模組)與VTM 538接合,該VTM 538進而與四個處理模組520a~520d接合,該等處理模組520a~520d可分別最佳化成執行諸多的製造製程。舉例而言,處理模組520a~520d可實施成執行基板蝕刻、沉積、離子植入、晶圓清洗、濺射、及/或其他半導體製程。在一些實施例中,錫氧化物沉積及錫氧化物蝕刻係在同一模組中執行。在一些實施例中,錫氧化物沉積及錫氧化物蝕刻係在同一工具的不同模組中執行。基板蝕刻處理模組的一或更多者(520a~520d的任何者)可如本文中所揭露般加以實施,例如,實施成用以沉積保形膜、選擇性蝕刻錫氧化物、形成空氣間隙、及根據所揭露實施例的其他適當功能。空氣鎖530及處理模組520a~520d可稱為「站」。每一站具有將該站接合至VTM 538的小平面536。在每一小平面內側,感測器1~18係用以在晶圓526於分別的站之間移動時,偵測晶圓526的穿過。
自動機器522在複數站之間轉移晶圓526。在一實施例中,自動機器522具有一臂部,且在另一實施例中,自動機器522具有兩臂部,其中每一臂部具有一末端作用器524,以拿取例如晶圓526的晶圓,從而進行傳輸。在大氣轉移模組(ATM, atmospheric transfer module)540中的前端自動機器532係用以將晶圓526從裝載埠模組(LPM,  Load Port Module)542中的卡匣或前開式晶圓傳送盒(FOUP, Front Opening Unified Pod)534轉移至空氣鎖530。處理模組520a~520d內側的模組中心528係用以放置晶圓526的位置。ATM 540中的對準器544係用以使晶圓對準。
在例示性處理方法中,晶圓係定位於LPM 542中之複數FOUP 534的其中一者中。前端自動機器532將晶圓從FOUP 534轉移至對準器544,該對準器544容許晶圓526在其進行蝕刻或處理之前適當地置中。對準之後,晶圓526係藉由前端自動機器532而移入空氣鎖530中。因為空氣鎖530具有匹配ATM 540及VTM 538間環境的能力,故晶圓526能夠在兩壓力環境之間移動,而不受損傷。晶圓526係藉由自動機器522從空氣鎖530透過VTM 538移動,並且進入處理模組520a~520d的其中一者中。為達成如此之晶圓移動,自動機器522在其每一臂部上使用末端作用器524。一旦晶圓526已受處理,其係藉由自動機器522從處理模組520a~520d移動至空氣鎖530。自此,晶圓526可藉由前端自動機器532移動至複數FOUP 534的其中一者、或移動至對準器544。
吾人應注意,控制晶圓移動的電腦可位於叢集構造的附近、或可位於製造樓層中叢集構造的外部、或位於遠端位置且經由網路而連接至叢集構造。如以上相關於圖11所描述的控制器可用於圖12中的工具。包含用於根據本發明控制製程操作之指令的機器可讀媒體可耦合至系統控制器。
在一些實施例中,提供設備,其中該設備包含:製程腔室,其具有用以在蝕刻期間固持半導體基板的基板固持器;電漿產生器,其用以在製程氣體中產生電漿;及控制器。該控制器包含用以實施本文中所述方法之任何者的程式指令。
在另一實施態樣中,設置非暫態電腦機器可讀媒體,其中該非暫態電腦機器可讀媒體包含用以執行本文中所述方法之任何者的編碼。
在另一實施態樣中,提供用以在半導體基板上形成間隔件或硬遮罩的系統。該系統包含一或更多沉積腔室、一或更多蝕刻腔室、及控制器。該控制器包含用以實施本文中所述之任何方法的程式指令。在另一實施態樣中,系統包含本文中所述之設備及系統的任何者及步進器。在另一實施態樣中,提供用以處理半導體基板的系統。在一實施例中,系統包含:一或更多沉積腔室、一或更多蝕刻腔室、及系統控制器,該系統控制器包含用於以下者的程式指令:(i)在半導體基板上之複數凸起特徵部的水平表面及側壁上方沉積錫氧化物層;(ii)在凸起特徵部之側壁處的錫氧化物層上形成鈍化層;以及(iii)從凸起特徵部的水平表面移除錫氧化物層,而不移除凸起特徵部之側壁上方的錫氧化物層。
進一步的實施方式
本文所述之設備和製程可結合微影圖案化工具或製程一起使用,例如,用於半導體元件、顯示器、LED、光伏面板、及類似者的製造或生產。通常但非必要地,如此之設備及製程將於共同的製造設施中一起使用或進行。膜層的微影圖案化通常包含以下操作的一些或全部者,其每一操作係利用若干可能的工具而達成:(1) 使用旋塗或噴塗工具將光阻塗佈於工件(亦即,基板)上;(2) 使用熱板或爐或UV固化工具,使光阻固化;(3)利用如晶圓步進機的工具,將光阻曝露至可見光或UV或x射線光;(4)使光阻顯影,以選擇性地移除光阻,且從而利用例如溼檯的工具使其圖案化; (5) 藉由使用乾式或電漿輔助蝕刻工具將光阻圖案轉移至下方膜或工件中;及(6) 使用例如RF或微波電漿光阻剝除器的工具將光阻移除。
1~18:感測器 51:層 53:層 55:層 56:下方層 57:光阻層 101:芯軸 103:ESL 105:目標層 107:覆層 109:錫氧化物層 201:操作 203:操作 205:操作 301:層 302:矽氧化物外部層 303:ESL 305:芯軸 307:錫氧化物層 309:鈍化層 401:腔室壁 402:子腔室 403:子腔室 411:窗部 417:卡盤 419:晶圓 421:匹配電路 422:埠 423:RF電源 424:製程腔室 425:連接部 427:連接部 430:系統控制器 433:線圈 439:匹配電路 440:真空泵浦 441:RF電源 443:連接部 445:連接部 449a:法拉第屏蔽 449b:法拉第屏蔽 450:網格 460:氣體流動入口 470:氣體流動入口 520a:處理模組 520b:處理模組 520c:處理模組 520d:處理模組 522:自動機器 524:末端作用器 526:晶圓 528:模組中心 530:空氣鎖 532:前端自動機器 534:FOUP 536:小平面 538:VTM 540:ATM 542:LPM 544:對準器 601:操作 603:操作 605:操作 701:層 703:可灰化材料 705:錫氧化物 801:操作 803:操作 805:操作 901:子層 903:錫氧化物層 905:含矽層 1001:操作 1003:操作 1005:操作 4401:步驟 4403:步驟 4405:步驟 4407:步驟 d1:距離 d2:距離 d3:高度
圖1A~1F顯示經受處理之半導體基板的示意性橫剖面圖,該處理涉及間隔件形成。
圖2係根據本文中所提供實施例之方法的製程流程圖。
圖3A~3E顯示根據本文中所提供實施例之經受處理之半導體基板的示意性橫剖面圖。
圖4係根據本文中所提供實施例之錫氧化物間隔件之形成方法的製程流程圖。
圖5A~5C顯示根據本文中所提供實施例之經受處理之半導體基板的示意性橫剖面圖。
圖5D~5G顯示根據本文中所提供另一實施例之經受處理之半導體基板的示意性橫剖面圖。
圖5H~5K顯示根據本文中所提供另一實施例之經受處理之半導體基板的示意性橫剖面圖。
圖5L~5O顯示根據本文中所提供另一實施例之經受處理之半導體基板的示意性橫剖面圖。
圖6係根據本文中所提供實施例之半導體方法的製程流程圖。
圖7A~7C顯示根據本文中所提供實施例之經受處理之半導體基板的示意性橫剖面圖。
圖8係根據本文中所提供實施例之方法的製程流程圖。
圖9A~9C顯示根據本文中所提供實施例之經受處理之半導體基板的示意性橫剖面圖。
圖10係根據本文中所提供實施例之方法的製程流程圖。
圖11係設備的示意代表圖,該設備係適用於利用本文中所提供的蝕刻化學成分來蝕刻錫氧化物。
圖12顯示根據本文中所提供實施例之多站式處理系統的示意圖。
4401:步驟
4403:步驟
4405:步驟
4407:步驟

Claims (28)

  1. 一種半導體基板的處理方法,該方法包括下列步驟: (a) 提供具有複數凸起特徵部的一半導體基板,該些凸起特徵部具有水平表面和側壁; (b) 形成一錫氧化物層於該些凸起特徵部的該些水平表面上及該些側壁上; (c) 形成一鈍化層在該些凸起特徵部的該些側壁處的該錫氧化物層上,使得在形成該鈍化層之後相鄰的該些凸起特徵部之間的間隙保持未填充的;以及 (d) 在形成該鈍化層後,蝕刻並從該些凸起特徵部的該些水平表面完全去除錫氧化物,藉此暴露該些凸起特徵部的一材料,而不完全去除位在該些凸起特徵部的該些側壁的錫氧化物。
  2. 如請求項1所述之半導體基板的處理方法,其中(d)中暴露的該些凸起特徵部的該材料選自由含矽材料、含碳材料和金屬氧化物所組成之群組。
  3. 如請求項1所述之半導體基板的處理方法,其中(d)包括使用導致形成錫氫化物的基於氫的蝕刻化學成分以蝕刻錫氧化物。
  4. 如請求項1所述之半導體基板的處理方法,其中(d)包括使用基於氫的蝕刻化學成分藉由使該半導體基板與選自由H 2、HBr、NH 3、H 2O、碳氫化合物、及其組合所組成之群組的電漿活化含氫反應物接觸以蝕刻錫氧化物。
  5. 如請求項1所述之半導體基板的處理方法,其中(d)包括使用基於氯的蝕刻化學成分以蝕刻錫氧化物,其包括將該半導體基板裸露於選自由Cl 2、BCl 3、及其組合所組成之群組的電漿活化含氯反應物。
  6. 如請求項1所述之半導體基板的處理方法,其中在(d)中暴露的該些凸起特徵部的該材料選自由SiO 2、SiN、SiOC、SiC、SiCN、SiCNO和SiOC所組成之群組,其中(d)包括使用導致形成錫氫化物的基於氫的蝕刻化學成分以蝕刻錫氧化物。
  7. 如請求項1所述之半導體基板的處理方法,其中該些凸起特徵部係為覆蓋有矽氧化物的矽凸起特徵部,其中(d)包括使用導致形成錫氫化物的基於氫的蝕刻化學成分以蝕刻錫氧化物及暴露該矽氧化物。
  8. 如請求項1所述之半導體基板的處理方法,其中該些凸起特徵部係為碳凸起特徵部或光阻凸起特徵部。
  9. 如請求項1所述之半導體基板的處理方法,其中該些凸起特徵部係為選自由TaO、TiO、WO、ZrO和HfO所組成之群組的金屬氧化物凸起特徵部。
  10. 如請求項1所述之半導體基板的處理方法,其中形成該鈍化層在該些凸起特徵部的該些側壁處的該錫氧化物層上的步驟包括沉積一鈍化材料在該些凸起特徵部的該些水平表面上及該些側壁上,之後從該些凸起特徵部的該些水平表面去除該鈍化材料。
  11. 如請求項1所述之半導體基板的處理方法,其中形成該鈍化層在該些凸起特徵部的該些側壁處的該錫氧化物層上的步驟包括沉積一含矽鈍化材料在該些凸起特徵部的該些水平表面上及該些側壁上,之後使用基於氟碳化物的蝕刻化學成分從該些凸起特徵部的該些水平表面去除該含矽鈍化材料。
  12. 如請求項1所述之半導體基板的處理方法,其中形成該鈍化層在該些凸起特徵部的該些側壁處的該錫氧化物層上的步驟包括沉積一含碳鈍化材料在該些凸起特徵部的該些水平表面上和該些側壁上,之後從該些水平表面去除該含碳鈍化材料。
  13. 如請求項1所述之半導體基板的處理方法,其中形成該鈍化層在該些凸起特徵部的該些側壁處的該錫氧化物層上的步驟包括將該錫氧化物層的外部部分轉變為選自由SnN、SnBr、SnF所組成之群組的含錫鈍化材料。
  14. 如請求項1所述之半導體基板的處理方法,其中形成該鈍化層在該些凸起特徵部的該些側壁處的該錫氧化物層上的步驟包括藉由使該半導體基板與電漿中的含氮反應物接觸而將該錫氧化物層的外部部分轉變成錫氮化物。
  15. 如請求項1所述之半導體基板的處理方法,其中(d)包括使用包含Cl 2和BCl 3之氣體中所形成的電漿來蝕刻該錫氧化物層,之後利用在含H 2氣體中所形成的電漿來蝕刻該錫氧化物層。
  16. 如請求項1所述之半導體基板的處理方法,其中在(a)中所提供的該半導體基板還包括在該些凸起特徵部之間的選自由矽氧化物、鈦氧化物、鋯氧化物和鎢氧化物所組成之群組的一裸露材料。
  17. 如請求項1所述之半導體基板的處理方法,還包括在(d)之後去除該些凸起特徵部而不完全去除存在於該些凸起特徵部的該些側壁的該錫氧化物層,藉此形成錫氧化物間隔件。
  18. 如請求項1所述之半導體基板的處理方法,其中該錫氧化物層保形地沉積至約5-30nm之厚度。
  19. 如請求項1所述之半導體基板的處理方法,其中該鈍化層具有1-5nm之厚度。
  20. 如請求項1所述之半導體基板的處理方法,還包括: 在該半導體基板施加光阻; 將該光阻曝光; 將該光阻圖案化,並將圖案轉移至該半導體基板;以及 選擇性地從該半導體基板移除該光阻。
  21. 一種半導體基板的處理方法,該方法包括下列步驟: (a) 提供具有複數凸起特徵部的一半導體基板,該些凸起特徵部具有水平表面和側壁; (b) 形成一錫氧化物層於該些凸起特徵部的該些水平面上及該些側壁上,其中該半導體基板暴露於一含錫前驅物和一含氧前驅物以形成該錫氧化物層; (c) 形成一鈍化層在該些凸起特徵部的該些側壁處的該錫氧化物層上,使得在形成該鈍化層之後相鄰的該些凸起特徵部之間的間隙保持未填充的;以及 (d) 在形成該鈍化層後,蝕刻並從該些凸起特徵部的該些水平表面完全去除錫氧化物,藉此暴露該些凸起特徵部的一材料,而不完全去除位在該些凸起特徵部的該些側壁的錫氧化物。
  22. 如請求項21所述之半導體基板的處理方法,其中該半導體基板暴露於具有下式的該含錫前驅物; R x-Sn-A (4-x)其中x係為0、1、2或3; R係選自脂肪族、雜脂肪族或其任意組合;以及 A係為YR' z,其中Y係選自N或O; Y為O時z係為1,且Y為N時z係為2;以及 每個R'係獨立地選自脂肪族、雜脂肪族或其任意組合。
  23. 如請求項22所述之半導體基板的處理方法,其中YR' z的第一個R'與YR' z的第二個R'相同。
  24. 如請求項21所述之半導體基板的處理方法,其中該含錫前驅物係為肆(乙基甲基胺基)錫。
  25. 如請求項21所述之半導體基板的處理方法,其中該含錫前驅物係為烷基取代錫醯胺。
  26. 如請求項21所述之半導體基板的處理方法,其中該含錫前驅物係選自由肆(二甲基胺基)錫、肆(乙基甲基胺基)錫、N 2,N 3-二叔丁基-丁烷-2,3-二胺基錫(II)、及1,3-雙(1,1-二甲基乙基)-4,5-二甲基-(4R,5R)-1,3,2-二氮雜錫戊環-2-亞基所組成之群組。
  27. 如請求項21所述之半導體基板的處理方法,其中該含錫前驅物係為肆(二甲基胺基)錫。
  28. 如請求項21所述之半導體基板的處理方法,其中該半導體基板依序暴露於該含錫前驅物及該含氧前驅物。
TW111147564A 2017-02-17 2018-02-13 半導體裝置製造中之氧化錫膜 TWI838003B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201762460573P 2017-02-17 2017-02-17
US62/460,573 2017-02-17
US201762479709P 2017-03-31 2017-03-31
US62/479,709 2017-03-31
US15/894,635 2018-02-12
US15/894,635 US10546748B2 (en) 2017-02-17 2018-02-12 Tin oxide films in semiconductor device manufacturing

Publications (2)

Publication Number Publication Date
TW202341276A true TW202341276A (zh) 2023-10-16
TWI838003B TWI838003B (zh) 2024-04-01

Family

ID=

Also Published As

Publication number Publication date
US10546748B2 (en) 2020-01-28
CN110520963A (zh) 2019-11-29
TWI828619B (zh) 2024-01-11
US20210265163A1 (en) 2021-08-26
TW201842573A (zh) 2018-12-01
KR20220132673A (ko) 2022-09-30
JP2022180577A (ja) 2022-12-06
TW202137327A (zh) 2021-10-01
US20200083044A1 (en) 2020-03-12
TWI828989B (zh) 2024-01-11
JP7267926B2 (ja) 2023-05-02
KR20190110142A (ko) 2019-09-27
KR102447088B1 (ko) 2022-09-23
KR102580008B1 (ko) 2023-09-18
KR20210069129A (ko) 2021-06-10
US11322351B2 (en) 2022-05-03
KR20240014106A (ko) 2024-01-31
US20220270877A1 (en) 2022-08-25
CN110520963B (zh) 2024-04-02
JP2020510994A (ja) 2020-04-09
US20180240667A1 (en) 2018-08-23
WO2018152115A1 (en) 2018-08-23
US20220165571A1 (en) 2022-05-26
CN113675082A (zh) 2021-11-19

Similar Documents

Publication Publication Date Title
TWI828989B (zh) 半導體裝置製造中之氧化錫膜
KR102630349B1 (ko) 패터닝에서 주석 옥사이드 맨드렐들 (mandrels)
US11848212B2 (en) Alternating etch and passivation process
TWI838003B (zh) 半導體裝置製造中之氧化錫膜