TW201209920A - Oxide-rich liner layer for flowable CVD gapfill - Google Patents

Oxide-rich liner layer for flowable CVD gapfill Download PDF

Info

Publication number
TW201209920A
TW201209920A TW100125327A TW100125327A TW201209920A TW 201209920 A TW201209920 A TW 201209920A TW 100125327 A TW100125327 A TW 100125327A TW 100125327 A TW100125327 A TW 100125327A TW 201209920 A TW201209920 A TW 201209920A
Authority
TW
Taiwan
Prior art keywords
oxygen
layer
gap
plasma
precursor
Prior art date
Application number
TW100125327A
Other languages
English (en)
Inventor
Dong Qing Li
Jing-Mei Liang
Nitin K Ingle
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201209920A publication Critical patent/TW201209920A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

201209920 六、發明說明: 【交互參照之相關申請案】 本發明主張美國臨時申請案61/369,352之優先權,該 申請案6^69,352由Li等人於2〇1〇年7月3〇曰提出申 請,且該申請案61/369,352的發明名稱為「用於流動式 CVD間隙填充之富含氧化物的襯墊層」,該申請案 61/3 69,3 52在此併入全文做為參考。 【發明所屬之技術領域】 本發明關於半導體處理的方法。 【先前技術】 自從導入半導體元件數十年以來,半導體元件的幾何 形痞已在尺寸上劇烈地減少。現代的半導體製造設備例 行性生產具有45nm、32nm、及28細的特徵結構尺寸 的元件’而目前正開發新的設備,並且實施該等新設備 以製做具有更小幾何形態的元件。減少的特徵結構尺寸 造成7L件上的結構性特徵的空間尺度減少。元件上間隙 與溝槽的寬度變窄到間隙深度對寬度的 導致介電質填充間隙相當不易的程度。間隙完 前,沉積的介電材料傾向阻塞在頂部,而產生間隙中間 部的空洞或接縫(seam)。 幾年來,已開發許多技術避免介電材料阻塞間隙頂 4 201209920 部’或避免「癒合(heal )」已形成的該空洞或接縫。一 項解決方案是始於:高度可流動的前驅物材料可以液相 施加到旋轉基材表面(例如S〇G沉積技術)^這些可流 動的前驅物能夠流進並且加以填充非常小的基材間隙, 而不形成空洞或脆弱的接縫。然而,一旦這些高度流動 的材料沉積’他們必須硬化成固態介電材料。 在許多例子中,硬化的製程包括熱處理,該熱處理從 沉積的材料移除碳與羥基,而將固體介電質(諸如矽氧 化物)留下。不幸的是,離開的碳與羥基物種經常留下 孔隙於硬化的介電質中,孔隙.會降低最終材料的品質。 此外,硬化的介電質傾向在體積上觸縮,該觸縮可能會 在介電質與周圍基材的介面處留下破裂以及空間。在一 些例子中,硬化的介電質的體積可能減少4〇%以上。 因此,需I一些新的沉積製程與材料來形成“㈣ 於結構化基材上而不生成空洞、接縫(或前述二者)於 基材間隙與溝槽中。亦需要—些材料與方法用於硬化可 流動介電材料且使該可流動介電材料具有較少孔隙與較 少縐縮’及用於調適仍會發生的縐縮。這些與其他的需 求在本申請案中獲得解決。 【發明内容】 在此描述空洞體積比例降低的間隙填充氧化石夕層的开, 成。該沉積涉及在缺少氧較可流動的間隙填充層之前形 201209920 成富含氧較不可流動的襯墊層。然而,該襯墊層在與間 隙填充層相同的腔室内沉積。襯墊層與間隙填充層二者 可透過使自由基成份與未激發的含石夕前驅物(即不直接 透過施加電漿功率而被激發)結合而形成。襯墊層比間 隙填充層具更多的氧含量並且更加共形地沉積。間隙填 充層的沉積速率可藉由襯墊層的存在而增加。間隙填充 層可含有矽、氧與氮,並且該間隙填充層在高溫下轉化 成含有更多氧與更少氮《間隙填充襯墊的存在提供了間 隙填充層下方的氧源,以增大在轉化期間導入的氣相氧。 本發明的實施例包括一些形成氧化矽層於含有溝槽的 圖案化基材上的方法。該等方法包括將該基材傳送進入 一基材處理腔室,以及隨後形成一富含氧的襯墊層於包 括該溝槽的該基材上。該等方法進一步包括形成一間隙 填充介電層於該基材上與該溝槽中。該間隙填充介電層 具有一氧含量,該間隙填充介電層的氧含量低於該富含 氧的襯墊層的氧含量,並且該間隙填充介電層在形成期 間可机動。該等方法進一步包括在一高溫下固化該間隙 填充介電層以將一些氧從該富含氧的襯墊層轉移進入該 間隙填充介電層。 額外的實施例與特徵部份在隨後的實施方式中提出, 而部份對於熟習此技術者而言’在詳閱本說明書後可知 :等實施例與特徵為顯而易見,或者可由操作所揭露的 :施例而學得。可透過本說明書中所述的設備、各式組 合物及方法而明瞭及獲得所揭露的實施例之特徵與優 201209920 點。 【實施方式】 在此描述間隙填充氧化矽層的形成’該間隙填充氧化 石夕層具有減少的破裂傾向。該沉積涉及可流動含發層的 形成,該形成助於填充溝槽。後續在高基材溫度的處理 使得該介電膜中的破裂少於比根據先前技術方法形成的 可流動膜中的破裂。在此描述於間隙填充氧化矽層形成 前沉積的壓縮性襯墊層,且該壓縮性襯墊層減少後續沉 積膜破裂的傾向。可流動含矽層之後沉積的壓縮性帽蓋 層(capping layer)亦已被確認可減少破裂。可單獨使用 或結合使用壓縮性襯墊層與壓縮性帽蓋層以減少破裂。 所揭露的實施例中的壓縮性帽蓋層已另外被確認能使下 伏的氮化矽層得以轉形為氧化矽層。 將氧含量高於後續間隙填充層的襯墊層納入提供了間 隙填充層下方的另一個氧源’以於將間隙填充層轉化成 氧化石夕期間使用。可流動的膜可能需要固化,該固化為 獨立的固化步驟或為後續處理期間加熱膜堆疊(包括間 隙填充膜)的自然副作用。固化可在含氧環境中完成, 氧從該含氧環境遷移進人間隙填充層並且取代需要使間 隙填充層流入溝槽(溝槽位於圖案化基材上)的成份。 了旦間隙填充層已填充溝槽,那些成份可移除。固化是 、氧取代該等成伤,有些氧從富含氧化物的襯整層擴散 7 201209920 進入間隙填充層。 為了更佳地瞭解與認識本發明,現在請參閱第1圖至 第3圖,该等圖式是顯示根據本發明實施例的使用富含 氧化物襯塾層的所選步驟流程圖以及結合富含氧化物襯 整層的結構的剖面視I方们⑽包括將具有溝槽的圖 案化基材傳送進人基材處理區域(1G2)。在該範例中, 氧化矽襯墊層沉積在圖案化基材上(104)。帛2圖顯示 富含氧化物的襯墊層從基材2〇〇生長蔓延到虛線2〇2。 第3B圖顯示富含氧化物的襯墊生長到圖案化基材3⑼ 申的溝槽上的虛線302。在襯墊層沉積後,間隙填充介 電層在步驟106-110中透過CVD生長。間隙填充介電層 在形成期間可流動,以助益更完全地填充溝槽。間隙填 充介電層204、304-2各描繪於第2圖與第36圖。富含 氧化物的襯墊層比間隙填充介電層更為共形,且在一些 揭露的實施例中,該富含氧化物的襯墊層可大體上是共 形的。間隙填充介電層可實質上填充該溝槽。 各種方法可用於沉積在形成期間可流動的間隙填充介 電層。第1圖的範例中,矽前驅物導入容置基材的基材 處理區域(106)。另一前驅物僅在該另一前驅物通過遠 端電漿區域以生成「自由基氮」前驅物之後導入,該另 一前驅物隨後流進基材處理區域(i 08 ),並且與矽前驅 物結合。該含矽前驅物不直接透過施加電漿功率而被激 發。換言之’不施加電漿功率激發基材處理區域中的電 漿。此設置造成含矽與氮層以可流動式沉積進入該受襯 201209920 的溝槽(110)。膜的流動能力隨沉積進行而衰減,且在 固化操作期間,基本上除去該流動能力1化操作涉及 將切與氣層轉化成氧切⑺2)。固化涉及升高圖案 化基材的溫度並且將間隙填充介電層暴露到含氡環境。 富含氧化物的襯墊層的氧含量大於含矽與氮間隙填充層 的氧含量。該高溫誘導氧化物從襯墊層擴散進入間隙填 充層’而從間隙填充介電層下方提供額外氧源。 、 在揭露的實施例中,自由基成份的CVD用於形成富含 氧化物的襯塾與間隙填充層。該二操作是在相同的:材 處理區域中執行’以減少操作成本、增加處理量、並且 維持介面的整體性。含矽前驅物可含有碳或氮以確保間 隙填充介電層形成期間的流動能力。在揭露的實施例 中3矽刚驅物是無碳的含矽前驅物,該無碳的含矽前 驅物使間隙填充層在固化製程期間經歷較少頌縮。在石夕 月J驅物的分類中,該無碳的矽前驅物尤其可例如為矽與 氮的前驅物、矽與氫的前驅物、或含矽與氮與氩的前驅 物。這些前驅物的特定範例可包括矽烷胺(siyl-amine ), °亥石夕烧胺尤其是諸如H2N(SiH3)、HN(SiH3)2、和 n(SiH3)3。這些妙烷胺可與額外氣體混合,該額外氣體可 做為載氣、反應氣體、或前述二者。這些額外氣體的範 例尤其可包括氫氣、氮氣、氨氣、氦氣、與氬氣。無碳 的矽前驅物的範例亦可包括單獨的甲矽烷(SiH4 )或與 其他含碎氣體(例如N(SiH3)3 )、含氫氣體(例如氫氣)、 及/或含氮氣體(例如氮氣、氨氣)混合的甲矽烷。該含 201209920 石夕前驅物亦可包括不具有碳或氮的矽化合物,該不具有 石厌或II的石夕化合物諸如是曱石夕烧、乙石夕炫(disjiane)等。 倘若沉積的氧化物膜是摻雜的氧化物膜,亦可使用尤其 是諸如 TEB、ΤΜΒ、B2H6、ΤΕΡΟ、PH3、P2H6、與 TMP 之删及磷摻質做為摻質前驅物。 在自由基前驅物與含矽前驅物之一者或二者中可包括 览。當氮存在於自由基前驅物時,氮可指自由基氮前驅 物自由基氮别驅物包括電毁流出物(plasma effluent ), 該電漿流出物是透過激發電漿中較穩定的含氮前驅物生 成。例如’含有NH3及/或聯氨(n2H4 )的相對穩定的含 氮前驅物可在腔室電漿區域或處理腔室外的遠端電漿系 統(RPS )中受活化,以形成自由基氮前驅物,該自由 基氮刖驅物隨後輸送進入無電漿的基材處理區域。該穩 定的氮前驅物亦可為一混合物,在不同實施例中,該混 合物包含ΝΑ與A、NH3與H2、NH3與N2與H2、及N2 與Η2。在具有&與Η2的混合物中,聯氨亦可用於取代 ΝΗ3或結合ΝΗ3。穩定的氮前驅物的流率在不同實施例 中可大於200 seem或約200 sccm、大於30〇 sccm或約 300 seen;、大於500 seem或約500 seem、或者是大於700 seem或約700 seem。含氮前驅物亦可包括n2〇、N〇、 N〇2與ΝΗβΗ。所產生的自由基氮前驅物可包括·Ν、 •ΝΗ、·ΝΗΖ等之一者或多者且亦可伴隨電漿中形成的離 子化物種。其他實施例中,自由基氮前驅物在與基材處 理區域(在該基材處理區域處,前驅物混合並且反應而 10 201209920 沉積珍與氣層於沉積基材上(例如半導體晶圓))分隔的 處理腔室的一區段中生成。該分隔亦可互換地稱作噴 頭。自由基氮前驅物亦可伴隨諸如氬氣、氦氣等載氣。 可同時遞送氧進入遠端電漿區域(以〇2及/或〇3的形 式),而調整自由基氮前驅物與以此技術沉積的襯墊或間 隙填充層中的氧含量。 使用以先别技術的間隙填充技術(例如HDP-CVD )生 產較不可流動的膜時,可能難以既不形成空洞或接縫又 能填充溝槽。該等溝槽可具有高度與寬度,該高度與寬 度界定高度對寬度(即H/w)的高寬比(AR),該高寬 比顯著地大於1:1,例如5:1以上、6:1以上、7:1以上、 8.1以上、9:1以上、10:1以上、11:1以上、12:1以上等。 在許多例子中’高AR是由於小間隙寬度造成,該小間 隙寬度範圍是從約90 nm到約22 nm或低於22 nm ,例 如約 90 nm、65 nm、45 nm、32 run、22 nm、16 nm 等。 這些限制性的幾何形態不會完全被習知氮化矽(&川4) 膜的沉積所填充。;冗積的含石夕肖氮膜具有彳流動特性4, 使得該含矽與氮膜得以流入狹窄的間隙溝槽與其他在基 材的沉積表面上的結構。因為該層可流動,故該層可: 充尚的高寬比的間隙而不生成空洞或脆弱接縫於填充材 料中心周圍。例如,沉積可流動材料較不可能在完全填 充間隙之前過早阻塞間隙的頂部。此舉可助於減少或消 除殘留在間隙中間部的空洞。 流動能力可能(至少部份)是由於沉積的膜中顯著的 201209920 氫成份之故。例如,沉積的膜可能具有矽氮烷形式, Si-NH-Si骨架(即Si_N_H膜)。流動能力也可能是由於 矽氮烷類型的短鏈聚合物造成。使短鏈聚合物與流動能 力的氮可源自自由基前驅物或含矽前驅物。當矽前驅物 與自由基氮前驅物皆無碳時,所沉積的含矽與氮膜亦實 質上無奴。當然,無碳並非必然意味該膜缺乏甚至痕量 的碳。碳雜質可能存在於前驅物材料中而找到進入沉積 的含矽與氮膜的途徑。然而,這些碳雜質的量遠低於在 具有礙基團的石夕前驅物(例如TE〇s、TMDs〇等)中所 含的碳量。 在"L積含矽與氮層之後’沉積基材可暴露到含氧大氣 )田導入3氧大氣時,沉積基材可留在反應腔室 中或該基材可傳送到含氧大氣所導入的不同腔室。含 養大氣可包括一或多種含氧氣體,該等含氧氣體尤其是 諸如分子氧(〇2)、臭氧(〇3)、水蒸氣(A。)、與氮氧 化物(NO、N〇2等)。含氧大氣亦可包括自由基氧與經 物種’諸如原子氧(〇)、氫氧化物(OH)等,該等自由 基氧與經物種可漬# α 疋立而生成並且被輸送進入基材腔室。也 可能存在含氧物料的離子。 含氧大氣提供氧以將切與氮膜轉化成氧切(以〇2) 膜(U2)。如先前所述,含⑦與氮膜中缺乏碳而導致最 ^切膜t形成㈣較少孔^透過沉積可流動的含 石夕與氮臈並且轉介士 _ & a 成氧化矽,相較於一開始沉積可流動 3石夕與氧膜的情、' 况’從沉積到退火的淨縐縮減少。在轉 12 201209920 化製程期間,基;,田硌於_ 材μ度靶圍可從約25°C到約110(rc, 例如約20(TC、約3〇〇γ、約4〇n。广 。 、力 400 C、約 50(rc、約 6〇〇 C、約700 C、约只八〇0广 ,> 、 C、’力 900°c、約 100(TC 等。在 許夕清况中’體積的減少拳玉料(你丨1 各微(例如減少體積百分比約 1 5 0/〇以下)得足以避免 光後熱處理步驟,該後熱處理步驟 係為了填充、癒合、志耸而u丄 次甚而對付由於氧化矽縐縮而形成 在广隙中的接縫或空間。在一實施例中,轉化可以兩部 份發生。該兩部份的轉化可包括低溫臭氧固化(例如介 於20(TC與約40(TC )以啟翻氦几 ύ 一 )以啟動氧化,之後是在含氧環境
中南溫退火。這此择作湘p弓,条— A —锞作’月間虱從昌含氧的襯墊層擴散 進入間隙填充的含矽與氮層,以更完全地轉化該間隙填 充層。在揭露的實施例中,氮仍可存在於間隙填充層内, 然而,間隙填充材料實質上是由矽與氧構成。 第1圖的製程描述一製程,其中氧化石夕是透過首先沉 積含矽與氮層然後將該含矽與氮層轉化成氧化矽而形 成。在其他實施例中,沉積的膜是由自由基氧前驅物結 合不被電漿直接激發的含矽與碳前驅物而生成。該沉積 的膜之後會是含矽氧與碳膜,湘較於涉及含矽與氮膜的 製程,该含矽氧與碳膜可能會在後續的處理期間經歷更 多縐縮。示範性的不通過電漿的含碳前驅物可包括 TMOS、TriMOS、TEOS、OMCTS、HMDS、TMCTR、 TMCTS、OMTS、TMS、HMDSO 及/或 TMDSO。自由基 氧則驅物包含電聚流出物,該電漿流出物是透過在電漿 中激發含氧前驅物而生成,而該示範性的含氧前驅物可 13 201209920 包括Ο,、η . τ 3、Ν2〇、Ν0、Ν〇2、η2ο2、Η2〇、及 ΝΗ 〇 在沉積間隙i亩* Η ° 襯墊使得次砉而备 檟田3氧化物的 的氧增多。“以將來自固化期間所提供的氧大氣 例Τ包括固化後的多重退火階段,在所揭露的實 歹該等階段具有不同溫度與大氣。例如,第一加 階段可在較低的隹 熱 度下於包括水蒸氣(_)的大 T C ’而第二加熱階段可在較高的第二溫度下於 燥含氧大氣(實質上缺彡卜%友 c 凡 霄質上缺乏水蒸軋)中執行。第二加熱階 奴可在非含氧大氣(例如乾燥的N2、He、Ar等)中· 施。 ;〒只 現在轉而討論在此呈現的襯墊層的大致性質,根據所 揭露的實施例的富含氧化物之襯墊層比目標溝槽的寬度 的-半薄’以使後續沉積的可流動膜得以進入剩餘的間 隙。襯墊層的厚度在不同實施财可低於或約ι〇〇Α, 低於或約70 Α,低於或約50 Α,或者是低於或約3〇 A。 然而,富含氧化物的襯墊層是氧用於固化期間再分配的 儲存區。It這點而言,厚度應該大得足以儲#大量的氧 以供提昇間隙填充介電層中的氧含量。富含氧化物的襯 墊内的氧濃度亦應大,以便確保氧的儲存充足。 ” 〜I,w V J | \RJ *5貝 TfC 戈口 第2圖與第3B圖中的虛線2〇2、3〇2所示。該介面可基 本上在固化及退火後消除’因為氧濃度一經再分配就: 變得更均自。申請人已發現在一些實施例中使用剖面 201209920 SEM時介面無法偵測出。虛線2〇2與3〇2是顯示無固化 時"面存在之處。第3A圖顯示無襯塾層的可流動cvj) 間隙填充操作的剖面SEM代表圖,而第3B圖顯示具襯 墊層之同樣的沉積。在缺乏富含氧化物襯墊層時,沉積 層304-1的間隙填充區域中,孔隙3〇1是可見的。另— 方面’在第3B圖的沉積層的間隙填充區域3〇4_2中,既 不見孔隙也不見介面。 可使富含氧化物的襯墊層的氧含量大於間隙填充層的 氧含里,這是透過調整前驅物進入遠端電漿區域的流率 而達成。在形成富含氧化物襯墊層期間,氧(〇2 )對氨 氣(ΝΑ)進入遠端電漿區域的示範性流率比例可為約 2:1 (等同於原子氧對氮比例為約4:丨)。在沉積間隙填充 層期間,〇2:NH3的流率比例可為約i :5 (等同於原子氧 對氮比例為約2:5 )。這些比例指出將在襯墊層與間隙填 充層中發現的化學計量。在揭露的實施例中,襯墊的原 子氧對氮流率比例超過間隙填充的原子氧對氮流率比 例,使得富含氧化物的襯墊的氧含量超過間隙填充介電 層的氧含量。另一實施例中,襯墊的原子氧對氮流率比 例超過間隙填充的原子氧對氮流率比例一倍數因數,該 倍數因數大於5。 在生長可流動膜期間’在腔室電漿區域或基材處理區 域中的壓力可低於或約1〇〇 T〇rr、低於或約5〇 T〇rr、低 於或約20 Torr、低於或約1 〇 T〇rr、或者是低於或約5 Torr。在该二區域之—者或二者中的壓力在不同實施例 15 201209920 中可n於或約〇 25 T〇rr、高 丁⑽、高於或約2T 、古次、力〇·5Τ〇π·、南於或約i 〇ΓΓ问於或約5 T〇rr。在壓力上,每 一下限可與任—上人 母 、…“ 限、“而形成根據所揭露的實施例的
適當壓力的另—銘閱 ,E 。 長可流動膜期間,腔室電漿區 =:電衆條件(以為了生產自由基氧及二 “驅物)在不同實施例中可包括介於約3_ W至約 15000 W、介於約4〇〇w至約i〇_w'或介於約$㈧ 至約8000 W的RF功率。 沉積切膜(該切膜包括上文所給的範例t的氮及/ 或碳)期間,在基材溫度維持在相對低溫的同時可進 仃可流動膜的生長。可在低溫下於基材表面上沉積可流 動氧化物膜,該低溫是透過沉積期間冷卻基材而維持。 底座可包括在底座軸桿内側的加熱及/或冷卻導管,不同 貫轭例中,该等導管將底座與基材的溫度設定在約' 到約20(TC之間、約100。0到約16(rc之間、低於約ι〇〇 °c或低於約40°C。 示範性基材處理系統 沉積系統的實施例可結合至較大的製造系統,以生產 積體電路晶片。第4圖顯示根據所揭露之實施例之一個 此類沉積、烘烤及固化腔室之系統400。在該圖中,一 對FOUP (前開式晶圓盒)402供給基材(例如300 mm 直輕的晶圓),在該等基材放進晶圓處理腔室408a-f之 —者前,基材是由機械手臂404接收並且放置到低壓固 16 201209920 持區域406。第二機械手臂410可用於從固持區域4〇6 傳輪基材晶圓至處理腔室408a-f並且往回傳輸。 處理腔室4〇8a-f可包括一或多個用以在基材晶圓上沉 積、退火、固化及/或蝕刻可流動介電膜的的系統部件。 在一個組態中,兩對處理腔室(例如,4〇8c_d及4〇8e_f) 可用於沉積可流動介電材料於基材上,而第三對處理腔 至(例如,408a-b )可用於退火沉積的介電質。在另一 組態中’相同的兩對處理腔室(例如4〇8c_d及4〇8e_f) 可經裝設以在基材上沉積及退火可流動介電膜,同時第 三對腔室(例如408a_b)可用於uv或電子束固化沉積 的膜。另一組態中,所有三對腔室(例如4〇8a f)可經 裝設以於基材上沉積及固化可流動的介電膜。尚有另一 組態’兩對處理腔室(例如4〇8c-d及408e-f)可用於沉 積及以UV固化或電子束固化可流動介電質,同時第三 對腔室(例如408a-b)可用於退火介電膜。應瞭解,系 統400可考慮用於可流動介電膜之沉積退火與固化腔 室的額外组態。 此外’一個或更多個製程腔室4〇8a-f可被裝設成濕式 處理腔室。該等製程腔室包括在含濕氣的大氣下加熱該 可流動介電膜。因此,系統400之實施例可包括濕式處 理腔室408a-b及退火處理腔室408c_d,以在沉積的介電 膜上執行濕式及乾式退火二者。 第5A圖是根據所揭露的實施例之基材處理腔室5〇〇。 遠端電襞系統(RPS ) 510可處理隨後行進穿過氣體入口 17 201209920 、.且件5 11的氣體。兩個個別的氣體供應通道可見於氣體 入口組件511内。第_通道512攜帶通過遠端電衆系統 RPS 51G的氣體’❿第二通道513繞過RPS 50G。在揭露 的實施例中,第一通道502可用於製程氣體,而第二通 道513可用於處理氣體。蓋(或導電的頂部部份) 以及穿孔隔件5 5 3之間呈現一絕緣環5 24,絕緣環5 24 使得AC電位得以相對於穿孔隔件553施加到蓋52卜製 程氣體行進穿過第一通道512進入腔室電漿區域52〇, 且製程氣體可單獨在腔室電漿區域52〇中(或者與Rps 510結合)由電漿激發。腔室電漿區域52〇及/或Rps 5 1〇 的結合可指此述的遠端電漿系統。穿孔隔件(亦指噴頭) 553將喷頭553下方的基材處理區域570與腔室電聚區 域520分隔。喷頭553使電漿得以存在於腔室電漿區域 520中’以避免直接激發基材處理區域570中的氣體, 同時依然使激發的物種得以從腔室電漿區域52〇行進至 基材處理區域570。 噴頭553定位在腔室電漿區域520與基材處理區域57〇 之間,且該喷頭5 5 3使電漿流出物(前驅物或其他氣體 的受激發的衍生物)在腔室電楽·區域520中生成而通過 複數個通孔556,其中該些通孔556係橫切板厚。嗔頭 553亦具有一個以上的中空空間551,該中空空間551可 被蒸氣或氣態形式的前驅物(諸如含矽前驅物)填充, 並且該蒸氣或氣態形式的前驅物經由小孔洞555進入基 材處理區域570但不直接進入腔室電漿區域520。在此
S 18 201209920 揭露的實施例中,喷頭553比通孔5S6的最小直徑55〇 的長度還厚。為了使從腔室電漿區域52〇穿透至基材處 理區域570的受激發物料維持顯著濃度,可透過在通過 噴頭553的一部份路徑中形成通孔556的較大直徑部 份,而限制通孔的最小直徑55〇的長度526。在所揭露 的實施例中,通孔5 5 6的最小直徑5 5 〇的長度可與通孔 556的最小直徑相同數量級,或者為較小的數量級。 在所示的實施例中,一旦製程氣體受到腔室電漿區域 520中的電漿激發,喷頭553可(透過通孔556 )分配製 程氣體,該等製程氣體含有氧、氫、及/或氮,及/或此類 製知氣體的電衆流出物。在實施例中,通過第一通道5 1 2 導進RPS 510及/或腔室電漿區域52〇的製程氣體可含有 氧(〇2)、臭氧(03)、N2〇、NO、N02、NH3、包括 N2H4 的 NxHy、甲矽烷(Siiane )、乙矽烷(disiUne )、TS A 及 DSA之一者或多者。沉積富含氧化物襯墊層期間,流過 RPS 5 10的氧對氮比例可相對地高,而在沉積間隙填充 層期間,流過RPS 5 1 0的氧對氮比例可減少。該製程氣 體亦可包括諸如氦氣、氬氣、氮氣(n2)等之類的載氣。 第二通道513亦可傳遞製程氣體及/或载氣,及/或膜固化 氣體,該膜固化氣體用於從生長中的膜或剛沉積的膜中 移除非期望的成份。電漿流出物可包括製程氣體的離子 化或中性衍生物,且在此電漿流出物亦可指自由基氧前 驅物及/或自由基氮前驅物,前述二前驅物所指的是所導 入的製程氣體之原子的組分。 19 201209920 在貫施例中’通孔5 5 6的數量可介於約6 〇個至約2 〇 〇 〇 個之間。通孔556可具有多種形狀,但大多數是做成圓 形。在所揭露的實施例中,通孔556的最小直徑55〇可 介於約0.5 mm至約20 mm之間,或介於約i mm至約6 mm之間。在選擇通孔的截面形狀上,亦有範圍,截面可 做成錐形、圓柱形或該二種形狀的組合。不同實施例中, 用於將氣體導進基材處理區域57〇的小孔洞555數目可 介於約100個至約5〇〇〇個之間,或介於約5〇〇個至約 2000個之間。小孔洞555的直徑可介於约〇丨爪爪至約2 mm之間。 第5 B圖是根據所揭露的實施例與處理腔室一併使用 的喷頭553之底視圖。喷頭553對應第5A圖中所示的喷 頭。通孔556被繪成在喷頭η]底部處具有較大的内徑 (inner-diameter,ID),而在頂部處具有較小的⑴。小孔 洞555實質上在喷頭表面上均勻分佈,甚至分佈在通孔 556之間,相較於此述的其他實施例,此舉助於提供更 均勻的混合。 當透過喷頭553中的通孔556而抵達的電漿流出物結 合源於中空空f0”51且透過小孔洞555抵達的含石夕前驅 物時,在基材處理區域570内示範性的膜生成於基材 上,而該基材是受底座(圖中未示)$支標。雖然將基 材處理區$ 570 |設成支援供諸#固化之類的其他製程 所用的«’然而在i長示範性膜的期間,無電聚存在。 電漿既可在喷頭553上方的腔室電漿區域52〇中被點 20 201209920 燃,亦可在喷頭553下方的基材處理區域57〇被點燃。 於處理腔室之導電頂部部份521及喷頭553之間施加— 般在射頻(RF)範圍的AC電壓,以在沉積期間於腔室電 漿區域520中點燃電漿。當開啟基材處理區域57〇中的 底部電I灵以固化m或清潔接壤基材處理區域57〇的内部 表面時,頂部電蒙可處於低功率或無功率。透過在喷頭 553及底座(或腔室底部)之間施加ac電壓,而點燃基 材處理區域57G中的電|。清潔氣體可在電漿存在時導 入基材處理區域570。 基材處理系統是由系統控制器控制。在一示範性實施 例中’系統控制器包括硬碟機、軟碟機及處理器。處理 器含有單板電腦(SBC)、類比數位輸入/輸出&、介面板 及步進馬達㈣板。咖纟統的各料符合Versa
Mod— —n(VME)標準,該標準界定電路板、介面 卡插件箱吨)以及連結器規格與類㉟。VME標準 亦界定匯流排結構為具有16位元資粗確二 70貢枓匯流排或24位元 位址匯流排。 一 办厂尔犹徑制器牵 行系統控制軟體’該軟體以雷腦链々^ 電腦程式之形式儲存在電朋 可讀媒體上。該媒體較佳為硬磾
嶸磲但亦可使用其他種H 的記憶體。電腦程式包括指令隼, ^ >、。私々集指示時間、 氣體混合、腔室壓力、腔宮⑽由
r t w 腔至酿度、RF功率層級、基J (susceptor )位置及其他特殊 表私參數。其他儲存在 他記憶體兀件(該元件包括例 八 如軟碟或其他適合的驅動 21 201209920 器)的電腦程式亦可用於指示系統控制器。 可使用由系統控制器執行的電腦程式實施用於在基材 上沉積膜堆疊的製程或者用於清潔腔室的製程。電腦程 式編碼可以習知電腦可讀的程式語言撰寫,例如68000 〇〇 C C + +、Pascal、Fortran或其他者。使用習知的 文件編輯器將適合的程式編碼編入單一檔案或多重檔 案,並且儲存於電腦可使用媒體(如電腦的記憶體系統) 或由該媒體實施。倘若編入的編碼内文是高階語言,則 編譯編碼,而所得的編譯編碼隨後與預先編譯的 Microsoft Wind〇ws⑧函式庫常式之目的碼連結。為了執 '亍k連、.σ、編譯的目的碼’系統使用者援用該目的碼, 使電腦系統載人記憶體中的編碼。咖隨後讀取並且執 仃該編碼,以操作程式中辨識的任務。 π阳有興控制器之間 器。在較佳實施例中,使用兩個顯示器,一個安裝在清 2壁以供操作者❹,另—個在難以供維修技術人 一吏用。兩個顯示器可同時顯示相同資訊,該實例卜 個接受輸人。為了選擇特殊的螢幕或功能, :乍:接觸顯示器榮幕上的指定的區域。接觸區域改變 琢&域的強調色彩, 作去U 巴如4呈現新的選單或螢幕,以確認操 示器, 的溝通。不使用接觸感應顯 置,例如了接觸感應顯示器之外,可使用其他裝 者盥系U次/、他扣不或溝通裝置,以讓使用 耆與系統控制器溝通。 22 201209920 在利用腔室電漿區域的實施例中,受激發的電漿流出 物在與沉積區域分隔的基材處理區域的區段中生成,在 該處電聚流出物與無碳含矽前驅物混合並且反應’以沉 積石夕與氮層於沉積基材上(例如半導體晶圓)。受激發的 電渡流出物亦可伴隨惰氣,在示範性情況中,該惰氣是 氯氣。在一些實施例中,無碳含矽前驅物在進入基材電 聚區域之前不通過電漿。自由基氮前驅物是在遠端電漿 區域中生成並且行進至基材處理區域,其中在該基材處 理區域處’含矽前驅物被自由基氮前驅物激發。在一些 實施例中’含矽前驅物只被自由基氮前驅物激發。在一 些實施例中’電漿功率可基本上僅被施加到遠端電漿區 域’以確保自由基氮前驅物提供主控的激發給含矽前驅 物°在此可將基材處理區域描述為在生長含矽與氮層期 間與低溫臭氧固化期間為「無電漿」。「無電漿」並非必 然指該區域缺乏電漿。在電漿區域内生成的離子化物種 與自由電子確實行進通過隔件(喷頭)中的孔洞(貫孔), 但無碳含矽前驅物並不實質上被施加至電漿區域的電漿 功率所激發。腔室電漿區域中電漿的邊界是難以界定 的’且可能透過喷頭中的貫孔侵入基材處理區域上。在 誘導耦合電漿的實例中,可直接在基材處理區域内執行 少量的離子化。再者,低強度的電漿可在基材處理區域 中生成’而不至於消弭形成的膜的期望特徵。在激發的 電漿流出物生成期間強度離子密度遠低於腔室電漿區域 (或运端電漿區域’就此而言)的電漿的所有成因不背 23 201209920 離在此所用的「無電漿」之範疇。 在此所使用的「其分 —p ^ 土材」了為具有(或不具有)形成於 s玄基材上的膜層的主擔其分 . 叉得基材。该支撐基材可為有各種摻 雜濃度及摻雜輪廓的絕緣體或半導體,且該支撐基材可 例如為用在積體電路製造上的類型的半導體基材。「氧化 石夕」層用做為切與氧材料的縮寫,並且可與含石夕與氧 材料交換使用。就此而言’氧化石夕可包括其他元素組份 (諸如氮纟及奴等)的濃度。在一些實施例中,氧化 石夕基本上切肖氧構成。「前驅物」用於指任何參與反應 以從表面移除材料或沉積材料到表面上的製程氣體。處 於激發態」$氣體是敘述氣體其中至少有—些氣體分 子處於振動型式的激發、解離及/或離子化的狀態。「氣 體」(或「前驅物」)可為兩種以上氣體.(或前驅物)的 !σσ ’且可包括正常是液體或固體但暫時與其他「載氣」 搭載的物質。自由基前驅物」用於描述電漿流出物 (plasma effluent,為離開電漿而處於激發態的氣體), 該電漿流出物參與反應以從表面移除材料或沉積材料到 表面上。「自丨基氮前,驅物」是一種含有氮的自由基前驅 物而自由基氫前驅物」是一種含有氫的前驅物^「惰 氣」一詞指任何在蝕刻或結合至膜時不形成化學鍵結的 氣體。不範性惰氣包含稀有氣體,但可包括其他氣體, 只要這些其他氣體在(一般情況下)膜,捕捉到痕量時 無化學鍵結形成即可。 全文中所用之溝槽(irench) 一詞毫無暗指意味地是指 24 201209920 触刻過的地形具有大的水平高寬比。由表面上方所視, 溝槽可顯現圓形、橢圓形、多邊形、矩形或各種其他形 狀。「通孔」一詞是用於指低的高寬比的溝槽(由上方而 視),該低高寬比溝槽可能或可能不被金屬填充而形成垂 直的電連接。如在此所使用,共形層是指表面上與該表 面形狀相同的大體上均勻的材料層,即,該層的表面與 受覆蓋的表面大體上平行。此技術領域中具通常知識者 將瞭解沉積的材料可能不會100%共形,而因此「大體上」 一詞允許可接受的容忍值。 透過上述數個實施例的說明,該領域技術人士應知多 種修飾例、替代架構與等效例皆不脫本發明之精神。此 外’說明書中不對多種習知製程與元件做說明,以避免 不必要地混淆了本發明。故,上述說明不應被視為對本 發明範疇之限制。 當提供數值範圍時’除非文字中另外清楚指明,應知 亦同時揭露介於該範圍的上下限值之間各個區間值至下 限值單位的十分之一。亦涵蓋了所陳述範圍中任何陳述 數值或區間值以及該陳述範圍中任何其他陳述數值或區 間值之間的每個較小範圍。這些較小範圍的上限值與下 限值可獨立包含或排除於該範圍中,且各範圍(不管是 包含其中一個、包含兩個或不含上限值與下限值)亦皆 涵蓋於本發明内所陳述之範圍中,除非在所述範圍中有 特別排除之限制。當所陳述之範圍包括極限值的一者或 兩者也/函蓋了該些排除所含極限值之一者或兩者的範 25 201209920 圍。 ,明書與如附申請專利範圍中所使用之單數形式「一」 ^該」等用語也包括複數形式,除非文字中另外清楚 2月。因*匕,舉例而言’「_種製程」所指的包括複數個 這類製程,而「該前驅物」所指的包括一或多種前驅物 以及該領域技術人士所熟知的該前驅物等效例。 「同時,說明書與下述申請專利範圍中「包括」、「包含」、 「含有」、「含」以及「具有」等用語是指存在所陳述之 特徵、組件'構件或步驟,但並不排除存在或增加一或 多個其他特徵、物體、構件、步驟、動作或群組。 【圖式簡單說明】 在此描述 成。該沉積 成富含氧較 隙填充層相 可透過使自 透過施加電 隙填充層具 充層的沉積 層可含有石夕 成含有更多 隙填充層下 空洞體積比例降低的間隙填充氧化矽層的形 涉及在缺少氧較可流動的間隙填充層之前形 不可流動的襯墊層。然而,該襯墊層在與間 同的腔室内沉積。襯墊層與間隙填充層二者 由基成份與未激發的含矽前驅物(即不直接 漿功率而被激發)結合而形成。襯塾層比間 更多的氧含量並且更加共形地沉積。間隙填 速率可藉由襯墊層的存在而增加1隙填充 、氧與氮’並且該間隙填充層在高溫下轉化 氧與更少氮。間隙填充襯墊的存在提供了間 方的氧源,以增大在轉化期間導入的氣相氧。 26 201209920 第1圖是、、* 於製做* 机圖,該流程圖說明根據揭露的實施例用 第2: 的氧切間隙填充介電層的步驟。 第3a疋拫據揭露的實施例的多層介電臈的剖面圖。 細载a圖是根據所揭露的實施例的不具富含氧化物的 襯二層广氡切間隙填充物之剖面圖。 B圖是根據所揭露的實施例的具富含氧化物的襯 層的氧切間隙填充物之剖面圖。 第 4圖 圖顯示根據所揭露的實施例的基材處理系統。
第 5 A 圓顯示根據所揭露的實施例的基材處理腔室。
第 SP 圖顯示根據所揭露的實施例的基材處理腔室的 噴頭。 【主要元件符號說明】 100方法 1 11 2步驟 200基材 2〇2虛線 204間隙填充介電層 3〇〇圖案化基材 302虛線
304-1、3(M-2間隙填充介電層 400系統 402 FOUP 27 201209920 404、410機械手臂 406低壓固持區域 408a-f處理腔室 500基材處理腔室 5 1 0遠端電漿系統 5 11氣體入口組件 512、513 通道 520腔室電漿區域 521蓋 524絕緣環 526長度 550直徑 5 5 1中空空間 5 5 3 穿孔隔件 5 5 5 小孔洞 5 5 6通孔 570基材處理區域

Claims (1)

  1. 201209920 七、申請專利範圍: !· 一種形成一氧化矽層於含有一溝 ,霉槽的—圖案化基材 的方法,該方法包括以下步驟: 將該基材傳送進入一基材處理腔室; .形成-富含氧襯塾層於包括該溝槽的該基材 , 形成-間隙填充介電層於該基材上與該溝槽 中,其中該間隙填充介電層具有一氧含量,該間隙 $充介電層的氧含量低於該富含氧襯墊層的氧含 量,並且該間隙填充介電層在形成期間可流動. 及 ^ “ 在一高溫下固化該間隙填充介電層以將一些 氧從該富含氧襯墊層轉移進入該間隙填充介電層一。 2·如請求項第卜員所述之方法’其中該富含氧襯墊層比 該間隙填充介電層更加共形。 3. 如請求項帛1項所述之方法,其中該間隙填充介電層 實質上填充該溝槽。 4. 如請求項帛1項所述之方法,其中形成該間隙填充介 電層之步驟包含以下步驟: 將一間隙填充電漿前驅物流進—遠端電毁區 29 201209920 域以形成電漿流出物;以及 在該基材處理區域中將該等電漿流出物結合 一含矽前驅物流,以形成該間隙填充介電層,其中 該含矽前驅物不直接透過施加電漿功率而受激 發,並且該間隙填充介電層是一含矽與氧層。 5·如晴求項第4項所述之方法,其中形成該富含氧化物 襯墊層的步驟包含以下步驟: 將襯墊電漿前驅物流進一遠端電漿區域以 形成該等電漿流出物;以及 在該基材處理區域中將該等電漿流出物結合 一含矽前驅物流,以形成該富含氧襯墊層,其中該 含矽前驅物不直接透過施加電漿功率而受激發,並 且該間隙填充介電層是一含矽與氧層。 6. 如請求項第5項所述之太、、土 & ^ 4之方法,其中使該間隙填充電漿 前驅物流進的操作包含以下步 以間隙填充的原子氧對氮流率比例將氧與 氮流進該遠端電漿區域。
    如凊求項第6項所述之方法,其 物流進的操作包含以下步锦. 中使該襯墊電漿前驅 流率比例將氧與氮流 以一襯墊的原子氧對氮 進該遠端電漿區域。 30 201209920 如月长項第7項所述之方法,其中該襯墊的原子氧對 氛流率比例超過該間隙填充的原子氧對氮流率比 J使得該§含氧化物襯墊的氧含量超過該間隙填充 介電層的氧含量。 如月求項第8項所述之方法,其中該襯墊的原子氧對 氮流率比例超過該間隙填充的原子氧對氮流率比例 一倍數因數,該倍數因數大於5。 10.如β求項第4項所述之方法,其中該含;^前驅物包含 3矽與氮前驅物,而該等電漿流出物包含一自由基 氮前驅物。 11 ·如求項第i 〇項所述之方法,其中該含碎與氣前驅 物包含 H2N(SiH3)、HN(SiH3)2 與 N(SiH3)3 的至少一 者,而4電漿前驅物包含NH3、NH4〇H、N2〇、N〇、 N02、N2與h2之至少一者。 12. 如呀求項第4項所述之方法,其中該遠端電漿區域是 在該基材處理腔室内,並且該遠端電漿區域與該基材 處理腔室透過一噴頭隔開。 13. 如凊求項第i項所述之方法,其中固化該間隙填充介 S 31 201209920 電曰的操作包含以下步驟: ♦該間隙填充介電層在該高溫下暴露到一含 袅氣的大氣。 14. 如睛求項楚d = 帛13項所述之方法’其中固化該間隙填充 9的操作進一步包含以下步驟: 接著在一退火溫度下將該間隙填充介電層暴 至丨1 3氧大氣’該含氧大氣包含〇2、〇3、及H2〇 少—者,而該退火溫度大於該高溫。 15. 如請求jg哲 喝第1項所述之方法,其中該溝槽具有約50 nm 或低於 <5 rfsf 50 nm的一寬度。 32
TW100125327A 2010-07-30 2011-07-18 Oxide-rich liner layer for flowable CVD gapfill TW201209920A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US36935210P 2010-07-30 2010-07-30
US13/153,016 US8318584B2 (en) 2010-07-30 2011-06-03 Oxide-rich liner layer for flowable CVD gapfill

Publications (1)

Publication Number Publication Date
TW201209920A true TW201209920A (en) 2012-03-01

Family

ID=45530654

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100125327A TW201209920A (en) 2010-07-30 2011-07-18 Oxide-rich liner layer for flowable CVD gapfill

Country Status (7)

Country Link
US (1) US8318584B2 (zh)
JP (1) JP2013533639A (zh)
KR (1) KR101847593B1 (zh)
CN (1) CN103038868A (zh)
SG (1) SG187043A1 (zh)
TW (1) TW201209920A (zh)
WO (1) WO2012015610A2 (zh)

Families Citing this family (263)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102687252A (zh) * 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
US8563445B2 (en) 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8889566B2 (en) * 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8921235B2 (en) * 2013-03-04 2014-12-30 Applied Materials, Inc. Controlled air gap formation
US9920077B2 (en) 2013-09-27 2018-03-20 L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Amine substituted trisilylamine and tridisilylamine compounds and synthesis methods thereof
US9184089B2 (en) 2013-10-04 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
KR101655622B1 (ko) * 2013-12-20 2016-09-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Finfet 웰 도핑을 위한 메커니즘을 포함하는 반도체 디바이스 구조물 및 그 제조방법
US9219006B2 (en) 2014-01-13 2015-12-22 Applied Materials, Inc. Flowable carbon film by FCVD hardware using remote plasma PECVD
WO2015116350A1 (en) 2014-01-29 2015-08-06 Applied Materials, Inc. Low temperature cure modulus enhancement
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN105489605B (zh) * 2014-10-13 2019-04-09 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9896326B2 (en) 2014-12-22 2018-02-20 Applied Materials, Inc. FCVD line bending resolution by deposition modulation
US9777378B2 (en) * 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
CN108603287B (zh) * 2015-12-21 2021-11-02 弗萨姆材料美国有限责任公司 用于沉积含硅膜的组合物及使用其的方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018164655A1 (en) * 2017-03-05 2018-09-13 Intel Corporation Isolation in integrated circuit devices
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
KR102606653B1 (ko) * 2017-03-31 2023-11-24 어플라이드 머티어리얼스, 인코포레이티드 고종횡비 트렌치들을 비정질 실리콘 막으로 갭충전하기 위한 2-단계 프로세스
WO2018187429A1 (en) * 2017-04-04 2018-10-11 Applied Materials, Inc. Two-step process for silicon gapfill
KR102271768B1 (ko) * 2017-04-07 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 반응성 어닐링을 사용하는 갭충전
WO2018191484A1 (en) * 2017-04-13 2018-10-18 Applied Materials, Inc. Method and apparatus for deposition of low-k films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN110622298B (zh) 2017-05-13 2023-09-22 应用材料公司 用于高质量间隙填充方案的循环可流动沉积和高密度等离子体处理处理
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
CN110892505B (zh) * 2017-07-12 2023-05-16 应用材料公司 用于硅间隙填充的循环保形沉积/退火/蚀刻
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10535550B2 (en) 2017-08-28 2020-01-14 International Business Machines Corporation Protection of low temperature isolation fill
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
JP7274461B2 (ja) * 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) * 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102649241B1 (ko) 2018-01-24 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 고압 어닐링을 사용한 심 힐링
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
JP7379353B2 (ja) 2018-02-22 2023-11-14 アプライド マテリアルズ インコーポレイテッド より優れた膜品質を可能にするためにマスク基板を処理する方法
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US20200043722A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Cvd based spacer deposition with zero loading
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP7179172B6 (ja) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体用途の構造体をエッチングするための方法
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
SG11202103763QA (en) 2018-11-16 2021-05-28 Applied Materials Inc Film deposition using enhanced diffusion process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11756786B2 (en) 2019-01-18 2023-09-12 International Business Machines Corporation Forming high carbon content flowable dielectric film with low processing damage
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
TW202117802A (zh) * 2019-07-02 2021-05-01 美商應用材料股份有限公司 固化介電質材料的方法與設備
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR20210021420A (ko) 2019-08-16 2021-02-26 삼성전자주식회사 저유전체 물질 층을 포함하는 반도체 소자 형성 방법
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220050047A (ko) 2020-10-15 2022-04-22 에이에스엠 아이피 홀딩 비.브이. 예측 유지보수 방법 및 예측 유지보수 장치
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20220375747A1 (en) * 2021-05-20 2022-11-24 Applied Materials, Inc. Flowable CVD Film Defect Reduction
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (202)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (ja) 1994-11-15 1996-06-07 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
DE10196026B4 (de) 2000-04-04 2011-02-10 Asahi Kasei Kabushiki Kaisha Überzugs-Zusammensetzung, dünner Film, zur Verwendung des dünnen Films und Verfahren zur Herstellung eines dünnen porösen Kieselsäure-Films
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6682969B1 (en) * 2000-08-31 2004-01-27 Micron Technology, Inc. Top electrode in a strongly oxidizing environment
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
KR100364026B1 (ko) 2001-02-22 2002-12-11 삼성전자 주식회사 층간 절연막 형성방법
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
JP2004526318A (ja) 2001-03-23 2004-08-26 ダウ・コーニング・コーポレイション 水素化シリコンオキシカーバイド膜を生産するための方法
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US20030064154A1 (en) 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
AU2003235305A1 (en) 2002-04-19 2003-11-03 Tokyo Electron Limited Method of treating substrate and process for producing semiconductor device
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
JP2005033173A (ja) 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
DE10350752A1 (de) 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
JP2007528640A (ja) 2003-12-17 2007-10-11 セドラエウス インコーポレーテッド ランダムベースの意志決定プロセスを使用する方法
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7488693B2 (en) 2004-02-17 2009-02-10 Toagosei Co., Ltd. Method for producing silicon oxide film
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
WO2005121397A2 (en) 2004-06-04 2005-12-22 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
JP5110783B2 (ja) * 2004-10-28 2012-12-26 ルネサスエレクトロニクス株式会社 半導体装置
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
EP1907599A2 (en) 2005-07-08 2008-04-09 Aviza Technology, Inc. Method for depositing silicon-containing films
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
CN101448977B (zh) 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP5070702B2 (ja) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 半導体装置の製造方法及び製造装置
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
CN101454877B (zh) * 2006-05-30 2012-07-04 应用材料公司 提高二氧化硅膜品质的新颖沉积-等离子硬化循环方法
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR100800495B1 (ko) 2007-02-27 2008-02-04 삼성전자주식회사 반도체 장치의 제조방법
KR100866143B1 (ko) 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US20090096055A1 (en) * 2007-10-16 2009-04-16 Texas Instruments Incorporated Method to form cmos circuits with sub 50nm sti structures using selective epitaxial silicon post sti etch
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
JP4886021B2 (ja) * 2008-12-16 2012-02-29 エルピーダメモリ株式会社 半導体装置及びその製造方法
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition

Also Published As

Publication number Publication date
WO2012015610A2 (en) 2012-02-02
SG187043A1 (en) 2013-02-28
WO2012015610A3 (en) 2012-04-26
US8318584B2 (en) 2012-11-27
KR20130093103A (ko) 2013-08-21
KR101847593B1 (ko) 2018-05-24
JP2013533639A (ja) 2013-08-22
CN103038868A (zh) 2013-04-10
US20120142192A1 (en) 2012-06-07

Similar Documents

Publication Publication Date Title
TW201209920A (en) Oxide-rich liner layer for flowable CVD gapfill
US8466073B2 (en) Capping layer for reduced outgassing
US9404178B2 (en) Surface treatment and deposition for reduced outgassing
KR101528832B1 (ko) 유동성 유전체 층의 형성 방법
US8741788B2 (en) Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) Stress management for tensile films
TWI479044B (zh) 硼膜界面工程
TWI505361B (zh) 處理含矽與氧層的方法
TW201233842A (en) Radical steam CVD
US20120238108A1 (en) Two-stage ozone cure for dielectric films
TW201124553A (en) Oxygen-doping for non-carbon radical-component CVD films
TW201126010A (en) Curing non-carbon flowable CVD films
TW201127983A (en) Dielectric film formation using inert gas excitation
TW201137976A (en) Chemical vapor deposition improvements through radical-component modification
US9312167B1 (en) Air-gap structure formation with ultra low-k dielectric layer on PECVD low-k chamber