TW501168B - Method of and apparatus for tunable gas injection in a plasma processing system - Google Patents

Method of and apparatus for tunable gas injection in a plasma processing system Download PDF

Info

Publication number
TW501168B
TW501168B TW090107369A TW90107369A TW501168B TW 501168 B TW501168 B TW 501168B TW 090107369 A TW090107369 A TW 090107369A TW 90107369 A TW90107369 A TW 90107369A TW 501168 B TW501168 B TW 501168B
Authority
TW
Taiwan
Prior art keywords
nozzle
gas
patent application
scope
item
Prior art date
Application number
TW090107369A
Other languages
English (en)
Inventor
Eric J Strang
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Application granted granted Critical
Publication of TW501168B publication Critical patent/TW501168B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Description

501168 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明P ) 〔發明領域〕 本發明係關於電漿處理系統,及特別是關於提供可調 整氣體注入該系統中的方法及設備。 〔發明背景〕 影響積體電路(I C )製造的產出率的一項關鍵因子 爲飩刻率速及/或沉積速率。詳言之,隨著關鍵尺寸持續 地變小且不同的特徵結構(feature ),如自行對準接點等 等,各自的深寬比持續的變大,將蝕刻物質及./或沉積材 質送至高深寬比(H A R )介電孔及接點的底部(及將蝕 刻產物從該處.移走)即變得愈來愈困難。這主.要是因爲缺 少中性流的方向性的關係。例如,在蝕刻的應用中,一種 將蝕刻劑輸送至高深寬比的自我對準接點(H A R S A C )的底部之改良的方法對於該技術的延續而言是必要的。 對於I C製造處理的品質有所貢獻的第二關鍵因子爲處理 的選擇性。例如,在一特定的飩刻應用中,對於一特定的 材質的飩刻速率比另一種存在的材質(如光阻罩幕)快是 所想要的。決定I C的產率及整體品質的一第三關鍵因子 爲發生在基材表面上之半導體製程(如膜層蝕刻及/或沉 積.)的一致性。在晶圓處理系統中,材質的沉積或移除的 選擇性及一致性是由整體反應器的設計來主導的。在整體 系統設計中對於上述成功的I C製造相關的關鍵因子有所 貢獻的一關鍵元素爲氣體輸送系統的設計,特別是,被用 來將氣體輸送至該電漿反應室內的氣體噴嘴的設計。 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) _ /1 _ 501168 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(2 ) 在半導體基材上製造I c的一個系統典型地包括一真 空室,一托盤用來支撐該晶圓於該室內,一 R F功率產生 器用來將R F功率耦合至在該真空室內的一電漿及一氣體 注入系統用來供應氣體至該室中’。如果該反應器爲一電感 耦合的反應器的話,則其可包括一線圈天線環繞該室並連 接至該電漿R F功率來源。相反地,如果該反應器爲一電 容耦合的反應器的話,則其可包括一額外的平行板I極面 向該基材其被連接至該電漿RF.功率來源。甚者,該晶圓 托盤亦可被連接至同一或另一 R F功率來源。在其它電漿 反應器種類中/可能沒有線圈天線或相面對的平行電極, 且該電漿R F功率來源只被連接至該晶圓托盤.。額外的電 漿來源可包括一電子迴旋共振(E C R )來源,其中微波 .能量被耦合至該電漿。在任一種情形中,該反應器的氣體 注入系統都具有一或多個氣體分佈設備。如果多氣體分佈 設備被使用的話,則每一者皆典型地被設置在該反應器上 的一分別的部分用以提供氣體至該室內的不同區域。 所使用的氣體分佈設備需視將被實施的處理之特定需 求而定。通常,氣體物質經由一 ''蓮蓬頭〃式氣體注入板 而進入該真空室,該板包含多個小孔(洞)。該等孔典型 地爲固定面積的圓形管,其直徑典型地爲0 . 5至1 m m .。一單一的注入板可包含數百個至數千個孔。因爲氣體輸 入之流出本質,兩個通過這些孔之氣流的兩個不同特徵包 括非常低的 ''大質量(bulk ) 〃速度於一特定的方向上( 即,氣體分子在高速下不會集體地移動於一較想要的方向 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) " " (請先閱讀背面之注意事項再填寫本頁) 501168 A7 B7 五、發明説明(3 ) ' 上)及缺少整體的方向性。δ羊曰之,3亥.热目豆向下 淋 到 該基材表面上。 . (請先閲讀背面之注意事項再填寫本頁) 爲了要改善處理的一致性,有時需要調整入口質量流 及/或氣體物質的空間分佈用以調整所得到之中.性流壓力 場及流體動力加上其它的處理參數(即,R F場)用以補 償本有的不一致性。 在前技中,大多數調整質量流分佈的方法典型地落在 以下兩個領域中的一個:a ).孔面積或孔數量密度之空間 分佈的調整,即A的調整,或b ).孔質量通量·或p V的條 整。.如上所述的,第一種方法包含孔面積或孔數量密度之 空間分佈的調整。有數個專利係關於第一種方法,包括美 國專利第4, 7 8 0, 1 6 9號,及數個向曰本專利局提 .申的專利,包括日本專利申請案第2 — 1 9 8 1 3 8, 6 — 2 〇4 1 8 1,及 60-460 2 9 號。 經濟部智慧財產局員工消費合作社印製 然而,第一種方法有其缺點。例如,每一分佈點都需 要其各自的.板子,且其無法在不破壞真空或低壓環境的前 題下被調整。關於第二種方法,美國專利第 5,6 8 3, 5 1 7號中揭示了一種使用可程式的氣體流 分流器來調整到達每一孔或孔束之該質量通量的分佈的方 法。其它的美國專利包括第5,8 5 3,4 8 4及 5,2 6 9, 8 4 7號。這些發明的每一者都包括通照多 個次孔的質量通量的調整且都包括同時(In-S1tu )質量流 分佈的能量。然而,該設計包含相當複雜且昂貴的氣體注 入結構。 本紙張尺度適用中國國家標準( CNS ) A4規格(210X297公釐) : -- -6 - 501168 經濟部智慧財產局員工消費合作社印製 A7 B7五、發明説明(4 ) , 第二種氣體分佈設備包含氣體在不.同的處理操作(如 ,電漿強化的化學氣相沉積)期間從該反應器的側壁徑向 地注入該室中,典型地接近該晶圓的水平。該徑向氣體分 佈設備可單獨使用,即習稱的上述蓮蓬頭式氣體輸送噴嘴 。在上述兩者設備的任一種中,該氣體注入缺乏方向性, 詳言之,與基材表面垂直的方向。這在I C的製造時有礙 中性原子/分子/基團沉積至深且高深寬比的溝渠或介電 孔中。 . 產生高方向性的氣體噴射流的一項方法細使用適當地 設計.的氣體噴嘴來限制當一氣體從一高壓區域膨脹至一低 壓區域時之氣體膨脹速率並被朝向該基材加速.。此前技揭 示使用於半導體工具,如電漿反應器,中的氣體噴嘴。例 .如,美國專利第5,8 8 5, 3 5 8號(‘ 3 5 8號專利) 揭示一種用來將氣體住入一電漿反應器中的氣體注入系統 。該反應器具有一真空室其具有一側壁,一托盤用來固持 一將被處理的半導體晶圓,及一 R F功率施加器用來施加 R F功率至該室中。該氣體注入系統包括至少一裝有氣體 之氣體供應,一氣體分佈設備其具有至少一有槽口的孔面 向該室的內部,及一或多條氣體給送管路,其連接該氣體 供應與該氣體分佈設備。一徑向氣體分佈設備的一較佳實 施例係被設置在該室側壁中且包括多個氣體分佈噴嘴,每 一噴嘴具有一有槽口的孔面向該室的內部。氣體給送管路 被用來分別連接每一氣體分佈噴嘴與各自的氣體供應。然 而,此系統的一項缺點爲氣體無法被最佳地導向該晶圓表 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 501168 經濟部智慧財產局員工消費合作社印製 A7 B7五、發明説明(5 ) 面,用以加強氣體原子或分子以正交的方向接近該基材表 面的或然率。甚者,該系統並未論及氣體通過該噴嘴被導 入或膨脹以達到所想要的氣體流的機構,其亦未討論到調 整該氣體注入的方向性的能力。’ 美國專利第5, 7 4 6, 8 7 5號揭示一種使用在一 氣體注入設備中的發明,該氣體注入設備是用來將氣體注 入一電漿反應器真空室中,該室具有一室外殼,一托盤用 來固持一將被處理的工件,一施加R F能量至該室中的.裝 置,該氣體注入設備具有一氣體供應其含有一蝕刻劑於一 氣體.中,開口於該室外殼上,一氣體分佈設備其被設置在 該是外殼的開.口內並具有至少一有槽口的孔面.向該室的內 部及一用來控制來自於該一或多個有槽口的孔氣體流率, 及一從該氣體供應至該氣體分佈設備的氣體給送管路。在 一較佳的實施例中,該氣體分佈設備包括一被至少一環形 件所包圍的中心件,它們之間有一間隙,該中心件包含一 槽口的孔。最好是,該氣體分佈設備的每一構件都包含一 材質至少可大致不受來自於該蝕刻物的攻擊。在一例子中 ,該氣體分佈設備的每一構件都包含一陶瓷,一石英,聚 合物或陽極化的鋁材質中的一種且該氣體給送管路包含不 銹鋼。最好是,每一構件的表面在組裝成該氣體分佈設備 之前都經過表面硏磨。然而,與之前的’ 3 5 8·專利相同的 ,此系統的一個缺點爲氣體沒有被最佳地導向該晶圓表面 ,用以加強氣體原子或分子以正交的方向接近該基材表面 的或然率。甚者,該系統並未論及氣體通過該噴嘴被導入 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) -8 - 501168 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(6 ) , 或膨脹以達到所想要的氣體流的機構,其亦未討論到調整 該氣體注入的方向性的能力。 美國專利第5, 2 8 6, 3 3 1 (‘ 3 3 1專利)號揭 不在超苜速分子束飽刻中,鈾刻氣體及基材表面的反應性 是如何藉由先驅物分子的化學反應產生具有高內部能量的 倉虫刻物氣體分子,藉由形成餓刻物氣體分子的集束.(c 1 u s t e r )於一反應室中,經由一噴最將該蝕刻物氣體分子及蝕刻 物氣體分子集束膨脹於一基材上來加以改善的。分子及分 子集束的轉換能量(translational energy )可藉.由用鈍氣分 子加.以播種(seeding )來加以改善。此製程提供改善的控 制性,表面純度,蝕刻選性及非等方向性。餓.刻物分子亦 可直接被膨脹(未在該室中反應)用以產生集束其轉換能 量可經由一播種氣體的膨脹來提高。首先,該發明使用一 單一的氣體注入噴嘴用來將一氣體膨脹成爲一超高真空( 其室壓是在1 0— 8至1 0-4托爾(To r r )的範圍內或 更低)用以產生一超音速分子束以供中性束飩刻之用。其 次,該噴嘴系統的設計包括一分離器其對於在室壓高於約 1〇毫托爾下流經該分離器的流體將會造成嚴重的干擾。 甚者,對於產生一均勻的處理所需之多個噴嘴而言,傳統 的抽真空技術無法將該室抽空至上述的壓力。 美國專利第5, 1 0 8, 5 3 5號揭示一中乾式蝕刻 設備其包括一放電室一氣體電漿可藉由放電而被產生於該 室中,一注入噴嘴用來射出該電漿氣體,一第一真空室該 電漿.氣體經由該射出噴嘴藉由該電漿氣體的超音速膨脹而 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 501168 Α7 Β7 經濟部智慧財產局員工消費合作社印製 五、發明説明(7 ) 被導入該第一真空室中,及一第二真空.室其包括〜分離器 用來選取一超首速分t流,丫皮該弟一真空室被.取得之該超 音速分子流被吹向該將被蝕刻的材質。然而,與’ 3 3 1專 利相同地,此一系統設計對於中性束蝕刻而言有許多相同 的缺點。 爲了要進一步瞭解本發明之氣體流歧管的設計優點, 其有助於瞭解一連續的、等熵的氣體流的阻塞(ChQklng y 槪念及設計一噴嘴單元以產生一超音速氣體噴射束/參照 第1圖,其顯示一傳統的Lava收斂—發散噴嘴.8 〇 〇,該 噴嘴.包含一沙漏玻璃截面狀的孔其具有一氣體入口區 8 1 0,一位在中央的窄喉區8 2 0及一氣體出口區 8 3 0。而且,該氣體入口總壓力爲P t,喉部壓力爲 P*,氣體出口壓力爲Pe及在區域840之該電發反器 室壓力P c。 當噴嘴8 0 0被阻塞(choking ).時,該馬赫數μ (地 區速度對苜四的比率)在噴嘴喉部處爲一。當氣體流在Bf矣 部8 2 0爲音速時,其在經歷面積加大時會加速至超音速 (Μ > 1 )(這與在一面積變達期間會減速之次音速流不 同)。在此一狀況下,在該喉部加速該氣流至超音速的速 度之後該噴嘴具有發散壁。當該氣流變爲超音速時,氣流 特性(由壓力波傳播射線所界定)即變爲真實且被界定爲 馬赫波(膨張)及震波(壓縮)。該寺波之傳揺:方向被Ρ艮 制在一影響範圍之內,其中在該整個範圍內一.點只會影響 到該點的下游區域且被左及右運行特性所限制,該左及右 「一Τ-: 鑛-丨 (請先閲讀背面之注意事項再填寫本頁) 訂 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐〉 -10 - 501168 Α7 Β7 五、發明説明(δ ) 運行特性係交會於該點。因此,當Μ >. 1時,壓力波無法 經由該噴嘴回傳至.上游並影響到進入的氣流,即,體積流 率或質量流率(當氣體入口總壓力P t被保持固定時)。 對於一具有固定截面的噴嘴而言(即,一直的圓柱孔 ),氣體出口壓力Pe可以比周圍的室壓力pc大(甚至 可以大上好幾倍)。事實上,當一發散噴嘴區被使用時, 其會產生一膨脹不足的氣體(即,尙未完全膨脹至室壓的 氣體)或一過度膨脹的氣體(.即,被膨脹超過室壓的氣.體 )的情況。後者的狀況.大致上會造成一強大的正震波於該 氣體噴嘴內。或者,在一膨脹不足的例子中,離開該孔的 氣體自由地膨脹於該真空室中。然而,從鄰近該孔口的出 口處的壁反射回來的膨脹波合倂以形成一筒形震波,其會 在離該孔出口平面一很短距離的下游處產生一馬赫圓盤( 依據P t / P c的壓力比,對於1 0 0至2 0 0的壓力比 而言其可爲噴嘴直徑的1 〇倍)。只有藉由小心的面積比 及噴嘴輪廓設計才能達到一包含平行的、均勻的氣體流之 壓力相相匹配的狀況。 對於大多數的電漿反應器系統而言,氣體被注入之一 電漿反應室的內部區域8 4 0的低壓環境典型地是在1 < P c < 1 〇 〇 〇mT 〇 r r的範圍之內。相同地,氣體入 口總壓力P t典型地是在0 · 1 < P t < 1 0〇T 〇 r r 的範圍之內。在跨越這些壓力範圍之外,氣體動力會因爲 總壓力之Knudsen數(Κ η )相依性,及由一連續流至一自 由分子流的過渡(其爲相對大的Κ η値的結果)的關係而 本紙張尺度適用中.國國家標準(CNS ) Α4規格(210Χ297公釐) (請先閲讀背面之注意事項再填寫本頁) 、τ 經濟部智慧財產局員工消費合作社印製 501168 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(δ ) 顯著地改變。K n u d s e η數的定義爲一相關於氣體原子(或分 子)碰撞該氣流之特性長度.刻度的平均自由路徑的非尺寸 參數。在本發明中,適當的長度刻度爲該噴嘴的直徑,或 者軸向長度該氣體的巨觀特性會沿著該軸向長度顯著地改 變 〇 在前述的壓力上限値(P t· > 1 0 — 1 0 0 Τ 0 r r ),通過一具有0 . 5 m m直徑的孔之噴嘴的氣流會經歷 一充分的碰.撞次數其會讓該氣流表現爲一連續流體,即., 原子或分子的平均自由路徑比特性流長度刻度要小很多, 或K.n < <1。再者,該Knudsen數夠小(且Reynolds是 夠大)使得該連序噴嘴流的一區域會罷認爲是等熵。在這 些條件下,該氣體噴嘴表現會與上述的噴嘴相似。 然而,對於在氣體出口區8 3 0處的壓力相匹配條件 ,對於低壓應用而言,因爲通過噴嘴8 0 0讓Κ η變大而 觀察過渡流(t r a n s i t i ο n f 1 〇 w )效應是可預料的。例如,當 氣體因面積變大而膨脹時,壓力會降低且且Κ η會變大, 即,介於原子(或氣體分子)碰撞之間的自由平均路徑會 變大到其可與該噴嘴特徵長度刻度相比擬的程度。通常, Κ η會進入到過渡的範圍(transition regime )內( 〇 . 〇 1 < Κ η < 1 )且該氣體會從該噴嘴8 0 0流出成 爲一自由分子流。此現象對於氣體加速是有利的,因爲在 噴嘴出口區8 3 0處的平均自由路徑變得比刻度大,超越 該刻度震波就會產生。 在上述壓力範圍的一半處(0 · 5 < P t < 5 — 1〇 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 501168 A7 B7 五、發明説明(10) (請先閱讀背面之注意事項再填寫本頁) τ 0 f r ),在氣體流經噴嘴8 0 0上黏滯性扮演一漸重 的角色。最終地,在該流場中不在有可被視爲等熵的連續 流的區域存在。超過此壓力區域,通過該噴嘴的該氣體流 轉換成爲一噴出的分子流。在低壓時,發生於該噴嘴 8 0 0內的氣體/分子碰撞的頻率將較低使得該氣體流得 以表現出一自由分子流的行爲(且連續流的巨觀特性不再 能夠適當地描述該氣體流的行爲)。 因此,當較高的質量流率被達到時,吾人可得到較高 的來源總壓力,即,5 0 0至1 0 0 0 s c c ·πί或更高的 質量.流率。在半導體處理中的這些條件下操作的一項優點 爲可產生較高方向性的氣體噴射束,其可在衝撞一將被處 理的基材之前被組織合倂起來。再者,該等氣體噴射束可 被設計成在氣體出口區8 3 0處過渡爲一自由分子流。藉 有如此作,膨脹的氣體會變成一超音速氣體束(其經歷少 量的碰撞),其方向係與該基材平面正交。實驗測量及理 論推導(即,Direct Simulation Monte Carlo,DSMC )可被 用來分析該連續流過渡爲一自由分子流的行爲。 經濟部智慧財產局員工消費合作社印製 〔發明槪要〕 本發明係關於電漿處理系統,及更特定地係關於提供 可調整的氣體注入此等系統中之方法及設備。 本發明係一種能夠經由特別設計的 ''可調整〃噴嘴陣 列而讓氣體超音速膨脹的設備及方法,該噴嘴能夠調整在 該噴嘴內的內部氣體流來調整相關於該環境室壓之噴嘴氣 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -13 - 501168 經濟部智慧財產局員工消費合作社印製 A7 ____ B7 ___五、發明説明(11 ) . 體出口壓力。該氣體噴嘴結構的一部分允許該噴嘴插塞的 位置沿著共同軸被移動通過_ 一噴嘴孔,藉以提供一種控制 通過該噴嘴之氣體膨脹的方法。藉由如此作,一膨脹不足 ,過度膨脹或在該噴嘴出口處之壓力相匹配的狀況可被達 成。前述的狀況分別對應於一發散氣體噴射束,一收斂氣 體噴射束或在該噴嘴出口的對準·( colHmated )氣體噴射束 〇 本發明.亦關於一種能夠調整通過一孔或孔束相對於另 一孔或孔束的質量流率的設備與方法,其中多個孔或多個 孔束.形成一孔的陣列作爲氣體注入之用。該噴嘴插塞的位 置可被調整用.以改變該最小面積(或噴嘴喉部.面積),及 改變質量流率。 本發明亦關於一種能夠經由特別設計的 可調整〃’噴 嘴陣列來調整氣體膨脹及質量流率的設備及方法。甚者, 一氣體注入系統被提出,其能夠影響接近該基材的不同區 域的氣體特性。 因此,本發明的一第一態樣爲一氣體注入歧管設備用 來可調整地控制在一電漿處理設備中的氣體流。該設備包 含一具有至少一穿孔之背板;該穿孔具有一上表面,——下 表面,及一第一與第二端。一插塞板被安排成與該背板相 鄰近且與背板大致平行,.該插塞具有上及下表面。該插塞 板包括多個間隔開來的穿孔及多個對應的噴嘴插塞從該插 塞板的下表面延伸出。該設備更包含一注入板其具有上及 下表面及第一與第二端。該注入板被位移作動器安排成與 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 77" ' Λ Λ (請先閱讀背面之注意事項再填寫本頁) 501168 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(12) 該插塞板的下表面相鄰近且可移動地與其間隔開。該注入 板具有多個穿孔,每一穿孔_都具有一孔中心軸。該注入板 經由連接件而被連接至該背板。該注入板被安排成該等噴 嘴插塞可沿著孔的中心軸活動地延伸於各自的孔中,藉以 形成多個可調整的噴嘴單元,每一者都具有一氣體入口區 ,一喉部,及一氣體出口區。適當的噴嘴單元之不同的較 佳實施例詳細地於下文中被描述。該背板下表面,注入板 上表面及該等連接件界定一可加壓的風室(plenum ),一 處理氣體被被送入該風室中。再者,該等位移作動器係可 調整.的,用以改變在穿孔內之喉部的位置及大小藉以調整 從該可調整的風室經由該等噴嘴單元並進入到.一處理室中 之氣體流量。 本發明的一第二態樣爲一電漿處理系統其,具有上述的 注入歧管。 本發明的一第三態樣爲一種用來處理在一電漿反應器 系統中之一晶圓,該系統具有一室,該室具有一內部區域 能夠支援一電漿。該方法包含的步驟首先爲提供一氣體注 入歧管,其具有多個能夠控制流經其間之氣體流的可調整 噴嘴單元,它們被安排成與該晶圓相鄰近。下一步驟爲將 氣體流入該氣體注入歧管。最後一個步驟爲藉由調整一或 多個噴嘴單元來調整從該注入歧管流入該室內部區域並朝 向該晶圓的氣流使得來自於被調整的一或多個噴嘴單元的 氣流狀況爲壓力相匹配的,膨脹不足及過度膨脹三個情況 中的一個。 (請先閲讀背面之注意事項存填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 501168 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(13 ) 〔圖式簡要說明〕. . . 第1圖爲用來解釋與一氣體從一高壓區到一低壓區的 膨脹相關的流體動力之一 Laval噴嘴的剖面圖; 第2 A圖爲一根據本發明之電感耦合的電漿反應器系 統的示意剖面圖; 第2 B圖爲一根據本發明之電容耦合的電漿反應器系 統的示意剖面圖;. , 第3 A圖爲根據本發明的一第一實施例之·氣體注入歧 管的.示意剖面圖,其包括一作動器控制單元; 第3 B圖爲根據本發明的一第二實施例之.氣體注入歧 管的示意剖面圖,其顯示一作動器控制單元被電氣地連接 至該歧管內的位移作動器; 第3 C圖爲根據本發明的一第三實施例之氣體注入歧 管的示意剖面圖,其中該插塞板被分成多個區段;. 第3D圖爲本發明之氣體注入歧管的示意的部分剖面 圖,其中每一噴嘴插塞被連接至一位移作動器,藉以控制 每一噴嘴單元; 第3 E圖爲第3 C圖之氣體注入歧管的示意平面圖, 其顯示被同心圓地安排的插塞板區段; 第3F圖爲第3C圖之氣體注入歧管的示意平面圖, 其顯示被同心圓地安排且被分成四個方位角區段的插塞板 區段; 第3 . G圖爲根據本發明之一氣體注入歧管的示意剖面 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 501168 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(彳4 ) 圖,其顯示多條連接至對應於多個風室區之多個背板孔的 氣體導管; . 第3 Η - 3 Μ圖爲分別對應一噴嘴單元的第一至第六 實施例的示意剖面圖; 第4 Α — 4 C圖爲在一膨脹不足模式下操作(第4 A 圖),在壓力相匹配模式下操作(第4 B圖)及在過度膨 脹模式下操作(第4 C圖)的一氣體注入歧管的區段的剖 面示意圖;. . 第5圖爲一氣體注入歧管的平面圖,其具有一徑向安 排的.噴嘴單元;· 第6圖爲.本發明的一氣體注入歧管的剖面示意圖,其 包高一噴嘴陣列板其具有一噴嘴陣列形成於其上,其中在 該噴嘴陣列中的該等噴嘴具有一收斂-發散雙圓錐剖面; 第7圖爲第6圖中之氣體注入歧管的一噴嘴的放大視 第8圖爲與第6圖相似之根據本發明的一氣體注入歧 管的剖面示意圖,但噴嘴在氣體入口端處具有一圓柱形的 上區段及在氣體出口端處具有一內凹的下區段;及 第9圖爲第8圖中之氣體注入歧管的一噴嘴的放大的 剖面示意圖。 主要元件對照表 8 0 0 噴嘴 820 噴嘴喉部 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) 501168 經濟部智慧財產局員工消費合作社印製 A7 B7五、發明説明(15 ) 8 4 0 室內部區域 830 氣體出口區 10 電感耦合的電槳反應器系統 14 電漿膽應器室 2〇 上壁 2 6 下壁 2 8 內表面 3, 0 廁壁. 3 4 側壁 4 0 室內部區域· 4 1 電漿 4 2 晶圚托盤 4 2 S 托盤表面 4 4 晶圓 4 4 S 表面 50 氣體注入歧管 5 0 L 下表面 5 2 R F線圈天線 5 6 R F來源 5 7 配接網絡 6 0 R F功率來源 6 1 配接網絡 6 6 真空幫浦系統 7.0 氣體供應系統 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210Χ297公釐) -18- 501168 A7 B7 五、發明説明(16 7 4 8〇 〇 〇 經濟部智慧財產局員工消費合作社印製 9 4 6 2 0 7 4 1〇0 10 4 1 1〇 114 116 118 1 2〇 12 4 16 6 13 4 13 6 13 7 15 0 15 4 氣體供應管路 控制單元 作動器控制單元 電容地耦合的電漿反應器系統 上電極阻件 導電側 · 介電材質件 下導電平面件 喉部 •氣體導管‘ 背板 中心孔 上表面 下表面 端壁 內圓柱形表面 外圓柱形表面 注入板 孔 上表面 , .下表面 ,b 端 風室 插塞板 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) 501168 A7 B7五、發明説明(17) 1' 5 4 U 上表面 1 5 4 L 下表面 15 6 孔 16 0 噴嘴插塞 1601 下部 1 6〇u 上部 1 6〇t 尖端 1 6 0 e 邊緣部分 1601 內表面 1 6〇A · 孔軸 . 170 位移作動器 1 9 2 A,B__ 板件 2 0 0 第二注入板 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 2 〇 〇 U 上 表 面 2 〇 〇 L 下 表 面 2 〇 2 孔 2 〇 6 螺 絲 2 〇 〇 I 內 表 面 1 5 4 A ,B 插 塞 板 區 段 2 1 〇 A - -D 方 位 角 丨品 段 2 5 〇 氣 體 噴 嘴 單 元 2 7 〇 氣 體 入 □ 2 8 〇 氣 體 出 P 區 2 8 1 內 導 體 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 501168 A7 B7五、發明説明(18 ) 3 2 0 氣體噴射束 340 氣體噴射束_ 360 氣體噴射束 282 膨脹區 1 6 0 〇 外表面 1 6 0 f 扁平的噴嘴端. 經濟部智慧財產局員工消費合作社印製 4 5 〇 同 心 圓 環 2 6 〇 喉 部 5 〇 〇 氣 體 注 入 歧 管 5 〇 2 噴 嘴 陣 列 5 〇 1 噴 嘴 陣 列 板 5 〇 4 噴 嘴 5 〇 6 內 壁 5 1 〇 氣 體 入 P is 5 1 6 氣 體 出 □ 區 5 2 0 堠 部 5 〇 8 內 壁 6 〇 〇 氣 體 注 入 歧 管 6 〇 2 噴 嘴 陣 列 6 〇 4 內 凹 噴 嘴 6 〇 8 內 壁 6 1 〇 U 上 圓 柱 形 丨品 6 1 〇 S 表 面 6 1 〇 L 下 內 凹 區 段 Ί--^1J---:--I (請先閲讀背面之注意事項再填寫本頁) 、11 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 501168 經濟·部智慧財產局員工消費合作社印製 A7 ____B7__ 五、發明説明(19) 6' 1 2 氣體入口區 6 16 氣體出口區 〔最佳實施例詳細說明〕 本發明係關於電漿處理系統,且特別是關於用來提供 可調逞的氣體注入此等系統中之方法及設備。 在半導體元件的製造中的晶圓處理時被發現的是,氣 體分子方向偏離該晶圓表面的垂直入射方向會降低在形成 I c時所實施的高深寬比處理的品質。同樣被潑現的是, 氣體速度加快及氣流方向性的提高可改善在形成I C時所 使用之在深且.高深寬比溝渠或介電孔(v 1 a .)內之材質 的蝕刻及沉積的品質。 現參照第2 A圖,本發明之一電感耦合的電漿 I c p )反應器系統.1 〇包含一電漿反應器室1 4其具有 一上壁2 0,一下壁2 6具有一內表面2 8,側壁3 0及 3 4 ,及一室內部區域4 0能夠容納一電漿4 1。系統 1 0亦包括一晶圓托盤4 2其具有一托盤表面4 2 S用來 支撐一半導體晶圓4 4其具有一將被處理的表面4 4 S。 系統1 0進一步包括一氣體注入歧管5 0其具有一大致平 面的下表面5 0 L,該歧管被安排在內部區域4 0之內其 .中該大致平面的下表面5. 0 L與托盤表面4 2 S大致平行 。氣體注入歧管5 0的設計及操作將於下文中詳細說明。 與該氣體歧管5 0相關連的是一作動器控制單元1 8 0其 被操作性地連接至該岐管,這亦將於下文中詳細說明。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐1 ~~ ' ' —y J ^ (請先閱讀背面之注意事項再填寫本頁) 501168 A7 B7 五、發明説明(20) 對於一電感地耦合的系統1 〇而言,.該系統包括一 R F線圈天線5 2.纏繞在反—應室1 4的周圍且經由一配接 網絡5 7而電氣地連接至一 R F來源5 6用以激發並維持 一電漿。該系統進一步包括一 R F功率來源6 0其經由一 配接網絡6 1而電氣地連接至該晶圓托盤4 2用以偏壓該 晶圓4 4。然而,其它的功率來源亦可被使用。例如,電 感線圈天線5 2可以是一四分之一波長或半波長的螺旋諧 振器,其中.該線圈的一端被接地,在相對端爲開路且經由 一靠近該接地端之配接網絡被連接至該R F產·生器。而且 ,系.統1 0可爲電容耦合的系統,其中該氣體注入歧管 5〇被容納於該上電極之內且晶圓托盤4 2是作爲下電極 之用,該上及下電極代表平行板放電反應器。每一電極可 由一分離的R F產生器透過一獨立的配接網絡來驅動,這 將於下文中詳細說明。 系統1 0亦包括一真空幫浦系統6 6及節流閥(未示 出)用以控制在該室內部區域4 0那的氣體壓力。此外, 該系統1 0包括一氣體供應系統7 〇其經由一氣體供應管 路7 4而與氣體注入歧管5 〇氣動地相連通。氣體供應系 統7〇供應像是A r,H e,Η 2,〇2, C 1 2, C F 4, C 4 F 8,s F 6 (或其混合物)等等,在內之將於晶圓 4 4處理中被使用的氣體。再者,系統1 〇包.括一控制單 元8 〇其電氣電連接至氣體供應系統7 〇,,r F功率來 源5 6,R F功率來源6 0,作動器控制單元1 8 0及真 空幫浦系統6 6用以控制系統1 〇的整體操作。., 本.,·氏張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 -23- 501168 經濟,部智慧財產局員工消費合作社印製 A7 B7 五、發明説明(21 ) 、 參照第2 B圖,一電容耦合的電獎.(C C P )作動器 系統1 0 /包括許多與第2 j圖中之電感驅動.的系統1 〇 相同的元件,但並不包括電感線圈天線5 2在內。相反的 ,系統1 0 >包括一上電極組件9 0其大致上是平面的, 且下表面5 0 L與下電極或晶圓托盤4 2的上表面4 2 S (及晶圓表面4 4 S )大致平行。上電極組件9 0包括一 上導電平面件9 0,導電側邊9 3,一下導電平面件9 4 ,及介電(絕緣)件9 6其被安排在導電側邊9. 3與室.壁 3 4之間。上電極組件.9 〇容納該.氣體注入歧管5 0。. R F.功率經由配接網絡5 7而被供給至上電及組件9〇。 與系統1 0相.同的,來自於氣體供應系統7 0 .的氣體經由 氣體導管7 4而被導入上電極9 0。上電極9 0及在系統 1 0〜中作爲下電極的晶圓托盤4 2構成一電容放電反應 器的平行板電極。 可調整的氣體注入歧管 參照第3 A圖,根據本發明的一第一實施例之氣體注 入歧管5 0的設計及操作現將加以詳細說明。氣體注入歧 管5 0包含一背板1 0 0其具有一中央孔1 0 4,一上表 面1 1 0及一下表面1 1 4,圓柱形的端壁1 1 6其具有 一內圓柱形表面1 1 8及外圓柱形表面1 2 0,及注入板 1 2 4,該圓柱形內端壁1 1 6的內圓柱形表面1 1 8界 定一大致平行於表面1 1 4及1 3 4的中心軸。應注意的 是,.雖然此實施例描述一圓柱形系統,但並不排除一矩形 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公董) "~ _~ 0/1 1.ΊΊ--:--,#^1 — (請先閲讀背面之注意事項再填寫本頁) 訂 501168 A7 B7 五、發明説明(22) 系統或其它多邊形系統的使用。該圓柱形內端壁1 1 6的 內®柱形表面1 1 8 (其作_爲插塞板與注入板的連接件) (請先閱讀背面之注意事項存填寫本頁) ’背板1 0 0的下表面及注入板1 2 4的上表面1 3 4 — 起界定一可加壓的風室1 5 0。在一較佳的實施例中,背 板1 0 0,壁1 1 6及注入板1 2 4構成一單一結構。 在風室1 50中,氣體注入歧管5 0更包含一可自由 移動的插塞板1 5 4其被安排成與背板1 〇 〇及注入板 1 2 4平行且間隔開。插塞板1 5 4具有一上表面 1 5 4U及一下表面15 4L,其中上表面1…5 4U面向 背板.1 0 0的下表面1 1 4,而下表面1 5 4 L面向注入 板1 2 4的上.表面1 3 4。插塞板1 5 4爲一.大致平的板 子其包含多個大的孔1 5 6,氣體可通過該等孔,及多個 •噴嘴1 6'0每一噴嘴具有一基部或底部1 6 0 1,及一上 部1 6 0 u其具有一尖端1 6 0 t,及一邊緣部Γ 6 0 e .介’於該底部與上部之間。每一噴嘴插塞1 6 0延伸至一形 成在注日板1 2 4上之各自的孔1 6 6中。每一孔1 6 6 具有一內表面1 6 61及孔軸1 6 6A。 經濟,部智慧財產局員工消費合作社印製 在此實施例中,插塞板1 5 4的主要作用爲連接所有 的噴嘴插塞1 6 0至一共同的、堅硬的媒介,其在相對於 注入板1 2 4移動時以相同的量來移動所有的噴嘴插塞, 且不會阻礙到氣體在風室1 5 0內的運動(即,壓力在風 室內是平衡的)。 在一較佳的實施例中,插塞板1 5 4的下表面. 1 5 4 L係經由位移作動器1 7 0而可移動地連接至注入 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) —~ 501168 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(23) ” 板1 2 4的上表面1 3 4 °位移作動器.1 7 〇每一個都電 氣地連接至一作動器控制單元1 8 0其經由電子訊號來啓 動並控制該位移作動器的操作。 本發明的一較佳實施例使用壓電產感器作爲位移作動 器1 7 0。然而,其它已知的作動器亦可被使用。例如, 像是步進馬達驅動一導螺桿穿過該板子的機械裝置,或氣 壓或液壓裝置亦可被使用。然而壓電作動器是較佳的因爲 它們的尺寸小,反應速度快且對於處理的微粒污染亦小.。 注入板Γ 2 4被安排成噴嘴插塞1 6 0可藉由彳立移作動器 1 7. 0的作·用而可活動地延伸至各自的控1 6 6中。噴嘴 插塞1 6 6最好是沿著孔中心軸1 6 6 A對準孔1 6 6的 中心。 當所有的噴嘴插塞都附著於一具有與住入板的直徑相 同直徑之單一的插塞板上時(典型地,注入板直徑比基材 直徑大2 0 %至5 0 % ),三個被等間距地安排在插塞板 周邊之位移作動器是較佳的。因爲插塞板的硬度的關係, 兩個作動器相距1 8 0度的方位角即足夠。對於較小的插 塞板而言,每一板子或插塞一位移作動器即使足夠的。 參照第3 B圖,在一第二實施例中,插塞板1 5 4的 上表面1 5 4 U係可活動地連接至背板1 cT 〇的下表面 1 1 4。甚者,’插塞板1 5 4包含兩個板件1 9 2 A及 1 9 2 B。在此實施例中,只需要一單一的孔1 5 6而非 多個大的孔1 5 6即可讓氣體流過氣體給送管1 〇 4進入 到風室1 5 0。將插塞板1 5 4分成兩個板件1 9 2 A及 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ~ ' -26- (請先閱讀背面之注意事項再填寫本頁) 501168 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(24 ) 1 9 2_ B的原因爲插塞板可由兩種不同的材質加以製造。 此外,與風室1 5 0相界面.且最靠近該電漿的板1 9 2 A 是由可與該電漿處理相容的材質所製成,如用於矽處理的 矽,碳化矽等等。甚者,噴嘴插塞1 6 0可由具有硬的陽 極化表面之鋁製成。在本發明的此實施例中,板件. 1 9 2 A可輕易地被更換而不會干擾到位移作動器1 7〇 〇 · 繼續參.照第3 B圖,一第二注入2 0 0被附著於(弟 一)注入板1 2 4的下.表面1 3 6。第二注入板2〇Q包 含一上表面2 0 0 U,一下表面2 0 0 L及孔2 0 2,孔 2〇2最好是與注入板1 2 4的孔對齊。孔2 0 2可以是 直的,斜的或型孔用以與孔1 6 6的形狀相配合。第二注 入扳2 0/0被固定於第一注入板1 2 4上(如,,藉由螺絲 2 0 6 )使得第二注入板2 0 0的上表面2 0 0 U被壓抵 第一注入1 2 4的下表面1 3 6。以此方式,與電漿4 1 相接觸的主要表面(見第2 A,2 B圖)爲噴嘴插塞 1 6 0的上插塞部分1 6 0 u,尖端1 6 0 t,第二注入 板2〇〇的下表面2 0 0 L,及孔2 0 2的內表面 2 0 0 1° 在某些蝕刻應用中,注入板1 2 4可被高能量離子所 蝕刻。因此,住入板1 2. 4的材質,或至少與電漿接觸的 部分(包括噴嘴尖端1 6 0 t )必需能夠與特定處理相容 才行。對於矽處理而言,一可消耗的板件1 9 2 A及第二 注入板2 0 0的較佳材質爲矽。此外在某些蝕刻應用中( (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中.國國家標準(CNS ) A4規格(210 X 297公釐) 501168 經濟部智慧財產局員工消費合作社印製 A7 _ B7 _— 五.、發明説明(25 ) 即,氧化物飩刻),將矽導入電漿中以淸除氟基團是有利 的。在此例子中,板件1 9. 2 A及第二注入板2 0 〇的較 佳材質爲能夠與特定處理(即,氧化物蝕刻)相容的材質 。此等材質包括矽,碳化矽等。甚者,該注入板2 0 〇可 以是具有硬的陽極化表面之鋁。 現參照第3 C圖,與第3 A圖相似之本發明的一氣體 注入歧管的第三實施例被示出。在第3 C圖所示的設備中 ,插塞板1 5 4被分成多個區段.,’如兩個區段1 5 4 A及 1 5 4 B,其中區段1 5 4 A與區段1 5 4 B伺心。插塞 板區.段1 5 4 A及1 5 4 B可獨立地移動,藉此可調整及 控制在晶圓4 .4上的不同區域的氣體注入特性。雖然第 3 C圖顯示出一與第3 A圖相似的實施例,但相同的設計 •改變亦可擴展至第3 B圖的第二實施例上。事實上,擴展 至本發明的第二實施例係較佳的,因爲插塞板1 5 4的分 割而對於每一噴嘴插塞1 6 0的獨立移動所造成的限制可 藉由將噴嘴插塞1 6 0透過位移作動器1 7 0而連接至背 板1 0 0,而非將噴嘴插塞1 6 0連接至注入1 2 4來加 以簡化。第3 D圖爲與第3 B圖相似的設計的部分剖面圖 ,其中每一噴嘴插塞1 6 0被連接至一位移作動器1 7〇 且被獨立地移動。 第3 E及3 F圖爲將插塞板1 5 4分成多個區段的兩 個例子。在第一個例子(第3 E圖).中,插塞板1 5 4具 有兩個同心區段1 5 4 A及1 5 4 B。在第二個例子(第 3 F圖)中,同心區段1 5 4 A被進一步分成方位角區段 本紙張尺度適用中國國家標準(CNS ) A4規格(210'〆297公釐) ~ (請先閲讀背面之注意事項再填寫本頁) 501168 A7 ____ B7 五、發明説明(26) 2 1 0 A — 2 1 0 D。以此方式被分割的插塞板1 5 4能 夠相對於晶圓4 4同時作徑向及方位角之氣體赞性調整。 (請先閲讀背面之注意事項再填寫本頁) 在示於第3 E及3 F圖的實施例中,所有的插塞板區 段都可用一單一的氣體風室1 5 〇將它們關連在一起,如 第3 C圖所示。或者,該氣體風室可被分割成多個區段, 或風室,用以各別控制供應至每一插塞板區段的氣體。單 一風室的風割成多個風室係以虛線表示於第3 F圖中,其 代表額外的選擇。 ~ 第3 G圖顯示插塞板1 5 4板被分成多個區段 1 5. 4 /, 1 54 "及1 5 4 ’·’的結構。此外,氣體風室 1 5 0被分成.1 5 0 P 1及1 5 0 P 2,藉以.形成對應於 .獨立的插塞板區段1 5 4 >, 1 5 4 〃及1 5 4 之獨立 •的區段或風室1 5 0 /, 1 5 0 〃及1 5 0 。例如,區 段1 5 4,, 1 5 4 〃及1 5 4 可分別對應於第3 F圖 .中的區段2 1〇A, 2 1 0 B及2 1〇C。再者,背板 1〇〇包括分開的孔1〇4 ' 1〇4 〃及1〇4 ’"。每 一插塞板區段1 5 4,, 1 5 4 〃及154"|都可被獨立 經濟部智慧_財產局員工消費合作社印製 地位移藉以能夠調整及控制在該晶圓4 4上之不同區域的 氣體注入特性。甚者,每一氣體風室1 5 0 /., 1 5〇〃 及.1 5 0 可由獨立的氣體供應及/或氣體質量流控制器 經由各自的氣體供應管路7 4,,7 4 〃及7 4 ·",及各 自的近入孔1 0 4…,1 0 4 〃及1 0 4 m來供應氣體。 參照第3 A圖,每一噴嘴插塞1 6 0及對應的孔 1 6 6形成一可調整的氣體噴嘴單元2 5 0。噴嘴單元 ^紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -29- 501168 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(27) 2 5 0‘包括一環形的喉部2 6 0,即介於噴嘴最外緣 1 6 0 e與孔1 6. 6的內壁1 6 6 i之間之最小面積的環 形區域。噴嘴單元2 5 0每一者都包括一氣體入口區2 7 其在注入板1 2 4的上表面1 3· 4處與插塞板1 5 4相鄰 近,及一氣體出口區2 8 0在注入板12 4的下表面(第 3 A圖)或第二注入板2 0 0的下表面2 0 0 L .(第3 b 圖)處。 示於第3 A及3 B圖中之.氣體注入歧管可作爲一電,感 耦合的電漿來源(I C. P )的陽極,如第2 A ·圖所示,或 作爲.在電容地耦合的電漿來源(C C p )的上r F電極, 如第2 B圖所.示。在後者的例子中.,氣體可經由氣體供應 管路7 4通過該上電極的一內導管2 8 1的內部被導入風 室1 5 0。該R F內導管2 8 1,背板1〇〇,端壁 1 1 6及注入板1 2 4可作爲一 c C P的上電極。 氣體注入歧管的操作 現將說明本發明之氣體注入歧管的操作。參照第2 A ,2 B, 3 A及3 B圖,在操作時,一或多種氣體從氣體 供應系統7 0經由氣體供應管路7 4通過一或多個孔 1 .〇4 (只有一個孔被示出)而被供應至風室1 5 0。氣 體供應系統7 0,供應管路7 4及風室1 5 0被設計爲在 風室1 5 0內的壓力在穩態操作下可被保持固定。.因此, 風室1 5 0在總溫度T t下保有一總壓力爲P_ t的氣體體 積。在風室1 5 0中的氣體然後在壓力下被導入且經由多 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) 501168 經濟部智慧財產局員工消費合作社印製 Α7 Β7 五、發明説明(28 ) 個可調整的噴嘴單元2 5 〇以一種可控制的方式進入電漿 反應器室的室·內部區域4 0,且被導引朝向畢圓4 4的表 面 4 4 S。 從噴嘴單元2 5 0流入室內部區4 .0中的氣體是由被 作動器控制單元1 8 0所控制之位移作動器1 7 0的動作 來加以控制的。位移作動器1 7 Ό藉由相對於注入板 1 2 4移動該插塞板1 5 4來改變噴嘴插塞1 6 0伸入孔 1 6 6內的程度。透過噴嘴插塞1 6 0的設計(.即,其.外 形,形狀等等)及/或噴嘴孔1 6 6的設計(.即,其外形 ,形狀等等),’噴嘴插塞1 6 0沿著孔軸1 6 0 A相對於 噴嘴孔1 6 6 .的軸向移動可在在氣.體出口區2 8 0保持相 同的面積之下產生喉部2 6 0的面積的改變,可在保持-一 固定的喉部2 6 0的面積之下產生出口部2 8,〇的面積改 變,或同時產生喉部2 6 0面積及出口區2 8 0面積的改 變。透過噴嘴插塞1 6 0在噴嘴孔1 .6 6內的位移所達成 的這些噴嘴特性的調整可藉此調整氣體質量流率及/或通 過噴嘴單元2 5 0相對於鄰近的噴嘴單元或噴嘴單元束之 氣體膨脹。 例如,參照第3 Η圖,一噴嘴單元2 5 〇包含一噴嘴 插塞1 6 0被插入到一穿過一注入板1 2 4的孔1 6 6中 。改變噴嘴插塞1 6 0伸入噴嘴孔1 6 6內的量並不會' 景多 響喉部2 6 0本身的尺寸(即,面積),但會改變氣體出 口區2 ·8 0的面積。更明確地,當噴嘴插塞1 6 0伸Α孔 1 6 6中愈多,氣體出口區2 8 0的面積就會減少。 本紙張尺度適用中.國國家標準(CNS ) Α4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁)
501168 經濟,部智慧財產局員工消費合作社印製 A7 _ B7 ___五、發明説明(29 ) 因爲第3 Η圖中之噴嘴單元2 5 0.之噴嘴插塞1 6 0 之朝外傾斜的關係,其在孔2 6 6內的位置界定了氣體出 口區2 8 0的面積與喉部2 6 0的環形面積之間的一面積 比率R。此比率界定了出口馬赫數Μ (即,局部速度對局 部音速的比率)。該出口馬赫數Μ可以大於1,即一超音 速流,只有在該氣流阻塞發生在喉部2 6 0時。阻塞的條 件將於下文中詳細說明。然而,對於能夠形成一壓力相匹 配的超音速氣流的面積比率R (及出口馬赫數Μ )只存在 一個解答,其是由風室1 5 0的組壓力P t,.及在室內部 區域.4 0中的靜壓力P c所決定的。 第4 A - .4 C圖顯示三個對應於該噴嘴插.塞1 6 0在 孔1 6 6內三種不同的位置的氣體流條件。參照第4 B圖 ,噴嘴插塞1 6 0的位置使得對應的面積比率R可以讓從 該風室1 5 0流經噴嘴單元2 5 0至該室內部4 0的該氣 體能夠膨脹。此被稱爲 ''壓力相匹配〃的條件。在此壓力 相匹配的條件下,從該氣體出口區2 8 0出來的氣體具有 超音速(Μ > 1 )成爲在一大致平行於該孔1 6 6的中心 軸1 6 6 Α的方向上的氣體噴射束3 2 0。氣體噴射束 3 2 0可在離開氣體出口區2 8 0時被稱爲 '' 被對準的( collimated ) • . 參照第4 A圖,如果噴嘴插塞1 6 〇從與.壓力相匹配 條件相對應的位置進一步被伸入孔1 6 6中的話,面積比 率R降會變小且流過噴嘴單元2 5 0的氣體會變成、、膨脹 不足〃。當在出口區2 8 0的氣體爲膨脹不足時,在該出 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) ' 1. ^ ^ :--衣-- (請先閱讀背面之注意事項再填寫本頁)
、1T 501168 A7 B7 五、發明説明(30 ) 口壓力P e與室內部區域壓力p c之間.會存在一壓力梯度 使得P e / p c > 1。在此條件期間,一氣體噴射束 2 4 0會膨脹至該室內部區域4 〇中,因此相對於孔中心 軸1 6 6 A發散。 參照第4 C圖,如果噴嘴插塞1 6 Ό從與壓力相匹配 條件相對應的位置被部分抽出孔1 6 6中的話,面積比率 R降會變大且流過噴嘴單元2 5 〇的氣體會變成 '、過度膨 脹〃。當在出口區2 8 0的氣.體爲過度膨脹時,.在該出.口 壓力P e與室內部區域壓力p c之間會存在一邐力梯度使 得P. e / P c < 1 。在此條件期間,一氣體噴射束3 6〇 會形成。後者經歷一震波以平衡壓力。通常,.氣體噴射束 3 6 0在離開該氣體出口區2 8 0時會相對於孔中心軸 1 6 6 A收敛。 無論在參照第4 A - 4 C圖所述的氣體噴射束3 .2〇 ,3 4 0及3 6 0的流體條件的任何一者中,氣體係以一 超音速的方式被導引朝向基材4 4。此種氣流對於將一氣 體原子或分子移動於一大致與該晶圓表面4 4 S正交且與 晶圓與晶圓處理期間相互作用的或然率最大化是有助益的 〇 .參照第4A — 4C圖,當在該風室150 (即氣體入 口區2 7 0 )內的總壓力P t對室壓力P c的比率大於氣 體出口區2 8 0夠大時,噴嘴單元2 5 0即達到一、、阻塞 〃狀況,其中無論是進一步降低背壓(back pressure )或 提高P t,體積流率都不會改變。對於一尺寸固定的喉部 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) 一——;—;—----— (請先閱讀背面之注意事項再填寫本頁) 、11 經濟部智慧財產局員工消費合作社印製 501168 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(31 ) 2 6 0而言,通過噴嘴單元2 5 0的質量流只可藉由提高 人口區總壓力,藉以影響氣體密度,或藉由調整喉部面積 來提高。 或者,參照第3 G及3 I圖,噴嘴單元2 5 0包含一 噴嘴插塞1 6 0其被插入一有斜度的孔1 6 6。在第3 Η 圖中,噴嘴插塞下部1 6 0 1係直線地朝外傾斜至.邊緣 1 6 〇 e,且上部1 6 0 u係非直線地朝內傾斜從邊緣 1 6 0 e到尖端1 6 0 t 。在第3 _ I圖中,噴嘴插塞下部 1 6 〇 1係直線地朝外傾斜至邊緣1 6 0 e,且上部 1 6.0 u係圓錐形的,在尖端1 6 0 t爲一點。對於第 3 I圖中之噴嘴單元2 5 〇而言,插塞1 6 〇位移至孔 1 6 6內(即, ''向下〃位移)會產生喉部2 6 0面積的 減少,而插塞1 6 0位移出孔1 6 6之外(即, ''向上〃 位移)會加大喉部2 6 0面積。然而,這只有對於示於第 3 Η圖中的噴嘴單元2 5 0而言才是真的。但是,與示於 第3 Η圖中的噴嘴單元2 5 0不同地,出口區2 8 0保持 不變,如果噴嘴插塞尖端1 6 0 t仍保持在噴嘴孔1 6 6 內的話,即噴’嘴插塞尖端1 6 6 t保持在由注入板1 2 4 的下表面1 3 6所界定的平面之上。如果在噴嘴插塞陣列 中的所有的噴嘴插塞1 5 0被同步地移動於一對應的孔陣 列中(如第3 A及3 B圖所示者),及所有的噴嘴單元 2 5 0都與一單一的氣體風室1 5 0 (其其由一單一的氣 體供應7 0及質量流控制器(未示出)經由孔1 0 4供應 氣體)相連通的話,則喉部2 6 0的面積改變將會影響到 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) 501168 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(32 ) 氣體風室總壓力P t的改變。此總壓力.的改變將可補償喉 部2 6 0面積的改變。因此,通過每一噴嘴單元2 5 0的 氣體質量流率仍保持不變。因此,經由喉部2 6 0面積的 改變,跨越該注入板1 2 4的壓力比可被調整(及出口面 積對喉部面積的比率)用以影響氣體膨脹至真空內.部區域 4〇中並達到所想要的出口馬赫數。 或者,現參照第3 J圖/其顯示噴嘴單元2 5 0的另 一實施例,.其包含一噴嘴插塞1 _ 6 0其被插入一穿過該.注 入板1 2 4之有斜度的孔1 6 6中。示於第3/J圖中之噴 嘴插.塞1 6 Ό與示於第3. I圖中的設計相似,除了在第 3 J圖中的圓錐上部1 6 0 u在其尖端1 6 0 . t處被截斷 並具有一外表面1 6 0 〇,其中該圓錐表面傾斜的程度大 致與孔1 ‘ 6 6的表面1 6 6 I的斜度相同。插塞1 6 0在 孔1 6 6內的位移在喉部2 6 0的面積上產生了減少或增 加。然而,無論哪一種情形,面積比率,即出口區2 8〇 的面積對喉部2 6 0的面積比則保持固定,即等於1。此 插塞設計單純地將喉部2 6 0移到與噴嘴單元出口 2 8〇 相同的位置。因此,氣體自由地膨脹至該膨賬區2 8 2中 之低壓真空內部區域4 0內。 對於一已知的壓力比,即氣體風室1 .5 0的總壓力 P t對在內部區域4〇中之室壓力P c的比率·,而言,存 在著孔1 6 6的傾斜角度及噴嘴插塞外表面1 6 0 〇的傾 斜角度的設計使得氣體如一對準的自由氣流般地從噴嘴單 元2 .5 0流出,與第4 B圖所示的相似。室壓力P c或總 1--:--:---:---- (請先閲讀背面之注意事項再填寫本頁) 訂 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) 501168 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(33 ) 壓力P t之(導因爲質量流率的改變).的偏離使得壓力比 不同於所設計的値,這會導致一與參照第4 A圖所述之膨 脹不足的情形,或與參照第4 C圖所述之過度膨脹的情形 相同的情況。 對於一無黏性、等熵的連續流體而言,在傾斜角度, 或面積比與壓力比之間存在著一關係。此關係可從一有關 於可壓縮流體動力學的標準教科書中得知。對於以上所作 的假設而言,此關係可從更爲.複雜的理論模型及.實驗來.確 定此關係。噴嘴設計及控制可由實驗來建立。· .例如,來自於設計例子之壓力比率的提高將會導致一 與膨脹不足情形相似的流體狀況及來自於設計例子之壓力 比率的降低將會導致一與過度膨脹情形相似的流體狀況。 如上所述,如果在一噴嘴插塞陣列中之所有的噴嘴插塞 1 6 0被同步地移動於一對應的孔1 6 6陣列中(如第. 3 A及3 B圖所示者),及所有的噴嘴單元2 5 0都與一 單一的氣體.風室1 5 0 (其其由一單一的氣體供應7 0及 質量流控制器(未示出):經由孔1 0 4供應氣體)相連通 的話,.則當氣流被阻塞時,喉部2 6 0的面積改變將會改 變氣體風室總壓力P t。此總壓力的改變將可補償喉部 2 .6 0面積的改變。因此,通過每一噴嘴單元2 5 0的氣 體質量流率仍保持不變。.因此,藉由改變喉部.2 6 0面積 ,吾人可調整跨越該注入板1 2 4的壓力比用以影響氣體 膨脹至真空內部區域4 0中並達到所想要的出口馬赫數。 參照第3 K圖,其顯示第3 J之噴嘴單元2 5 〇的一 (請先閲讀背面之注意事項再填寫本頁) 衣· 訂 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -36- 501168 A7 _ __ B7 五、發明説明(34) \ 替代例。在第3 K圖中,噴嘴插塞1 6. 〇與第3 j圖中的 噴嘴插塞1 6 0的設計相似,除了下部1 6 0 1爲一直壁 式的圓柱形之外。甚者,噴嘴孔1 6 6在氣體出口區 2 8 0處亦爲一具有縮短的傾斜區段(或刀緣)的直壁式 圓柱形孔。如前所述,噴嘴喉部2 6 0與氣體出口區 2 8 〇在同一位置。噴嘴單元2· 5 〇的此實施例的設計主 要的優點在於噴嘴單元2 5 0之窄的環形區域可在氣體出 口區2 8 0.被所件至—單一的.平面(即,.導引至該噴嘴喉 部2 6 0的環形區域可被縮短)。通常,第3 圖之噴嘴 單元.2 5 0的設計原理可被應用至第3 K圖的噴嘴單元上 。雖然第3 K .的噴嘴單元2 5 0相對於第3 J .圖的噴嘴單 元而言較爲複雜,但其提供了 一低壓應用的優點,即邊界 •層發展的噴嘴長度可被縮短。這對於低壓應用,而言是很重 要的,特別是當在噴嘴單元2 5 0內的內部流體表現出過 渡流體(transition flow )的行爲時。 參照第3 L圖,其顯示第3 K之噴嘴單元2 5 0的一 替代例。在第3 L圖中,噴嘴插塞1 6 0與第3 K圖中的 噴嘴插塞1 6 0的設計相似,除了在第3 K圖中的噴嘴孔 1 6 6的內表面1 6 6 I及噴嘴插塞1 6 0的外表面 1 6 0 〇皆平滑地改變(即,壁的斜率是連續的)。表面 .1 6 6 I及1 6 0 〇可使用一氣體從一高壓區通過一噴嘴 至一低壓區的膨脹之特徵方法(Method of Characteristic )來設計(當該壓力比率超過之前所描述的關鍵壓力比率 時且存在一無黏性流體通過該噴嘴)。雖然在第3 L·圖中 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) ϊ—--;--;---^---- (請先閲讀背面之注意事項再填寫本頁)
、1T 經濟部智慧財產局員工消費合作社印製 -37- 501168 Α7 Β7 五、發明説明(35 ) 的噴嘴單元2 5 〇設計比第3 K圖的噴.嘴單元複雜,但該 氣流在通過該噴嘴單元2 5 〇膨脹時將不會經歷突然的改 變 0 (請先閱讀背面之注意事項再填寫本頁) 參照第3 Μ圖,第3 Μ圖的噴嘴單元2 5 0包括孔 1 6 6其內表面i 6 6 I相對於軸1 6 6 Α朝外地傾斜( 即,氣體出口區.2 8 0的面積比氣體出口區2 7 0的面積 大)。噴嘴插塞1 6 〇純粹是一圓錐形區段具有一朝.外傾 斜的外表面.1 6 6 〇,其傾斜角度小於噴嘴孔1 6 6的內 表面1 6 6 I的傾斜角度。噴嘴插塞尖端1 6 .〇 t具有一 扁平的邰分其變成爲一扁平的噴嘴端1 6 0 f其直徑夠小 足以在插入到孔1 6 6的噴嘴入口 2 7 0時提供一間隙。 在噴嘴插塞2 5 〇的此實施例中,喉部2 6 0與噴嘴入口 2 7 0在同一位置。當噴嘴插塞6 0從孔1. 6 6中被抽 出時,噴嘴喉部2 6 0的面積即減小,而當噴嘴插塞 經濟部智慧財產局員工消費合作社印製 1 6 0插入孔1 6 6中時,噴嘴喉部2 6 0的面積即變大 。如前所述,在相同的條件下,噴嘴喉部2 6 0面積的減 小或變大對於氣體風室1 5 0總壓力具有一相對應的類似 影響。再者,·不論噴嘴插塞1 6 0的移動爲何,氣體出口 區2 8 0的面積都維持不變。相對於第3 I圖的墳嘴單元 2 5 0而言,此實施例之噴嘴單元2 5 0的設計的優點爲 歲面積比率可以大很多(.即,可用於較高的壓力比率)。 然而,當噴嘴單元2 5 〇係在電漿處理應用期間被使用時 ,第3 Μ圖之較大的噴嘴出口區域2 8 0會讓電漿進入到 孔1 .6 6內,其結果爲在該噴嘴內的高能量蝕刻或濺鍍會 本纸張尺度適用中.國國家標準(CNS ) Α4規格(210Χ297公釐) 501168 經濟部智慧財產局員工消費合作社印製 A7 _ B7五、發明説明(36 ) 導致噴嘴單元內表面的腐飩。 在所有的例子中,不論在第3 Η - 3 Μ圖中的噴嘴單 元2 5內的內部流體條件爲何,從風室1 5 0至內部區域 4〇的氣體膨脹會受到噴嘴孔1’ 6 6內的噴嘴插塞1 6 0 的移動的影響。這可調整氣體注入條件以達到在該內部區 域4 0內的基材處理的最佳化。· 參照第5圖,當使用如第3 Α及3 Β圖所示之沒有分 區段的插塞板1 5 4時,噴嘴單元2 5 〇.的一較佳的配.置 形狀爲繞著該基材4 4的一正向線N所界定的·一中心C而 同心.地安排的一連串的同心環4 5 0 (見第2 A圖)。 將可被瞭.解的是,其它形狀的噴嘴單元配置,如直角 的或螺旋的形狀,亦可被尺用。事實上,在注入板1 2 4 •上的噴嘴單元2 5 0的分佈可被改變用以調整在晶圓4 4 上之入口氣體質量流率的空間分佈。甚者,氣體喉部 .2 6 0的面積(即,尺寸)及/或在注入板1 2 4上的噴 嘴單元2 5 0的數目密度的空間分佈可被改變用以影響在 晶圓4 4上之氣體物質濃度的空間分佈。噴嘴單元可被集 束化用以將氣體噴射束的聯合最佳化,或用以增加或減少 在該晶圓4 4之選定區域上淸新氣體流量。例如,爲了要 提高進入電漿反應器室內部4 0在基材4 4的邊緣4 4 E 處的氣體質量流率,在基材邊緣4 4 E上方之注入板 1 2 4上的噴嘴單元2 5 0可被提供一較大截面的氣體喉 部2 6 0面積。或者,將氣體流導向該基材邊緣4 4 E的 噴嘴單元2 5 0數目密度可被增加。 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇Χ:297公釐) (請先閲讀背面之注意事項再填寫本頁) —111 » .....S--1 變 ------1 ,π II--.11 ---- 501168 A7 ______— B7 _ 五、發明説明(37 ) 調整氣體特性的空間分佈〜 . (請先閲讀背面之注意事項再填寫本頁) 如上所述的,通過一噴嘴單元2 5 0或噴嘴單元集束 進入室內部區域4 0的氣體膨脹在晶圓.4 4的不同區域上 的變化可單純地藉由相對於另一區域調整一區域(如第3 E圖中之相對於1 5 4 B調整1 5 4 A )的插塞板1 5 4 的移動來達成。甚者,第3 G圖中之設計產生額外的控制 彈性。詳言.之,其可改變被送至每一獨立的氣體風室 . 1 5 0 >, 1 5 0 〃等之氣體物質及/或氣體.質量流率。 此額.外的彈性可調整被導引至晶圓4 4上之不同的電漿 4 1區域的氣體質量流率及/或氣體物質。此外,單純地 改變氣體物質(即,因爲氣體或氣體混合物之比熱的比率 •的改變或藉由一供給該氣體風室的質量流控制器來調整 氣體質量流的改變(即,因爲氣體風室總壓力及壓力比率 .的改變)可影響通過噴嘴單元2 5 0的氣體膨脹。這亦會 影響氣體流在晶圓4 4的不同區域上方的氣流的速度分佈 (及軌線分佈)。 經濟部智慧財產局員工消費合作社印製 調整至晶圓4 4上方的不同區域之質量通量的空間分 佈可藉由使用第3 C圖中之分區段的,未分區域的噴嘴單 元2 5 0陣列來達成,其中在噴嘴插塞1 6 0被移動於該 噴嘴孔1 6 6內時(即第3 I至3 Μ圖)可影響噴嘴喉部 2 6 0的面積改變之任何參照第3 I - 3 Μ圖所描述噴嘴 單元設計都可被使用於該陣列中。當一噴嘴插塞1 6 0或 一組噴嘴插塞相對於其它所有的噴嘴插塞或它組的噴嘴插 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -40 - 501168 Α7 Β7 經濟部智慧財產局員工消費合作社印製 五、發明説明(38) 塞被移動時,氣體風室組壓力p t的改變很小。這是因爲 最噴嘴單元喉部面積(即,·在氣體注入系統5. 〇中之所體 噴嘴單元的喉部面積)的改變相對很小。然而,一單一噴 嘴單元或一組噴嘴單元的喉部面積的改變相對於已知噴嘴 單元或噴嘴單元組的喉部面積是相對大的。因此,因爲在 噴嘴單元體積流率上的局部改變(因爲噴嘴喉部面積改變 ),所以進入內部區域4 0的一特定區段中的局部質量流 率會相對於相同區域的其它區段被改變。.當然,.爲了要保 存質量,如果通過一區域的噴嘴單元的質量流·率降低的話 ,則.通過其餘噴嘴單元的質量流率會稍微增加(反之亦然 )。應注意的是,物理機制可能會不同,但這.對於該噴嘴 內的任何條件(即,連續/自由分子流或阻塞/未受阻塞 )都是適用的。 監視處理條件 再次參照第2 A,2 B,3 A,3 B,3 C及3 F圖 ,爲了要達到一特定的半導體處理之一可重復的、預定的 氣體噴設束條件,氣體歧管5 0之風室1 5 0的總壓力 P t及室內部區域4 0的室壓力P c的同步監視係藉由分 別被安排在風室1 5 0及內部區域4 0的壓力感應器P 1 及P 2來實施的(參見第.2 A圖)。壓力感應器p 1及 P 2被電氣地連接至控制單元8 0。噴嘴插塞1 6 0在噴 嘴孔1 6 6內的位置可如上所述地被調整,用以設定面積 比率R或噴嘴單元2 5 0的壓力比率以產生所想要的氣體 ΙΊΙ--:--^ n m Ί— m n I (請先閱讀背面之注意事項再填寫本頁) 訂 本紙張尺度適用中國國家標準(CNS ) A4規格(21 ΟΧ29<7公釐) -41 - 501168 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(39) , 流條件(即第4 A - 4 C圖中的氣體噴射束3 2 〇, 3 4 0或3 6 0 ).。控制單元8 0分別藉由壓力感應器 P 1及P 2來監視內部區域1 5 0及4 0的壓力。依據壓 力感應器P 1及P 2所感測到的壓力,控制單元8 0送出 電子訊號至作動器控制單元1 8 0用以啓動位移作動器 1 7 0,其可調整噴嘴單元2 5. 0內的噴嘴插塞1 6 0位 置。 · 當想要.在噴嘴出口區域2 8 0有一壓力相匹配的條.件 時,控制單元8 0使用得自於壓力感應器P 1及P 2的測 量値.來從連續流理論決定適當的面積比率,然後據此來調 整噴嘴插塞1 .6 0以產生所想要之噴嘴單元2 .5 0的面積 比率或壓力比率。如上所述的,對於一無黏性、等熵的連 續流體而言,面積比與壓力比之間的關係可從,一有關於可 壓縮流體動力學的標準教科書中得知,且此關係可從更爲 複雜的理論模型及實驗來確定此關係。 從控制單元8 0送到作動器控制單元1 8 0的電子訊 號被刻度化使得適當的控制電壓可被施加於位移作動器 1 7 0上。因爲氣體在噴嘴單元2 5 0內的膨脹可以是其 通過該過渡流範圍(transition flow regime ),連續流體 理問的適用性可藉由使用該氣體噴射束的之分離的速度測 量値來確認。一飛行時間.(tme-of-flight )質量光譜儀( T〇F )可被用來感測該中性氣體速度分佈及氣體出口區 2 8 0的下游的方向性。在本發明的一較佳實施例中,此 等測量被用來建構一儲存在控制單元8 0中的測量資料庫 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中.國國家標率(CNS ) A4規格(210x297公董) 501168 A7 _ B7 _ 五、發明説明(40 ) ' (請先閲讀背面之注意事項再填寫本頁) ,其可被用來處理偏離該連續流體理論.的部分用以決定適 當的比率R及最終.地產生產生適當的電子控制.訊號至作動 器控制單元1 8 0以適當地調整噴嘴單元2 5 0 ° 甚者,控制單元8 0亦可藉由將該函部面積與噴嘴插 塞1 6 0在該噴嘴孔1 6 6內的軸向位置相關連而來監視 每一噴嘴單元或墳嘴單元集束的喉部2 6 0的面積(如第 3 C圖所示)。此決定,與氣體風室1 5 0總壓力P t — 致,可被用.來決定通過一單一噴嘴單元或噴嘴單元組的.質 量流率。 . .與可調整的噴嘴單元2 5 0相關之較佳實施例的一項 優點爲調整彈.性,以可調整性而言,其針對一.特定的半導 體處理可提供氣體流之一大範圍之可能的操作條件.。然而 .,對於其它的應用而言,當需要一低成本解決方案且想要 一窄範圍的操作條件時,氣體歧管5 0可使用數整不同的 噴嘴單元2 5〇的實施例。 雙圓錐噴嘴陣列 經濟部智慧財產局員工消費合作社印製 爹照% 6及7圖,本發明之氣體注入歧管5 0的一替 代實施例爲一氣體注入岐管5 0 〇其具有一噴嘴陣列板 5〇1,該陣列板包括一噴嘴陣列5 0 2其包含多個收斂 一發散、雙圓錐形噴嘴5 0 4。噴嘴5 0 4每一個都具有 一內壁5 0 8,一氣體入口區5 1 0,一氣體出口區 5 1 6及一喉部5 2 0於該噴嘴的中點處。噴嘴陣列 5 0 2在喉部5 2 0地下的部分是發散部分,及該噴嘴在 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) : -43- 501168 A7 B7 五、發明説明(41 ) 喉部上方的部分是收斂部分。該氣體動力學與氣體歧管 5 〇所描述的相似。然而,因爲氣體歧管5 0. 0並不具有 移動部分,所以其是在窄範圍的操作條件下操作。如上所 述的,因爲固定形狀的百質,質量流率的(或風室1 5 0 總壓力P t )及/或室壓力P c的變化將會影響噴嘴的出 口條件,即,出口馬赫數,氣體速度方向性等等。阻遏此 一情況的一個方法即是設計一包含多個收斂-發散噴嘴的 注入板,其中噴嘴的總分佈爲不同尺寸,.或可變面積的.噴 嘴之重疊分佈。換言之,如果噴嘴陣列5 0 2包含數組噴 嘴5. 0 4的話/每一組的噴嘴係被設計成可針對一不同的 操作條件組最隹化,每一組的噴嘴最好是被均.句地分佈於 噴嘴陣列板5 0 1的表面上。 例如,針對一第一流體條件而設計的一組噴嘴(即, 具有一第一氣體入口區5 1 0面積,一第一氣體出口區.· 5 1 6面積及一第一喉部5 2 0面積)可與一組針對一第 二流體條件而設計的噴嘴(即,具有一第二氣體入口區 5 1 0面積,一第二氣體出口區5 1 6面積及一第二喉部 5 2 0面積)相混合。因此,對於一給定的處理及操作條 件而言,某些噴嘴5 0 4將以其最佳設計在操作,而其它 的則以它們較次佳的設計在操作。以此方式,噴嘴陣列 5 0 4之最佳化的範圍即可被擴大。 在圓錐形噴嘴5 0 4的發散部分中,氣體沿著內部 5〇8朝外發散。因此,有一小部分的氣流與正交流( normal flow )垂直,即在氣體出口區5 1 6處之非零橫向 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) IIΊ —-----"丨 (請先閱讀背面之注意事項再填寫本頁) 訂 經濟:部智慧財產局員工消費合作社印製 501168 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(42) 速度氣流分量。對於一圓錐形設計而言,最大的壁角度Θ 最好是不超過1 8.度。如果角度Θ大於1 8度的話,該氣 流可能會分離,且在壁5 0 8上的邊界層會剝離。雖然設 計及製造一無損失的發散噴最是簡單的,但對於一給定的 面積比率而言最大壁角相等於一噴嘴長度。因此,爲了達 到一大的面積比率,該噴嘴必需很長且不實際。因此,對 於氣體出口區5 61及喉部5 2 0而言最佳的尺寸分別爲 直徑在0 . 0 0 1至0 · 2英寸之間及直徑在0 · 0〇.1 至0 · 0 4英寸之間。.對於氣體入口區5 2 0而言之較佳 的尺.寸爲其等於或(最好.是)大於喉部5 2 0的尺寸。 最後,第六實施例讓噴嘴設計不再侷限於圓錐形而是 可具有平滑地變化的壁(連續的壁斜率)如第1圖所示的 L a v a/ 1噴嘴。該等平滑地變化的壁可改善內部流體的 動力學因爲膨脹及壓縮波(如果有的話)都極弱,而非由 .不連續壁斜率的電或區域所產生的強波。然而,具有平滑 地變化的壁之噴嘴製造上較爲複雜。 內凹噴嘴陣列 參照第8及9圖,本發明之氣體注入歧管的一替帶實 施例爲一氣體注入歧管6 0 0其具有一噴嘴陣列6 0 2, 該陣列包含多個內凹的噴嘴6 0 4,每一個噴嘴都具有一 內壁6 0 8其界定一凹穴,該凹穴具有一上圓柱形區段 6 2 0 U及一具有一表面6 1〇S之下內凹區6 1〇L 。 每一噴嘴6 0 4都具有一氣體入口區6 1 2,一氣體出口 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) 501168 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(43) 區6 1 6,及一喉部6 2 0。噴嘴6〇.4在該喉部6 2〇 底下的區域6 1 0. L爲發散.部分。如氣體注入歧管5〇〇 相同的,氣體注入歧管6 0 0不具有活動部分且是在一比 氣體注入歧管5 0的操作條件窄的範圍下操作。 噴嘴6 0 4的內壁6 0 8的輪廓被設計成可將在將氣 流朝內的轉向時因爲斜的震波所產生的總壓力損失最小化 ,即,使用特徵方法來設計一 ''最小長度〃或''完美〃的 噴嘴。該 .完美〃的噴嘴6 0.4.包含在該噴嘴的發散部.分 上具有內凹及外凸區段之平滑地改變的表面6 · 1 0 S。爲 了要.縮短噴嘴長度,該內凹表面可被縮小至一點,習稱爲 '最小長度〃墳嘴。相似地,當該噴嘴被設計時,其係針 對總壓力P t與室壓力P c之間的一單一關係被設計的。 因此,任何在P t或P c上的變化都將導致在氣體處口區 6 1 6之發壓力相匹配的狀況。 然而,如上所述的,可設計一包含多個本發明之發散 噴嘴的注入板,其中噴嘴的總分佈爲不同尺寸(或可變面 積)的噴嘴之重疊分佈。例如,針對一第一流體條件而設 計的一組噴嘴(即,具有一第一氣體入口區6 1 2面積, 一第一氣體出口區6 16面積及一第一喉部62〇面積) 可與一組針對一第二流體條件而設計的噴嘴(即,具有一 第二氣體入口區6 1 2面積,一第二氣體出口區6 1 6面 積及一第二喉部6 2 0面積)相混合。因此,對於一給定 的處理及操作條件而言,某些噴嘴6 0 4將以其最佳設計 在操作,而其它的則以它們較次佳的設計在操作。以此方 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) ^ . ΊI:—^—:— (請先閲讀背面之注意事項再填寫本頁) 訂 501168 A7 ______ B7 五、發明説明(44 ) 式,噴嘴陣列6 0 2之最佳化的範圍即可被擴大。 (請先閲讀背面之注意事項再填寫本頁) 噴嘴單元製造 本發明之噴嘴注入系統可使'用數種方法中的任一種來 加以製造。當噴嘴是由不受V L S I技術(如被實施於半 導體元件製程中的蝕刻,沉積等)影響的材質所製造時, 噴嘴插塞及噴嘴孔可使用傳統的方法,如機器加工或 E: D Μ或其.組合來製造。 如上所述的,對於被容納之與特定的處理·、、相容〃之 電獎.提供真空包覆的內表面是較佳的且在某些情形中是必 需的。此處使用的&相容〃一詞係指對於特定.的處理而言 .是無害的材質。因此,對於某些應用而言,第二注入板 2 0 0,噴嘴插塞1 6 0及插塞板1 9 2 B由矽製成是有 利的。 經濟部智慧財產局員工消費合作社印製 本發明的許多特徵及優點由以上之詳細的說明可明顯 的瞭解,因.此申請專利範圍即是要函蓋所述之落在本發明 的真實精神與範圍內的所有特徵及優點。再者,因爲熟悉 此技藝者可輕易地達成許多的變化及修改,所以本發明並 不偏幹於所舉例及所不的結構及操作。甚者,本發明的方 法及設備,與本質上皆相當複雜之使用在半導體技藝中之 相關的設備及方法相似,.通常都藉由實驗來決·定最佳實施 之操作參數的的適當値,或藉由電腦模擬來找出對‘於一給 定的應用之最佳設計。因此,所有適當的改變及等效物都 應被認爲是落在本發明的精神與範圍之內。 ^紙張尺度適用中國國家標準icNS ) A4規格(210X297公釐) -47 -

Claims (1)

  1. 5|01168 A8 B8 C8 D8 經濟部智慧財是局P、工消費合作社印製 六、申請專利範圍 附件一: 第9 010 7 3 6 9號專利申請案 中文申請專利範圍修正本 民國91年5月修正 補充 1 . 一種氣體注入歧管設備,用來可調整地控制進入 一真空室中的氣體流,該設備包含多個可調整的噴嘴單元 被安排在該室內,其中每一噴嘴單元都具有一穿孔並包含 一可移動的噴嘴插塞其可移動地被安排在該穿孔內用以在 該噴嘴插塞被移動於該穿孔內時改變通過該孔並進入到該 室內之氣體流。 2 .如申請專利範圍第1項所述之設備,其更包含: (a ) —背板,其上形成至少一穿孔,該穿孔具有一 上表面,一下表面,及第一與第二端; (b ) —插塞板,其具有一上表面及一下表面並被安 排成與該背板相鄰且大致平行,該插塞板進一步載負該等 噴嘴插塞並從該插塞板的下表面延伸出;及 (c ) 一注入板,其具有上及下表面及第一與第二端 ,被安排成與該插塞板的下表面相鄰近,該等穿孔係形成 於該注入板上,每一穿孔都具有一孔中心軸,其中該注入 板經由連接件而被連接至該背板,該注入板被安排成該等 噴嘴插塞可沿著孔的中心軸可移動地延伸於各自的穿孔中 ,藉以在每一噴嘴單元內形一氣體入口區,一喉部,及一 氣體出口區; __( d )其中該背板下表面,注入板上表面及該等連接、 本紙張尺度適用中國國家標準(CNS )八4規格(210X297公釐) "~" l·—---------Φ------訂------#·· (請先閲讀背面之注意事項再填寫本頁) 501168 A8 B8 C8 D8 六、申請專利範圍 件界定一可加壓的風室,該等噴嘴插塞係可移動的用以改 變喉部的位置,喉部的面積,該出口區的面積,及介於該 可加壓的風室與該該真空室之間超越該等穿孔內的出口區 的壓力比中的至少一者,用以調整從該可調整的風室經由 該等噴嘴單元進入到該真空室中之氣體流量。 3 ·如申請專利範圍第1項所述之設備,其中該等噴 嘴插塞的數目與該等穿孔的數目相同。 4 .如申請專利範圍第2項所述之設備,其中該等連 接件係連接自該背板及該注入板各自的第一及第二端。 5 ·如申請專利範圍第2項所述之設備,其更包含位 移作動器係耦合至該背板,該等位移作動器是用來將該插 塞板相對於該背板位移用的。 6 ·如申請專利範圍第5項所述之設備,其中該等位 移作動器爲電子-機械裝置。 7 .如申請專利範圍第5項所述之設備,其中該等位 移作動器爲壓電轉換器。 8 ·如申請專利範圍第5項所述之設備,其更包含一 位移動作器控制單元其與該等位移作動器電氣地相連通。 9 ·如申請專利範圍第2項所述之設備,其中該風室 被分成多個區段。 1 〇 .如申請專利範圍第9項所述之設備,其中該背 板包括多個穿孔,其開口至各自的多氣室區段。 1 1 .如申請專利範圍第2項所述之設備,其中該注 入板是由一像是陽極化鋁的材質所製造的。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 、1T 線秦 經濟部智慧財是^员工消費合作社印製 -2- 經濟部智慧財4局®工消費合作社印製 501168 A8 B8 C8 D8 六、申請專利範圍 1 2 .如申請專利範圍第2項所述之設備,其中該注 入板是由一像是氧化鋁或石英的介電材質所製造的。 1 3 .如申請專利範圍第2項所述之設備,其中該等 噴嘴插塞由一像是陽極化鋁的材質所製造的。 1 4 ·如申請專利範圍第2項所述之設備,其中該等 噴嘴插塞由一像是氧化鋁或石英的介電材質所製造的。 1 5 .如申請專利範圍第2項所述之設備,其中該插 塞板下表面包含一可消耗的材質。 1 6 ·如申請專利範圍第1 5項所述之設備,其中該 可消耗的材質爲包含了砂,碳化砂及石英的組群中的至少 一者。 1 7 ·如申請專利範圍第2項所述之設備,其中該插 塞板包含第一及第二板件,其中該第二板件與該注入板相 鄰且包含一可消耗的材質。 i 8 .如申請專利範圍第1 7項所述之設備,其中每 一噴嘴插塞的至少一部分包含一該可消耗的材質。 1 9 ·如申請專利範圍第1 7項所述之設備,其中該 可消耗的材質爲包含了矽,碳化矽及石英的組群中的至少^ 一者。 2 0 ·如申請專利範圍第2項所述之設備,其中該注 入板的下表面包含一可消耗的材質。 2 1 ·如申請專利範圍桌2 0項所述之設備,其中該 可消耗的材質爲包含了矽,碳化矽及石英的組群中的至少 一者。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 訂 線 (請先閱讀背面之注意事項再填寫本頁) -3 - 501168 8 8 8 8 ABCD 六、申請專利範圍 2 2 ·如申請專利範圍第2項所述之設備,其中該注 入板包含第一及第二板件,其中該第二板件與該插塞板相 對且包含一可消耗的材質。 2 3 ·如申請專利範圍第2 2項所述之設備,其中該 可消耗的材質爲包含了矽,碳化矽及石英的組群中的至少 一者。 2 4 ·如申請專利範圍第2項所述之設備,其中該插 塞板包含多個可獨立地移動的區段。 2 5 ·如申請專利範圍第2 4項所述之設備,其中該 等插塞板區段係被同心圓地設置。 2 6 ·如申請專利範圍第2 5項所述之設備,其中該 等插塞板區段進一步被分成方位角區段。 2 7 ·如申請專利範圍第9項所述之設備,其中該插 塞板包含多個可獨立地移動的區段對應於該風室之多個區 段。 2 8 .如申請專利範圍第2項所述之設備,其中每一 噴嘴插塞係藉由一位移作動器而連接至該背板用以提供每 一噴嘴插塞獨立的移動。 2 9 ·如申請專利範圍第2項所述之設備,其中每一 可調整的噴嘴單元都包括一環形喉部。 3 〇 .如申請專利範圍第2項所述之設備,其中該等 噴嘴單元係可調整的用以氣體流穿過其間,其係可調整於 一壓力相匹配條件,一膨脹不足條件及一過度膨脹條件之 間。 1紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ' -4- -------- (請先閲讀背面之注意事項再填寫本頁) 訂 01. 經濟部智慧財產局員工消費合作社印製 501168 A8 B8 C8 D8 六、申請專利範圍 3 1 ·如申請專利範圍第1項所述之設備,其中該等 噴嘴單元包括一喉部區域其具有一相關的喉部面積,其中 該等噴嘴單元係可調整的用以提供該喉部面積的改變。 3 2 ·如申請專利範圍第丨項所述之設備,其中該等 噴嘴單元包括一出口區域其具有一相關的出口面積,其中 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 該等噴嘴單元係可調整的用以提供該出口面積的改變 3 3 .如申請專利範圍第1項所述之設備,其 噴嘴單元包括一喉部區域其具有的喉部面積及一出 其具有一出口面積,其中該等噴嘴單元係可調整的 供該喉部面積及出口面積的改變。 3 4 .如申請專利範圍第1項所述之設備,其 噴嘴單元係可調整的用以提供該可加壓的風室內之 該真空室內的壓力之比的改變。 3 5 ·如申請專利範圍第1項所述之設備,其 噴嘴單元係可調整的用以提供該可加壓的風室內之 該噴嘴出口區的壓力之比的改變。 3 6 ·如申請專利範圍第2項所述之設備,其 一噴嘴插塞具有一最靠近該噴嘴插塞的下部,及一 部相對的上部,其中該下部係朝外傾斜且該上部係 斜。 3 7 ·如申請專利範圍第3 6項所述之設備, 下部的斜度是線性及非線性兩者之一。 3 8 ·如申請專利範圍第3 6項所述之設備, 上部的斜度是線性及非線性兩者之一。 中該等 口區域 用以提 中該等 壓力對 中該等 壓力對 中至少 與該下 朝內傾 其中該 其中該 -- (請先閱讀背面之注意事項再填寫本頁) 、11 f -5- 501168 經濟部智慧財4句,Μ工消費合作社印製 A8 B8 C8 D8 六、申請寻利範圍 3 9 .如申請專利範圍第3 6項所述之設備,其中該 孔是圓柱形且朝向該氣體出口端向內傾斜。 4 0 .如申請專利範圍第3 6項所述之設備,其中該 噴嘴插塞進一步包含一尖端其能夠延伸超越該注入板下表 面。 4 1 _如申請專利範圍第4 〇項所述之設備,其中該 尖端具有一扁平的部分。 4 2 .如申請專利範圍第2 9項所述之設備,其中該 氣體出口區域具有一相關的氣體出口面積,及該環形的喉 部具有一相關的喉部面積,其中該氣體出口面積對該喉部 面積的比率與噴嘴單元的調整是相獨立的。 4 3 .如申請專利範圍第2項所述之設備,其中至少 一噴嘴插塞具有一最靠近該噴嘴插塞的下部,及一與該下 部相對的上部,其中該下插塞部係圓柱形的且該上插塞部 係朝內傾斜,及其中該孔爲圓柱形的除了在該注入板的下 表面有一尖瑞的朝內斜度。 4 4 ·如申請專利範圍第4 3項所述之設備,其中該 噴嘴插塞的斜度及該孔的斜度是非線性的且平滑地改變。 4 5 ·如申請專利範圍第2項所述之設備,其中至少 一噴嘴插塞係朝外傾斜且該孔係朝外地傾斜。 4 6 ,如申請專利範圍第4 5項所述之設備,其中該 孔的斜度係大於或等於該噴嘴插塞的斜度° 4 7 .如申請專利範圍第2 9項所述之設備,其中該 噴嘴喉部係位在該氣體入口端及該氣體出口端兩者之一處 衣紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 、1T -6- 501168 A8 B8 C8 D8 六、申請專利範圍 〇 —1---------__ (請先閲讀背面之注意事項再填寫本頁) 4 8 .如申請專利範圍第2 9項所述之設備,其中該 噴嘴喉部係位在該氣體入口端及該氣體出口端之間。 4 9 .如申請專利範圍第2項所述之設備,其中在整 個氣體注入歧管上之該等噴嘴單元的空間分佈係有變化的 〇 5 0 . —種氣體注入歧管設備,用來控制在一電漿處 理設備的一真空室內的一氣體的音速流,該歧管設備包含 (a ) —背板其具有一或多個穿孔形成於其上,每一 穿孔都具有一上表面,一下表面及第一與第二端; 線 (b ) —噴嘴陣列板,其具有第一與第二端且被安排 成與該背板相鄰並藉由連接件而分別連接至第一及第二端 ,該等連接件,該噴嘴陣列板及該背板界定一可加壓的風 室; (c )多個形成在該噴嘴陣列板上的噴嘴,每一噴嘴 都具有一孔,該孔具有一內壁,一氣體入口區,一氣體出 經濟部智慧財4局员工消費合作社印製 口區,及一位在該氣體入口區與該氣體出口區之間的喉部 〇 5 1 .如申請專利範圍第5 0項所述之設備,其中該 等噴嘴的大小相同。 5 2 ·如申請專利範圍第5 0項所述之設備,其中該 內壁被設計成可在氣體流經該噴嘴時將總壓力損失最小化 〇 衣紙張尺度適用中國國家標隼(CNS ) A4規格(210X297公釐) -7- 501168 A8 B8 C8 D8 六、申請專利範圍 (請先閱讀背面之注意事項再填寫本頁) 5 3 ·如申請專利範圍第5 0項所述之設備,其中該 等噴嘴在該噴嘴出口處的第一壓力與在該真空室中第二壓 力之間被相匹配(m a t c h e d )。 5 4 ·如申請專利範圍第5 0項所述之設備,其中該 等噴嘴是雙圓錐形及內凹形兩者中的至少一者。 5 5 .如申請專利範圍第5 4項所述之設備,其中每 一噴嘴的一第一部分的大小係根據一第一流體條件被設計 及每一噴嘴的一第二部分的大小係根據一第二流體條件被 設計。 5 6 . —種處理一晶圓之電獎處理系統,其包含: a ) —室,其具有一內部區域能夠支持一電漿; b ) —根據申請專利範圍第1項之氣體注入歧管設備 ,其被設置在該室內部區域之內;及 c ) 一用來支撐該晶圓的托盤,其被設置在該室內部 區域之內且與該氣體注入歧管相鄰。 5 7 ·如申請專利範圍第5 6項所述之系統,其更包 含: 經濟部智慧財4AM工消費合作社印製 a ) —氣體供應系統,其與該氣體注入歧管設備成氣 動聯通; b )位移作動器,用來將該等噴嘴插塞位移於該等穿 孔內; c ) 一位移作動器控制單元,其與該等位移作動器成 電氣聯通;及 __d ) —控制單元,其與該^體供應系統及該位移作動 本紙張尺度適用中國國家標準.(CNS ) A4規格(210X 297公釐) -8 - 501168 Α8 Β8 C8 D8 六、申請專利範圍 器控制單元成電氣聯通。 5 8 ·如申請專利範圍第5 7項所述之系統,其更包 含: a) —第一壓力感應器,其被設置在該風室之內並電 氣地連接至該控制單元;及 b) —第二壓力感應器,其被設置在該室內部區域之 內並電氣地連接至該控制單元。 5 9 .如申請專利範圍第5 6項所述之系統,其更包 含一真空系統其與該室內部區域成氣動聯通。 6 0 · —種處理一晶圓之電漿反應器系統,其包含: a ) —電漿反應器室,其具有一內部區域能夠支持一 電漿; b ) —托盤,其被設置於該室內部區域內用來支撐一 將被處理的晶圓; c ) 一如申請專利範圍第1項所述之氣體注入歧管, 其被設置在該內部區域之內與該托盤相鄰且大致與其平行 d ) —氣體供應系統,用來將氣體供應至該氣體注入 歧管; e ) —第一 R F功率來源,其電氣地連接至該線圈或 電極用以產生電漿;及 ί ) 一第二R F功率來源,其電氣地連接至該晶圓托 盤用以在該電漿室內部區域產生一 R F驅動的場域。 6 1 . —種處理在一電漿反應器系統中的晶圓的方法 衣紙張尺度適用中國國家標率(CNS ) Α4規格(210X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂 線泰 經濟部智慧財是局员工消費合作社印製 -9 - 經濟部智慧財4局員工消費合作社印製 501168 A8 B8 C8 D8 六、申請專利範圍 ,其包含的步驟爲: a )將一晶圓置於一電漿反應器室中; b )將該室降壓; c )產生一電漿於該電漿室內;及 d )以音速或更快的速度將一氣體流經多個安排在該 晶圓正上方的噴嘴單元,該氣體以對準的氣束以大致與該 晶圓成直角的角度撞擊該晶圓的整個面積上。 6 2 —種處理一在一真空系統中的晶圓的方法,其包 含的步驟爲: a )將一晶圓置於一真空室中; b )將該室降壓; c )以音速或更快的速度將一氣體流經多個安排在該 晶圓正上方的噴嘴單元,該氣體以對準的氣束以大致與該 晶圓成直角的角度撞擊該晶圓的整個面積上。 6 3 · —種處理在一電漿反應器系統中的晶圓的方法 ,該系統具有一室其具有一能夠支持一電漿的內部區域, 該方法包含的步驟爲: a )提供一被安排成於該晶圓相鄰的氣體注入歧管, 其具有多個可調整的噴嘴單元其能夠控制流經其間的氣體 流·, b)將氣體流入該氣體注入歧管;及 c )藉由調整一或多個噴嘴單元來調整從該注入歧管 至該室內部區域中並朝向該晶圓的氣體流,使得來自於該 一或多個被調整的噴嘴單元的氣體流爲壓力相匹配,膨脹 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 訂 線 (請先閲讀背面之注意事項再填寫本頁) -10- 經濟部智慧財4局員工消費合作社印製 501168 A8 B8 C8 D8 六、申請專利範圍 不足及過度膨脹中的一者。 6 4 ·如申請專利範圍第6 3項所述的方法,其更包 含的步驟爲: d )監視在該室內部區域及該氣體注入歧管內的壓力 •,及 e )根據步自驟d )的壓力監視來調整流到該室中的 氣體流。 6 5 ·如申請專利範圍第6 4項所述的方法,其中步 驟(c )包括改變氣體流至不同的晶圓區域。 6 6 .如申請專利範圍第6 4項所述的方法,其中該 氣體注入歧管包含一可加壓的風室其被分成多個風室區段 ,其中流至每一風室區段的氣體流係被獨立地控制用以改 變氣體流至不同的晶圓區域。 6 7 ·如申請專利範圍第6 4項所述的方法,其中提 供氣體注入歧管的步驟a )的歧管具有曝露於該電漿下的 表面,其是由可被該電漿消耗的材質所製成的。 6 8 .如申請專利範圍第6 4項所述的方法,其中流 入該室內部區域的氣體流係根據與一儲存在一資料庫中的 許多處理條件中的一者相符的資訊而被控制的。 6 9 . —種處理在一電漿反應器系統中的晶圓的方法 ,該系統具有一室其具有一能夠支持一電漿的內部區域, 該方法包含的步驟爲: a )提供一被安排成於該晶圓相鄰的氣體注入歧管, 該歧管包含多個噴嘴,氣體可流經該等噴嘴到達該晶圓, 本G張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) "" IT (請先閲讀背面之注意事項再填寫本頁) -11 - 501168 A8 B8 C8 D8 六、申請專利範圍 對於一給定的處理條件組而言,該等噴嘴被作成可將氣體 流最佳化的大小;及 b )將氣體流經該氣體注入歧管用以以大致垂直的角 度撞擊該晶圓。 7 〇 .如申請專利範圍第6 9項所述之方法,其中步 驟a )包括提供一第一組噴嘴其大小係根據一第一流體條 件被設計及一第一組噴嘴其大小係根據一第二流體條件被 設計。 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財4局3(工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -12-
TW090107369A 2000-03-30 2001-03-28 Method of and apparatus for tunable gas injection in a plasma processing system TW501168B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US19323100P 2000-03-30 2000-03-30

Publications (1)

Publication Number Publication Date
TW501168B true TW501168B (en) 2002-09-01

Family

ID=22712753

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090107369A TW501168B (en) 2000-03-30 2001-03-28 Method of and apparatus for tunable gas injection in a plasma processing system

Country Status (5)

Country Link
US (1) US6872259B2 (zh)
JP (1) JP2003529926A (zh)
AU (1) AU2001247685A1 (zh)
TW (1) TW501168B (zh)
WO (1) WO2001075188A2 (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI381439B (zh) * 2005-03-02 2013-01-01 Tokyo Electron Ltd Gas supply structure and plasma processing device
CN104241070A (zh) * 2013-06-24 2014-12-24 中微半导体设备(上海)有限公司 用于感应耦合等离子体腔室的气体注入装置
TWI498988B (zh) * 2008-02-20 2015-09-01 Tokyo Electron Ltd A gas supply device, a film forming apparatus, and a film forming method
CN105765697A (zh) * 2013-11-26 2016-07-13 应用材料公司 用于批处理的倾斜板及其使用方法
CN105580103B (zh) * 2013-09-24 2018-05-11 应用材料公司 用于对工艺腔室的控制气体供应的方法、用于控制对工艺腔室的气体供应的控制器以及设备
TWI641291B (zh) * 2010-08-06 2018-11-11 蘭姆研究公司 用以產生電漿之系統、方法及設備
TWI642329B (zh) * 2016-10-26 2018-11-21 中微半導體設備(上海)有限公司 氣體流量調節裝置、icp蝕刻設備、噴嘴及氣體流量調節方法
TWI735479B (zh) * 2015-11-13 2021-08-11 美商蘭姆研究公司 氣動式排氣系統
CN114121582A (zh) * 2020-08-27 2022-03-01 中微半导体设备(上海)股份有限公司 等离子体处理装置及其工作方法
TWI777288B (zh) * 2019-12-10 2022-09-11 大陸商中微半導體設備(上海)股份有限公司 等離子體處理設備及其氣體擋板結構、等離子體處理方法
TWI778989B (zh) * 2016-11-09 2022-10-01 美商蘭姆研究公司 主動式噴淋頭、半導體處理系統、電漿反應器及電漿系統

Families Citing this family (608)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
JP2003529926A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6896737B1 (en) * 2000-08-28 2005-05-24 Micron Technology, Inc. Gas delivery device for improved deposition of dielectric material
WO2002101116A1 (en) * 2001-06-07 2002-12-19 Tokyo Electron Limited Method of and apparatus for tailoring an etch profile
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US20030042227A1 (en) * 2001-08-29 2003-03-06 Tokyo Electron Limited Apparatus and method for tailoring an etch profile
US20030140857A1 (en) * 2002-01-28 2003-07-31 Applied Materials, Inc. Apparatus and method for low pressure CVD deposition of tungsten and tungsten nitride
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US20040040502A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
JP2004143521A (ja) * 2002-10-24 2004-05-20 Sony Corp 薄膜形成装置
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US6864174B2 (en) * 2003-03-20 2005-03-08 Taiwan Semiconductor Manufacturing Co., Ltd Iteratively selective gas flow control and dynamic database to achieve CD uniformity
KR100500470B1 (ko) * 2003-03-31 2005-07-12 삼성전자주식회사 고주파 파워를 이용하는 반도체소자 제조설비의 공정가스공급장치와 공정가스 공급시스템 및 그 방법
DE10317027A1 (de) * 2003-04-11 2004-11-11 Leybold Optics Gmbh Hochfrequenz-Plasmastrahlquelle und Verfahren zum Bestrahlen einer Oberfläche
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
KR100541050B1 (ko) * 2003-07-22 2006-01-11 삼성전자주식회사 가스공급장치 및 이를 이용한 반도체소자 제조설비
US20050098106A1 (en) * 2003-11-12 2005-05-12 Tokyo Electron Limited Method and apparatus for improved electrode plate
JP2005149956A (ja) * 2003-11-17 2005-06-09 Ulvac Japan Ltd 大面積高均一プラズマ処理方法及び装置
JP4074593B2 (ja) * 2004-02-26 2008-04-09 東京エレクトロン株式会社 減圧乾燥装置及び減圧乾燥方法
US20050202291A1 (en) * 2004-03-09 2005-09-15 Schweizer Patrick M. Shutter mechanism for fuel cell
JP4202292B2 (ja) * 2004-03-22 2008-12-24 シャープ株式会社 プラズマ処理装置
US20050220568A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for fastening components used in plasma processing
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US8349128B2 (en) * 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
JP2008506273A (ja) * 2004-07-12 2008-02-28 アプライド マテリアルズ インコーポレイテッド ガス拡散器湾曲によるプラズマ均一性の制御
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR101241922B1 (ko) * 2005-06-22 2013-03-11 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 통합 가스 배합 장치 및 방법
US7943204B2 (en) 2005-08-30 2011-05-17 Advanced Technology Materials, Inc. Boron ion implantation using alternative fluorinated boron precursors, and formation of large boron hydrides for implantation
US7703479B2 (en) * 2005-10-17 2010-04-27 The University Of Kentucky Research Foundation Plasma actuator
JP4344949B2 (ja) * 2005-12-27 2009-10-14 セイコーエプソン株式会社 シャワーヘッド、シャワーヘッドを含む成膜装置、ならびに強誘電体膜の製造方法
US20070151516A1 (en) * 2006-01-03 2007-07-05 Law Kam S Chemical vapor deposition apparatus and electrode plate thereof
US8097120B2 (en) 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
JP2007317988A (ja) * 2006-05-29 2007-12-06 Shin Etsu Handotai Co Ltd 貼り合わせウエーハの製造方法
DK1868269T3 (da) * 2006-06-13 2009-01-12 Softal Elektronik Gmbh Elektrodeanordning
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080113107A1 (en) 2006-11-09 2008-05-15 Stowell Michael W System and method for containment shielding during pecvd deposition processes
US7922863B2 (en) * 2006-12-22 2011-04-12 Applied Materials, Inc. Apparatus for integrated gas and radiation delivery
US7988103B2 (en) * 2007-01-19 2011-08-02 John Hopkins University Solid state supersonic flow actuator and method of use
JP2008192642A (ja) * 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
CN101647103B (zh) * 2007-03-27 2012-05-23 佳能安内华股份有限公司 真空处理装置
US20080241377A1 (en) * 2007-03-29 2008-10-02 Tokyo Electron Limited Vapor deposition system and method of operating
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
SG188150A1 (en) 2008-02-11 2013-03-28 Advanced Tech Materials Ion source cleaning in semiconductor processing systems
GB2457708B (en) * 2008-02-22 2010-04-14 Microsaic Systems Ltd Mass spectrometer system
KR101404010B1 (ko) * 2008-03-06 2014-06-12 주성엔지니어링(주) 기판 가장자리 식각장치 및 이를 이용한 기판 가장자리식각방법
US8291856B2 (en) * 2008-03-07 2012-10-23 Tokyo Electron Limited Gas heating device for a vapor deposition system
WO2009120859A1 (en) * 2008-03-26 2009-10-01 Gt Solar, Inc. Gold-coated polysilicon reactor system and method
EP2271788A2 (en) 2008-03-26 2011-01-12 GT Solar Incorporated Systems and methods for distributing gas in a chemical vapor deposition reactor
US8252114B2 (en) * 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
JP4864057B2 (ja) * 2008-09-04 2012-01-25 シャープ株式会社 気相成長装置及び気相成長方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9714465B2 (en) * 2008-12-01 2017-07-25 Applied Materials, Inc. Gas distribution blocker apparatus
US20100233353A1 (en) * 2009-03-16 2010-09-16 Applied Materials, Inc. Evaporator, coating installation, and method for use thereof
US8931431B2 (en) * 2009-03-25 2015-01-13 The Regents Of The University Of Michigan Nozzle geometry for organic vapor jet printing
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8147614B2 (en) * 2009-06-09 2012-04-03 Applied Materials, Inc. Multi-gas flow diffuser
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
CN102754190B (zh) * 2009-07-15 2015-09-02 应用材料公司 Cvd腔室的流体控制特征结构
US20110021011A1 (en) 2009-07-23 2011-01-27 Advanced Technology Materials, Inc. Carbon materials for carbon implantation
JP5336968B2 (ja) * 2009-07-30 2013-11-06 東京エレクトロン株式会社 プラズマ処理装置用電極及びプラズマ処理装置
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8598022B2 (en) 2009-10-27 2013-12-03 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP5721132B2 (ja) 2009-12-10 2015-05-20 オルボテック エルティ ソラー,エルエルシー 真空処理装置用シャワーヘッド・アセンブリ及び真空処理装置用シャワーヘッド・アセンブリを真空処理チャンバに締結する方法
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5735232B2 (ja) * 2010-08-02 2015-06-17 株式会社イー・エム・ディー プラズマ処理装置
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
US10283321B2 (en) * 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9175391B2 (en) * 2011-05-26 2015-11-03 Intermolecular, Inc. Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
SG11201402447TA (en) 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
US9941100B2 (en) * 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) * 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
DE102012111218A1 (de) * 2012-11-21 2014-05-22 Emdeoled Gmbh Materialabgabekopf, Materialabgabeeinrichtung und Verfahren
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9328420B2 (en) * 2013-03-14 2016-05-03 Sunedison Semiconductor Limited (Uen201334164H) Gas distribution plate for chemical vapor deposition systems and methods of using same
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
KR102102787B1 (ko) * 2013-12-17 2020-04-22 삼성전자주식회사 기판 처리 장치 및 블록커 플레이트 어셈블리
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US11015244B2 (en) 2013-12-30 2021-05-25 Advanced Material Solutions, Llc Radiation shielding for a CVD reactor
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US10450649B2 (en) 2014-01-29 2019-10-22 Gtat Corporation Reactor filament assembly with enhanced misalignment tolerance
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
CN110724938B (zh) * 2014-05-16 2022-02-22 应用材料公司 喷头设计
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR101670296B1 (ko) * 2014-10-28 2016-10-28 최도현 파티클 저감 구조를 갖는 플라즈마 챔버
US10101664B2 (en) 2014-11-01 2018-10-16 Kla-Tencor Corporation Apparatus and methods for optics protection from debris in plasma-based light source
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
FR3029939A1 (fr) * 2014-12-16 2016-06-17 Saint-Gobain Lumilog Reacteur de depot chimique en phase vapeur
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6545053B2 (ja) * 2015-03-30 2019-07-17 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
JP6811732B2 (ja) * 2015-06-17 2021-01-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 処理チャンバ中のガス制御
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
WO2017062087A1 (en) 2015-10-08 2017-04-13 Applied Materials, Inc. Showerhead with reduced backside plasma ignition
US10233543B2 (en) 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10533251B2 (en) * 2015-12-31 2020-01-14 Lam Research Corporation Actuator to dynamically adjust showerhead tilt in a semiconductor processing apparatus
WO2017119074A1 (ja) 2016-01-06 2017-07-13 東芝三菱電機産業システム株式会社 ガス供給装置
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US9758868B1 (en) * 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
DE102016108845A1 (de) * 2016-05-12 2017-11-16 Stephan Wege Gasinjektor für Reaktorbereiche
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
EP3468309B1 (en) * 2016-05-27 2020-10-21 Toshiba Mitsubishi-Electric Industrial Systems Corporation Active gas generation device
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
WO2018020626A1 (ja) * 2016-07-28 2018-02-01 株式会社 日立ハイテクノロジーズ 荷電粒子線装置
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
JP6796450B2 (ja) * 2016-10-25 2020-12-09 東京エレクトロン株式会社 プラズマ処理装置
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
JP6855958B2 (ja) * 2017-06-23 2021-04-07 三菱マテリアル株式会社 プラズマ処理装置用電極板およびプラズマ処理装置用電極板の製造方法
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
JP6813459B2 (ja) * 2017-09-08 2021-01-13 キオクシア株式会社 プラズマ処理装置
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US11015247B2 (en) * 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
KR102515110B1 (ko) * 2018-01-29 2023-03-28 주성엔지니어링(주) 기판처리장치
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US20210159094A1 (en) * 2018-05-03 2021-05-27 Applied Materials, Inc. Universal adjustable blocker plate for flow distribution tuning
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102329735B1 (ko) * 2018-08-24 2021-11-22 주식회사 엘지화학 코팅기
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
CN111312411B (zh) * 2018-12-11 2022-10-21 核工业西南物理研究院 液化惰性气体射流注入防护等离子体破裂的方法
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
CN113924386A (zh) 2019-05-15 2022-01-11 应用材料公司 用于处理系统的动态多区流动控制
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US20220134359A1 (en) * 2020-10-30 2022-05-05 Kabushiki Kaisha Toshiba Rectifying plate, fluid-introducing apparatus, and film-forming apparatus
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11692267B2 (en) 2020-12-31 2023-07-04 Applied Materials, Inc. Plasma induced modification of silicon carbide surface
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023043453A1 (en) * 2021-09-17 2023-03-23 Applied Materials, Inc. One side anodization of diffuser
CN113801360B (zh) * 2021-11-18 2022-03-04 赛宁(苏州)生物科技有限公司 一种用于实现低吸附塑料移液吸头的表面处理方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3589394A (en) * 1969-03-11 1971-06-29 Deggendorfer Werft Eisenbau Device for distributing flow media over several passage openings
DE1913013A1 (de) * 1969-03-14 1971-04-01 Aga Ab Vorrichtung zur Erzeugung eines gleichmaessigen Gasdruckes
JPS58176196A (ja) * 1982-04-06 1983-10-15 Matsushita Electric Ind Co Ltd 化合物結晶成長装置
JPS62183111A (ja) * 1986-02-06 1987-08-11 Fuji Electric Co Ltd レ−ザcvd装置
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4780169A (en) 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
JPH02198138A (ja) 1989-01-27 1990-08-06 Nec Corp 平行平板型ドライエッチング装置の電極板
DE4018954A1 (de) 1989-06-15 1991-01-03 Mitsubishi Electric Corp Trockenaetzgeraet
US5269847A (en) 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
US5174825A (en) * 1990-08-23 1992-12-29 Texas Instruments Incorporated Uniform gas distributor to a wafer
US5286331A (en) 1991-11-01 1994-02-15 International Business Machines Corporation Supersonic molecular beam etching of surfaces
JPH06295862A (ja) * 1992-11-20 1994-10-21 Mitsubishi Electric Corp 化合物半導体製造装置及び有機金属材料容器
JPH06204181A (ja) 1992-12-29 1994-07-22 Ibiden Co Ltd プラズマエッチング用電極板
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5683517A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Plasma reactor with programmable reactant gas distribution
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
KR100201386B1 (ko) 1995-10-28 1999-06-15 구본준 화학기상증착장비의 반응가스 분사장치
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5885358A (en) 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
KR100469047B1 (ko) * 1997-04-11 2005-01-31 동경 엘렉트론 주식회사 처리장치, 상부전극유니트와 그 사용방법 및 전극유니트와 그 제조방법
US6315858B1 (en) * 1998-03-18 2001-11-13 Ebara Corporation Gas polishing apparatus and method
JP3668079B2 (ja) * 1999-05-31 2005-07-06 忠弘 大見 プラズマプロセス装置
JP2003529926A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
KR100413482B1 (ko) * 2001-06-12 2003-12-31 주식회사 하이닉스반도체 화학적 강화제(ce) 처리 챔버

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI381439B (zh) * 2005-03-02 2013-01-01 Tokyo Electron Ltd Gas supply structure and plasma processing device
TWI498988B (zh) * 2008-02-20 2015-09-01 Tokyo Electron Ltd A gas supply device, a film forming apparatus, and a film forming method
TWI641291B (zh) * 2010-08-06 2018-11-11 蘭姆研究公司 用以產生電漿之系統、方法及設備
CN104241070A (zh) * 2013-06-24 2014-12-24 中微半导体设备(上海)有限公司 用于感应耦合等离子体腔室的气体注入装置
CN105580103B (zh) * 2013-09-24 2018-05-11 应用材料公司 用于对工艺腔室的控制气体供应的方法、用于控制对工艺腔室的气体供应的控制器以及设备
CN105765697A (zh) * 2013-11-26 2016-07-13 应用材料公司 用于批处理的倾斜板及其使用方法
TWI735479B (zh) * 2015-11-13 2021-08-11 美商蘭姆研究公司 氣動式排氣系統
TWI642329B (zh) * 2016-10-26 2018-11-21 中微半導體設備(上海)有限公司 氣體流量調節裝置、icp蝕刻設備、噴嘴及氣體流量調節方法
TWI778989B (zh) * 2016-11-09 2022-10-01 美商蘭姆研究公司 主動式噴淋頭、半導體處理系統、電漿反應器及電漿系統
TWI777288B (zh) * 2019-12-10 2022-09-11 大陸商中微半導體設備(上海)股份有限公司 等離子體處理設備及其氣體擋板結構、等離子體處理方法
CN114121582A (zh) * 2020-08-27 2022-03-01 中微半导体设备(上海)股份有限公司 等离子体处理装置及其工作方法
CN114121582B (zh) * 2020-08-27 2023-10-31 中微半导体设备(上海)股份有限公司 等离子体处理装置及其工作方法

Also Published As

Publication number Publication date
WO2001075188A2 (en) 2001-10-11
JP2003529926A (ja) 2003-10-07
US6872259B2 (en) 2005-03-29
WO2001075188A3 (en) 2002-05-23
US20030019580A1 (en) 2003-01-30
AU2001247685A1 (en) 2001-10-15

Similar Documents

Publication Publication Date Title
TW501168B (en) Method of and apparatus for tunable gas injection in a plasma processing system
US11476093B2 (en) Plasma etching systems and methods with secondary plasma injection
US7666479B2 (en) Apparatus and method of gas injection sequencing
JP2770753B2 (ja) プラズマ処理装置およびプラズマ処理方法
KR101941828B1 (ko) 플라즈마 에칭 프로세스를 위한 급속하고 균일한 가스 스위칭
US7976673B2 (en) RF pulsing of a narrow gap capacitively coupled reactor
JP6140927B2 (ja) 成分送給機構、プラズマリアクタ、及び、半導体基板を処理する方法
US8169148B2 (en) Plasma generating apparatus
US6417111B2 (en) Plasma processing apparatus
US5647912A (en) Plasma processing apparatus
JPH07142449A (ja) プラズマエッチング装置
CN108292603B (zh) 气体供给装置
US11361945B2 (en) Plasma processing apparatus, processing system, and method of etching porous film
US9111727B2 (en) Plasma tuning rods in microwave resonator plasma sources
JPH1074600A (ja) プラズマ処理装置
US7744720B2 (en) Suppressor of hollow cathode discharge in a shower head fluid distribution system
KR100914398B1 (ko) 플라즈마 기판 처리 장치
KR20220168428A (ko) 유도 결합형 플라즈마 생성 장치
CN101211687B (zh) 电感耦合线圈及应用该线圈的电感耦合等离子体装置
CN111146063B (zh) 一种等离子体反应腔进气系统
US10522372B2 (en) Plasma processing device
US9396955B2 (en) Plasma tuning rods in microwave resonator processing systems
JP2005223079A (ja) 表面波励起プラズマcvd装置
US9728416B2 (en) Plasma tuning rods in microwave resonator plasma sources
US20220068607A1 (en) Gas Cluster Assisted Plasma Processing

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees