TWI641291B - 用以產生電漿之系統、方法及設備 - Google Patents

用以產生電漿之系統、方法及設備 Download PDF

Info

Publication number
TWI641291B
TWI641291B TW100126801A TW100126801A TWI641291B TW I641291 B TWI641291 B TW I641291B TW 100126801 A TW100126801 A TW 100126801A TW 100126801 A TW100126801 A TW 100126801A TW I641291 B TWI641291 B TW I641291B
Authority
TW
Taiwan
Prior art keywords
plasma
chamber
annular
processing
chambers
Prior art date
Application number
TW100126801A
Other languages
English (en)
Other versions
TW201223342A (en
Inventor
阿里 山吉
理查 古思喬
桑希爾 班薩若克
安德魯 寇威
希達哈斯P 那嘉卡地
威廉R 安特力
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201223342A publication Critical patent/TW201223342A/zh
Application granted granted Critical
Publication of TWI641291B publication Critical patent/TWI641291B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/12Actuating devices; Operating means; Releasing devices actuated by fluid
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B31/00Electric arc lamps
    • H05B31/02Details
    • H05B31/26Influencing the shape of arc discharge by gas blowing devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Software Systems (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • Artificial Intelligence (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Evolutionary Computation (AREA)
  • Medical Informatics (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electron Sources, Ion Sources (AREA)

Abstract

一種電漿源包含環狀電漿腔室、圍繞該環狀電漿腔室之外部的主線圈、複數個鐵磁體,其中該環狀電漿腔室通過該鐵磁體之各者及將該電漿腔室耦合至處理腔室的複數個電漿腔室出口。一種用以產生電漿的系統及方法亦被描述。

Description

用以產生電漿之系統、方法及設備
本發明大致上和電漿反應腔室有關,且尤其和獨立於晶圓處理腔室的電漿反應腔室用的方法、系統及設備有關。
圖1A為典型的平行板電容式電漿處理腔室100之側視圖。圖1B為在典型的平行板電容式電漿處理腔室100中處理的基板102之俯視圖。典型的電漿處理腔室100包含頂電極104、用以支持待處理基板102之基板支持件106。基板支持件106也可為底電極。一般說來,頂電極104為具有複數個入口埠109的噴淋頭型式電極。複數個入口埠109允許處理氣體110進入處理腔室100的寬度範圍內。
典型的平行板電容式電漿處理腔室100係用以處理圓形平面基板。一般程序為介電蝕刻及其他蝕刻程序。此種電漿反應器典型地因中性物種固有的中心至邊緣不均勻性而受害。
雖然這些系統運作良好,但是一些系統產生中性物種之中心至邊緣不均勻性,其源自於將存在於基板中心的流速、有效氣體滯留時間、及一或多種氣體化學作用與存在於基板邊緣的流速、有效氣體滯留時間、及一或多種氣體化學作用比較時之差異。一或多種氣體化學作用可由氣相解離、交換及重組反應所造成。
舉例來說,當製程氣體被導入處理腔室之寬度範圍內時,電漿112在頂電極104及基板支持件106之間形成。電漿副產物118藉電漿112中的自由基和中性粒子與基板102之表面反應而形成。電漿副產物118被抽至基板之側面並至泵108內。電漿副產物可包含一或多種解離反應(如CF4+e-→CF3+F+e-)及/或一或多種離子化(如CF4+e-→CF3 ++F)及/或一或多種激發作用(如Ar→Ar++e-)及/或一或多種附著作用(如CF4+e-→CF3+F-)及/或一或多種二元化反應(如CF3+H→CF2+HF)。
電漿副產物118亦可包含含有蝕刻劑、F、CFx、SiF2、SiF4、 Co、CO2的蝕刻副產物。蝕刻副產物亦可在電漿112中解離。
重組亦發生於電漿處理期間。重組產生重組產物120。重組典型地發生在來自電漿112的自由基和中性粒子撞擊如頂電極104之底表面的表面時。而後,如電漿副產物118般,重組產物120被抽至基板102之側面而至泵108內。電漿重組產物120可包含一或更多壁或表面反應(如F+CF→CF2、及/或H+H→H2、及/或O+O→O2、及/或N+N→N2)。電漿重組產物120亦可包含沉積,其中CFx於腔室100之壁或其他內部表面之上形成聚合物。
吾人應注意,如圖1A所示,電漿副產物被抽至基板102之一側,而重組產物120被抽至基板102之相對側,僅係為了清晰起見。在實際實施中,熟悉本技術領域者將瞭解重組產物120及電漿副產物118二者係互相混合並被抽至基板102之兩側而至泵108或其他裝置內。
當電漿處理發生時,重組產物120及電漿副產物118之濃度在基板102之中心到其邊緣的範圍內改變。所以,在電漿112中的處理氣體、自由基及中性物種濃度也隨之改變。因此,如此例中之蝕刻的有效電漿處理在基板102之中心到其邊緣的範圍內變化。然而,有一些腔室配置及結構可供使用來減少或控制電漿。
以此控制,電漿自由基及中性物種在基板102的中心部102A上方的中心電漿處理區域114A及116A中最為集中。進一步而言,自由基及中性物種之濃度在基板102的中間部102B上方的中間電漿處理區域114B及116B中略為較不集中。更進一步,自由基及中性物種之濃度在基板102的邊緣部102C上方的邊緣電漿處理區域114C及116C中更為稀薄並較不集中。
因此,相較於電漿處理在基板102之中間部102B上方的中間電漿處理區域114B及116B中稍較慢發生且在基板102之邊緣部102C上方的邊緣電漿處理區域114C及116C中更慢發生,電漿處理在基板102之中心部102A上方的中心電漿處理區域114A及116A中最快發生。這導致基板102的中心至邊緣不均勻性。
此中心至邊緣不均勻性在具有極大寬高比的小體積產物電漿處理腔室中更為惡化。舉例來說,極大寬高比係定義為當基板寬度W為電漿處理區域高度H之約四或更多倍時。電漿處理區域之極大寬高比使電漿處理區域114A-116C中的電漿副產物118及重組產物120更加濃縮。
雖然此中性物種的中心至邊緣不均勻性並非為中心至邊緣處理均勻性的唯一原因,但是在許多的介電蝕刻應用中,其為重要的促成因素。具體而言,如閘極或位元線遮罩開啟、低k膜上的光阻剝除、高度選擇性接點/單元及通孔蝕刻一類的中性粒子依賴處理可能會對這些效果尤其敏感。除了用在晶圓介電蝕刻的平行板電漿反應器之外,類似的問題可能作用於其他的平行板電漿反應器中。
鑑於上述內容,而有改良電漿蝕刻處理中的中心至邊緣的均勻性的需求。
大體來說,本發明藉由提供分散式多區域電漿源來滿足這些需求。吾人應瞭解,本發明可以多種方式實施,包含作為製程、設備、系統、電腦可讀取媒體、或裝置。本發明之數種發明實施例係於下描述。
一實施例提供包含環狀電漿腔室、圍繞環狀電漿腔室之外部的主線圈、複數個鐵磁體、及將電漿腔室耦合至處理腔室的複數個電漿腔室出口的電漿源,其中環狀電漿腔室通過各鐵磁體。各電漿腔室出口具有各自的電漿限制件。
電漿限制件可具有約0.1 mm及約2.0 mm之間的直徑。電漿限制件可具有小於或等於兩倍電漿鞘厚度之直徑。電漿限制件可具有足以提供電漿腔室及處理腔室之間大於約1.5比1的壓差的直徑。電漿限制件可被耦合至偏壓供應器。
電漿源可包含將處理氣體源耦合至電漿腔室的至少一處理氣體入口。電漿源亦可包含耦合於至少一處理氣體入口的處理氣體流量控制裝置。
鐵磁體可實質上平均分佈於環狀電漿腔室之圓周周圍。可將鐵磁體分為環狀電漿腔室之圓周周圍的群組。環狀電漿腔室可為由實質圓形、實質三角形、實質矩形、或實質多邊形所組成的一組形狀其中之一者。
另一實施例提供產生電漿的方法。該方法包含運送處理氣體至環狀電漿腔室內;施加主電流至圍繞環狀電漿腔室之外部的主線圈;在主線圈中產生磁場;以複數個鐵磁體濃集磁場。環狀電漿腔室通過各鐵磁體。副電流係於環狀電漿腔室中的處理氣體中感應,且電漿係以副電流於環狀電漿腔室中的處理氣體中產生。電漿係以將電漿腔室耦合至處理腔室的複數個電漿腔室出口之各者中的電漿限制件限制於環狀電漿腔室中。
該方法亦可包含經由出口埠運送中性物種及自由基物種之至少一者至處理腔室。電漿限制件亦可被施加偏壓。
該方法亦可包含在環狀電漿腔室中調變流量、壓力、及/或偏壓之至少一者。該方法亦可包含接收來自至少一處理監測感測器的處理回饋信號並調整流量、壓力、及/或偏壓之至少一者的至少一設定點。
另一實施例提供包含環狀電漿腔室、圍繞環狀電漿腔室之外部的主線圈、複數個鐵磁體的電漿處理系統,其中環狀電漿腔室通過各鐵磁體。複數個電漿腔室出口將電漿腔室耦合至處理腔室,各電漿腔室出口具有各自的電漿限制件。該系統亦包含至少一處理監測感測器及控制器。該控制器包含用以運送處理氣體至環狀電漿腔室中的邏輯、用以施加主電流至圍繞環狀電漿腔室的外部之主線圈的邏輯、用以在主線圈中產生磁場的邏輯、用以利用鐵磁體濃集磁場的邏輯、用以在環狀電漿腔室中的處理氣體中感應副電流的邏輯、用以利用副電流在環狀電漿腔室中的處理氣體中產生電漿的邏輯、用以接收來自至少一處理監測感測器的處理回饋信號的邏輯、及用以調整至少一設定點的邏輯。
另一實施例提供用以處理基板之電漿系統。該系統包含具有基座、複數個側壁、鄰近於基座的基板支持件、及接合於側壁以包圍處理腔室之腔室頂部的處理腔室。該系統亦包含複數個鐵磁體及複數個電漿腔室出口。鐵磁體係置於腔室頂部上方,使得鐵磁體係於基板支持件之區域上方分佈,該區域至少在基板支持件之外部及基板支持件之中心部之間延伸。電漿腔室出口將電漿腔室耦合至處理腔室,每一電漿腔室出口具有各自的電漿限制件。電漿系統亦可包含用於沿著主線圈提供電流的電源供應器,鐵磁體在基板支持件之區域上方濃集磁場。
本發明之其他態樣及優點將由以下例示說明本發明之原理的詳細敘述結合隨附圖式而變得更加明白。
現將描述用於分散式多區域電漿源系統、方法及設備的數種示範性實施例。對於熟悉本技術領域者將顯而易見,可在不具有此處所提出的具體細節之一部份或全部的情況下實施本發明。
圖2A為依據本發明之一實施例的電漿源200之立體圖。電漿源200包含處理氣體入口206、複數個鐵磁體204、電漿源頂部208及腔室頂部202。吾人應明瞭,可自所示者對電漿源200之元件202-208的具體配置加以修改。舉例來說,可將腔室頂部202及電漿源頂部208組合成處理腔室230之單一外罩。
圖2B為依據本發明之一實施例的電漿源200之俯視圖。圖2C為依據本發明之一實施例的電漿源200沿著圖2B之2C-2C的剖視圖。圖2D為依據本發明之一實施例的電漿源200之立體剖視圖。圖2E為依據本發明之一實施例的裝設在處理腔室230上的電漿源200之立體圖。處理氣體充氣部212係顯示為自處理氣體入口206供應的處理氣體之分散充氣部。
處理氣體110流入處理氣體入口206內而至處理氣體充氣部212。處理氣體充氣部212將處理氣體110分散至入口埠212A。入口埠212A將處理氣體110引導至電漿腔室210內。可將處理氣體入口埠212A對齊於電漿腔室出口220或自電漿腔室出口220 偏移。處理氣體入口埠212A及/或電漿腔室出口220可位於鐵磁體204之間、或對齊鐵磁體、或以上兩種位置之組合。
鐵磁體204在選定間隔纏繞電漿腔室210。鐵磁體204濃集磁場,該磁場足以導致鄰近各鐵磁體中心的電場強到足以支持在電漿腔室210中之對應點上的電漿。
鐵磁體204係顯示為實質方形,然而,將如下所示,鐵磁體可為其他形狀。鐵磁體204係顯示為以複數個零件224A、224B、224C、224D所製成,然而鐵磁體可以一或更多零件製成。複數個鐵磁體零件224A、224B、224C、224D係依需求實質上彼此靠近來濃集鄰近各鐵磁體204之中心的電場。鐵磁體204係顯示成相關於腔室頂部202而散佈。處理腔室230具有側壁230’及基座230”。基板支持件106係於基座230”之上或接近或鄰近於基座230”。
電漿腔室出口220係顯示為將電漿腔室210耦合至腔室頂部202下方的處理腔室230。電漿腔室出口220自電漿腔室210運送電漿及/或自由基及/或中性物種進入處理腔室230。
圖2I顯示依據本發明之實施例的電漿腔室出口220的複數個剖視圖。圖2J為依據本發明之實施例的複數個電漿腔室出口220的處理腔室視圖。電漿腔室出口220可為具有期望寬度之實質上矩形剖面形狀的直通之實質上圓柱形。電漿腔室出口220可包含選用性圓錐形出口220A。選用性圓錐形出口220A可提供使流動平滑及/或自電漿腔室出口220分散流動。電漿腔室出口220亦可包含其他選用性形狀。舉例來說,電漿腔室出口220可包含更大寬度之相同形狀的出口220B或更小寬度之相同形狀的出口220F。電漿腔室出口220可包含選用性曲線形或碗形出口220E、220C。選用性曲線形或碗形出口220E、220C可在如出口220C之最寬點或如出口220E之小於最寬點的較窄點具有開口。選用性圓錐形出口可為截頭圓錐形出口220D。
選用性電漿限制件214亦如圖示。選用性電漿限制件214可用來提供電漿腔室210及處理腔室230之間的期望壓力差。選用 性電漿限制件214亦可夠小且/或受偏壓,以實質上預防電漿從電漿腔室210通行至處理腔室230。此外,電漿限制件可受到偏壓以自電漿腔室210抽出離子,並拉引離子進入處理腔室內而後至晶圓上。舉例來說,選用性電漿限制件214可具有小於或等於兩倍電漿鞘厚度之直徑,且因此電漿鞘可防止電漿通過選用性電漿限制件。選用性電漿限制件214可具有約0.1mm及約2.0mm(如0.1mm、0.2mm、0.5mm、1.0mm、2.0mm)之間的選定直徑。吾人應注意,選用性電漿限制件214之縱橫比可用來調整電漿限制之有效性。舉例而言,具有較高之縱橫比(即長度/寬度)的電漿限制件214可在對於中性或自由基物種運輸上具有最小的衝擊時實質上限制電漿。吾人亦應明瞭,亦可使用較大直徑的出口孔。舉例來說,可省略選用性電漿限制件214,且有效之限制即為電漿腔室出口220之寬度。電漿腔室出口220之寬度係可實質上足夠寬以容許在電漿腔室210及處理腔室230兩者中實質相等的壓力。
選用性電漿限制件可如選用性電漿限制件214位於沿著電漿腔室出口220長度之實質中心。亦或是,選用性電漿限制件可如選用性電漿限制件214’實質上位於出口220之電漿腔室210端。亦或是,選用性電漿限制件可如選用性電漿限制件214”實質上位於出口220之處理腔室230端。吾人應明瞭,選用性電漿限制件214可位於出口220之電漿腔室210端及出口220之處理腔室230端之間沿著出口220長度的任何地方。
如圖2J所示,電漿腔室出口220可為任何適當形狀。舉例來說,實質圓形出口220、實質橢圓形出口220H、實質矩形出口220I、220J、或其他幾何形狀(如三角形出口220K、任意邊數的多邊形出口220L)。電漿腔室出口220可包含具有實質銳稜之出口220I、220K、220L或具有實質曲稜及/或側之出口220J、220M、220N。形狀之組合亦可包含於電漿腔室出口220中。舉例來說,選用性圓錐形出口220A可為比實質圓形出口220A較橢圓形之出口220A’。
腔室頂部202亦可包含一或更多出口234。出口234係耦接至 低壓源(如真空泵402並顯示於圖4A中)。出口234使得低壓源自靠近處理腔室230之中心抽出電漿副產物118及重組產物120。因此,電漿副產物118及重組產物120不干擾電漿410及由處理腔室中的電漿產生的中性物種412。
處理腔室230包含負載埠232及用以支持待處理之基板的支持結構。其他特徵亦可如在本技術領域已為熟知般包含於處理腔室230中。
圖2F及2G為依據本發明之一實施例的裝設在處理腔室230上的電漿源200的額外立體圖。為了額外細節之描述,電漿源頂部208係顯示成被舉起(圖2F)及被移除(圖2G)。電漿腔室210可由不同於電漿源頂部208或處理腔室230之材料所構成。舉例來說,電漿腔室210可為陶瓷而電漿源頂部208或處理腔室230可為陶瓷、金屬(如鋁、鋼、不鏽鋼等等)。凹槽226A及226B係設置成用來支持及裝設鐵磁體204。
如圖2G所示,鐵磁體204係顯示成纏繞電漿腔室210之外部。電漿腔室210可由陶瓷或其他介電材料(如石英、矽土(SiO2)、礬土(Al2O3)、青玉(Al2O3)、氮化鋁(AlN)、氧化釔(Y2O3)及/或相似材料及其組合)之類的介電質所形成。
圖2H為依據本發明之一實施例的裝設在處理腔室230上的電漿源200之另一立體圖。如圖2H所示,主線圈240係顯示成纏繞電漿腔室210。如將於以下圖7更加詳細描述,主線圈240為感應元件之主線圈。主線圈240具有環繞電漿腔室210之一或更多圈。如此處所示,主線圈240具有環繞電漿腔室210之兩圈,然而亦可使用多於兩圈。
圖3A為依據本發明之一實施例的另一電漿源300之立體圖。電漿源300包含具有以選定間隔環繞電漿腔室之複數個鐵磁體204的電漿腔室210。在本例中,鐵磁體204以實質相等間隔環繞電漿腔室,但其可在不同間隔。
電漿腔室210可為粗略環形或如本例中具有五邊的幾何形狀。相似地,電漿腔室210可為環形或三或更多邊的幾何形狀。 亦應注意電漿腔室210可具有近乎矩形或近乎環形或圓形之剖面形狀。電漿腔室210之內表面可為平滑狀且沒有任何銳(如約垂直或更尖銳之角度)稜或角。舉例來說,內角可具有相對大半徑(如電漿腔室剖面之半徑約1/2及約兩倍之間)的圓弧形輪廓。亦應注意,雖然顯示單一處理氣體入口206耦合於電漿腔室210,但可使用二或更多處理氣體入口來供應處理氣體至電漿腔室。
圖3B為依據本發明之一實施例的多區域電漿源320之俯視立體圖。多區域電漿源320包含如呈大小套疊環狀的複數個、獨立的、同心電漿腔室310A-310D。各同心電漿腔室310A-310D具有相對應的一組鐵磁體204A-204D。
圖3C為依據本發明之一實施例的多區域電漿源320之仰視立體圖。腔室頂部202具有複數個處理出口埠304A-304E及複數個電漿出口220O-220R。複數個電漿出口220O-220R係耦合至對應的電漿腔室310A-310D。
圖3D為依據本發明之一實施例的另一多區域電漿源330之俯視立體圖。圖3E為依據本發明之一實施例的另一多區域電漿源330之仰視立體圖。多區域電漿源330包含複數個同心電漿腔室310A-310E。各同心電漿腔室310A-310E具有相對應的一組鐵磁體204A-204E。複數個電漿出口220O-220S係耦合至相對應的電漿腔室310A-310E。
如圖示,相鄰的電漿腔室310A-310E之鐵磁體204A-204E可於區域332A-332D中如圖示般輕微重疊。舉例來說,鐵磁體204B之內稜在區域332A中與鐵磁體204A之外稜重疊。相似地,鐵磁體204B之外稜在區域332B中與鐵磁體204C之內稜重疊。重疊的鐵磁體204A-204E使得同心電漿腔室310A-310E在多區域電漿源330中更為緊密堆疊,因此使得更多同心環狀電漿腔室310A-310E(如五同心環)被包含在與圖3B及3C中所示之僅具有四同心環狀電漿腔室310A-310D的非重疊之鐵磁體實施例相同的直徑中。如將於下所描述,各環狀電漿腔室310A-310E可獨立地在偏壓、氣流、濃度、RF功率等等方面加以控制。因此,更多數量 之同心環狀電漿腔室310A-310E提供在處理腔室230中基板102之直徑範圍內的處理更精細的調整控制。
可將鐵磁體204A-204E選用性地排列於多區域電漿源330之複數個徑向部件(即圓餅切面形)334A-334L中。如將於下所描述,各徑向部件334A-334L可獨立地在偏壓、氣流、濃度等等方面加以控制。因此,徑向部件334A-334L提供在處理腔室230中的徑向橫跨基板102之處理的又另一精細的調整控制。
圖4A及4B為依據本發明之一實施例的多區域電漿源320、330之簡化示意圖。腔室頂部202包含多區域電漿源320、330。處理腔室230具有側壁230’及基座230”。基板支持件106係位於基座230”之上、或基座230”之附近、或接近基座230”處。處理出口埠304A-304F實質相等地在基板102之W寬度範圍內抽取電漿副產物118及重組產物120。因此,電漿副產物118及重組產物120不妨礙電漿410及由電漿所產生的中性物種412。所以,中性物種412在基板102之寬度範圍內實質上均勻地分佈。中性物種412與基板102之表面起反應。當中性物種412在基板102之寬度範圍內實質上均勻分佈時,施加於處理腔室230中的電漿處理(如蝕刻、剝除或其他電漿處理)之中心至邊緣不均勻性亦實質上被消除。
控制器420包含用於各環狀電漿腔室310A-310E的對應控制件422A-422E(如軟體、邏輯、設定點、配方等等)。亦可將處理監測感測器424、426耦合至控制器420來提供處理回饋。控制件422A-422E可在偏壓信號、功率、頻率、處理氣體110壓力、流速及濃度上獨立控制各環狀電漿腔室310A-310E,且因此在處理腔室230中的基板102之直徑範圍內提供解離氣體的徑向分佈控制。
可獨立控制複數個電漿腔室310A-310E之各者來在處理腔室230之相對應區域中操作處理。
相似地,複數個徑向部件334A-334L之各者使得複數個電漿腔室310A-310E之各徑向部件能被獨立控制以在處理腔室230之 相對應區域中操作處理。舉例來說,將電漿腔室310B中的處理氣體110之流量及壓力的處理變數設定點輸入至對應的控制件422B。處理監測感測器424、426之至少一者提供處理測量輸入至對應控制件422B。依據來自處理監測感測器424、426的處理測量輸入和邏輯及軟體,對應控制件422B而後輸出針對進入鐵磁體204B的RF功率及電漿腔室310B中的處理氣體110之流量及氣壓的經修改的設定點。
相似地,可在由多區域電漿源320、330之同心環電漿腔室310A-310E、及/或鐵磁體204A-E、及/或徑向部件334A-334L之一者或更多者或其組合所界定之個別區域的各者中監控及/或控制處理。吾人亦應明瞭,可以相同方式及設定點來操作各區域,使得多區域電漿源320、330實際上為單一區域電漿源。進一步來說,可以相同方式及設定點來操作多區域電漿源320、330之一些區域,使得多區域電漿源具有更少的區域。
圖5為依據本發明之實施例的各種尺寸之選用性電漿限制件214的流量及壓力圖500。曲線510為具有0.2mm之直徑的選用性電漿限制件214以每分鐘標準立方公分(standard cubic centimeters per minute,SCCM)為單位的流量。曲線520為具有0.5mm之直徑的選用性電漿限制件214的流量。曲線530為具有1.0mm之直徑的選用性電漿限制件214的流量。如吾人可見,選用性電漿限制件214之不同尺寸可決定電漿腔室210及處理腔室230之間的壓降。如果如此壓降使得阻流發生於橫跨電漿限制件214的範圍,則當電漿腔室210中的壓力為常數時,流入處理腔室210之質量流率將不會隨電漿腔室中之質量流率減少而增加。
增加電漿腔室210中的壓力提供足以支持電漿腔室中的電漿的處理氣體110之密度。對於固定的RF電壓而言,需要被感應至處理氣體110內的電流係與處理氣體壓力成反比。因此,增加電漿腔室210中的處理氣體110之壓力減少產生電漿所需之電流。再者,因為電漿腔室需要處理氣體壓力來支持電漿,所以電漿將容納於電漿腔室210中並將不自電漿腔室流入處理腔室230。因 此,電漿限制件214可限制電漿流至電漿腔室210。
變壓器具有主線圈及副線圈。經由主線圈的主電流產生磁場。當磁場通過副線圈時,在副線圈內感應出對應的副電流。具有鐵磁體核心的變壓器將磁場濃集(即集中)至較小、較密的磁場,並因此更有效率地感應副電流至副線圈內。此允許極有效率的低頻操作(如小於約13MHz及更具體地在10kHz及小於約5MHz之間及更具體地在10kHz及小於約1MHz之間)。低頻操作亦提供相對於典型高頻RF電漿系統(如約13.56MHz及更高頻率)顯著較低的成本。
低頻鐵磁體耦合電漿系統之進一步優點為其低離子轟擊能量,該低離子撞擊能量導致相對於高頻RF系統較少電漿侵蝕及較少的晶圓上微粒。較少電漿侵蝕造成在電漿腔室210表面及構件上的較少磨損及裂縫。
圖6A為依據本發明之一實施例的示範性變壓器600之示意圖。主電流Ip係自電源供應器施加至主線圈620。通過主線圈620之主電流Ip的流動產生磁場622至鐵磁體204內。磁場622自副線圈630之中心內的鐵磁體出現並在副線圈中感應出副電流Is
圖6B為依據本發明之一實施例的電漿源200、300、320、330中的電漿腔室210及鐵磁體204的單一環的示意圖。圖7為依據本發明之一實施例的電漿源200、300、320、330中的電漿腔室210及鐵磁體204的單一環的電路示意圖700。在此處所述的電漿源200、300、320、330中,主線圈240係纏繞於各電漿腔室210、310A-E及於個別組的鐵磁體204、204A-E之每一者內側。副線圈為電漿腔室210內的處理氣體110。
主電流Ip係自電源供應器702施加至主線圈240。電源可為RF(如約10kHz至約1MHz或更多或在約10kHz至約5Mhz之間或在約10kHz至小於約13MHz之間)。通過主線圈240之主電流Ip的流動在鐵磁體204內產生磁場622。磁場622在電漿腔室210內的處理氣體110中感應出副電流Is。因此,處理氣體充足地受到激發而形成電漿410。
圖8為依據本發明之一實施例的電源供應器702的電路示意圖。電源供應器702包含用以將來自電源802的AC電力轉換成DC電力的整流器804。濾波器808過濾整流器804之輸出。已過濾的DC係從濾波器808運送至反相器810。反相器810將已過濾的DC以期望頻率、電壓及電流轉換為AC訊號。共振電路812使共振匹配於電漿腔室負載814,以有效率地傳送所期望之AC訊號至共振中的負載。
控制器820控制電源供應器702。控制器820包含使用者介面822,該使用者介面822可包含連接(如網路)至系統控制器或更大區域控制系統(未顯示)。控制器820係直接及經由偵測器806A、806B、806C耦合至構件804、808、810、812以監測並控制其操作。舉例而言,控制器820監測電源供應器702內的電源訊號的電壓、電流、功率、頻率及相位之一或更多者。
圖9A-9C為依據本發明之一實施例的來自電漿源300、320、330之流動的流圖。自由基及中性粒子流902係顯示成自電漿腔室310A-E約呈扇形朝向基板102流動。該扇形始於出口220並在接近基板102時擴張。流經電漿腔室310A-E之氣體具有流量Q及壓力Ps。壓力Pc為處理腔室230中的壓力。Ps及Pc之間的差異使得自由基及中性粒子流902朝向基板102擴張。
現在參照圖9B,自由基及中性粒子流902之濃度920為出口220之間的距離L及處理腔室230之高度H的函數。若出口220之間的距離L太大,則將有自由基及中性粒子流902之濃度920不足以與基板102之表面產生反應的區域904。同樣地,若處理腔室230之高度H太小,則將有自由基及中性粒子流902之濃度920不足以與基板102之表面產生反應的區域904。圖9C顯示如下列的高度H及距離L的理想關係940:R=R(x,H,L)
WhereR(x)=(ntotal-n0)/n0
若距離L大致等於高度H/2,則橫跨晶圓表面的自由基及中性粒子的濃度變化可減至最小。亦或是,增加或減少距離L及高度H之關係可允許橫跨晶圓表面的自由基及中性粒子的濃度變化。
圖10為顯示依據本發明之一實施例在電漿源200、300、320、330運作時所執行的方法操作之流程圖。此處所顯示的操作係為例示之用,如同吾人應明瞭,一些操作可能具有副操作,且在其他實例中,於此所描述的一些操作可能不被包含在所顯示的操作中。有此想法後,現將描述方法及操作1000。
在操作1005中,處理氣體110被運送到電漿腔室210。在操作1010中,將處理氣體110維持在電漿腔室210中的第一壓力。第一壓力可相同於或達兩倍或更多倍於耦合至電漿腔室之一組出口220的處理腔室230之壓力。
在操作1015中,主電流Ip係施加至纏繞於電漿腔室210之外圓周的主線圈240。在操作1020中,主電流Ip產生磁場。在操作1025中,一或更多鐵磁體204濃集磁場至電漿腔室210之鄰近中心部。鐵磁體204係形成於電漿腔室210周圍。
在操作1030中,磁場在電漿腔室210之處理氣體110中感應出副電流Is。在操作1035中,副電流Is在電漿腔室210之處理氣體110中產生電漿。在操作1040中,部分電漿及電漿產生的自由基和中性粒子自電漿腔室210通過電漿腔室出口220並進入處理腔室230中。
在操作1045中,自由基及中性粒子與基板102及處理腔室230產生交互作用以產生電漿副產物118及重組產物120。在操作1050中,經由一或更多處理出口埠304A-304E將電漿副產物118及重組產物120抽離處理腔室。該一或更多處理出口埠304A-304E係於處理腔室頂部202之表面範圍內或沿著基板支持件106之邊緣或如在處理腔室基座中般在基板支持件下方或以上方式之組合而散佈,並且該方法操作可結束。
圖11為依據本發明之一實施例的包含電漿源200、300、320之整合系統1100的方塊圖。整合系統1100包含電漿源200、300、320及耦合至電漿源的整合系統控制器1110。整合系統控制器1110包含或耦合至(如經由有線或無線網路1112)使用者介面1114。使用者介面1114提供使用者可讀取之輸出及讀數且可接收使用者輸入,並提供使用者存取整合系統控制器1110。
整合系統控制器1110可包含特殊用途電腦或一般用途電腦。整合系統控制器1110可執行電腦程式1116以監測、控制並收集及儲存電漿源200、300、320所用的資料1118(如效能歷史、效能或缺陷分析、操作者日誌、及歷史等等)。舉例來說,若所收集的資料要求調整電漿源200、300、320及或/其構件(如同心環狀電漿腔室310A-301E之一或鐵磁體204、204A-E等等)之操作,則整合系統控制器1110可調整其操作。
在具有上述實施例之概念後,吾人應當明瞭,本發明可使用涉及儲存於電腦系統中之資料的各種電腦執行操作。此等操作需要物理量之物理操作。通常,雖非必要,這些量採取以可被儲存、轉移、組合、比較、及其他操作之電或磁信號形式。更有甚者,所執行的操作常與如產生、辨識、決定或比較之用語相關。
於此所描述之形成本發明之部分的任何操作均為有效的機器操作。本發明亦關於用以執行這些操作的裝置或設備。該設備可針對所需目的而特別建構,或可為一般用途電腦,由儲存於該電腦中的電腦程式選擇性地啟動或配置。尤其,各種一般用途機器可與依據此處之教示所寫的電腦程式一起使用,或者建造一更特殊化的設備以執行所需的操作可能更加便利。
亦可將本發明實施為電腦可讀媒體上的電腦可讀編碼及/或邏輯。電腦可讀媒體為能儲存之後可由電腦系統讀取的資料的任何資料儲存裝置。電腦可讀媒體之實例包含硬碟、網路附接儲存器(network attached storage,NAS)、邏輯電路、唯讀記憶體、隨機存取記憶體、CD-ROMs、CD-Rs、CR-RWs、磁帶、及其他光學及非光學資料儲存裝置。電腦可讀媒體亦可被廣佈於網路耦合電腦系統,使得電腦可讀編碼以分散方式被儲存及執行。
吾人將更加體悟,上述圖式中由操作所代表的指示不需要依顯示之順序來執行,且並非所有由操作所代表的處理均為實施本發明所必要。尤有甚者,在任何上述圖式中所描述的處理亦可在儲存於RAM、ROM、或硬碟機之其中之一或其組合中的軟體執行。
雖然已為了理解清楚之目的而稍加詳細描述前述發明,但將顯而易見的,在隨附專利申請範圍之內可實行一些變化及修改。因此,本實施例將被視為例示性而非限制性,並且本發明將不限制於此處提出之細節,而可能在所附專利申請範圍及其相等意義內加以修改。
100...處理腔室
102...基板
102A...中心部
102B...中間部
102C...邊緣部
104...頂電極
106...基板支持件
108...泵
109...入口埠
110...處理氣體
112...電漿
114A...中心電漿處理區域
114B...中間電漿處理區域
114C...邊緣電漿處理區域
116A...中心電漿處理區域
116B...中間電漿處理區域
116C...邊緣電漿處理區域
118...電漿副產物
120...重組產物
200...電漿源
202...腔室頂部
204...鐵磁體
204A...鐵磁體
204B...鐵磁體
204C...鐵磁體
204D...鐵磁體
204E...鐵磁體
206...處理氣體入口
208...電漿源頂部
210...電漿腔室
212...處理氣體充氣部
212A...入口埠
214...電漿限制件
214’...電漿限制件
214”...電漿限制件
220...出口
220A...出口
220A’...出口
220B...出口
220C...出口
220D...出口
220E...出口
220F...出口
220H...出口
220I...出口
220J...出口
220K...出口
220L...出口
220M...出口
220N...出口
220O...電漿出口
220P...電漿出口
220Q...電漿出口
220R...電漿出口
220S...電漿出口
224A...零件
224B...零件
224C...零件
224D...零件
226A...凹槽
226B...凹槽
230...處理腔室
230’...側壁
230”...基座
232...負載埠
234...出口
240...主線圈
300...電漿源
304A...處理出口埠
304B...處理出口埠
304C...處理出口埠
304D...處理出口埠
304E...處理出口埠
304F...處理出口埠
310A...電漿腔室
310B...電漿腔室
310C...電漿腔室
310D...電漿腔室
310E...電漿腔室
320...電漿源
330...電漿源
332A...區域
332B...區域
332C...區域
332D...區域
334A...徑向部件
334B...徑向部件
334C...徑向部件
334D...徑向部件
334E...徑向部件
334F...徑向部件
334G...徑向部件
334H...徑向部件
334I...徑向部件
334J...徑向部件
334K...徑向部件
334L...徑向部件
410...電漿
412...中性物種
420...控制器
422A...控制件
422B...控制件
422C...控制件
422D...控制件
422E...控制件
424...處理監測感測器
426...處理監測感測器
500...流量及壓力圖
510...曲線
520...曲線
530...曲線
600...變壓器
620...主線圈
622...磁場
630...副線圈
700...電路示意圖
702...電源供應器
802...電源
804...整流器
806A...偵測器
806B...偵測器
806C...偵測器
808...濾波器
810...反相器
812...共振電路
814...負載(電漿腔室)
820...控制器
822...使用者介面
900...流量圖
902...自由基及中性粒子流
904...區域
920...濃度
940...理想關係
1000...操作
1005...操作
1010...操作
1015...操作
1020...操作
1025...操作
1030...操作
1035...操作
1040...操作
1045...操作
1050...操作
1100...整合系統
1110...控制器
1112...網路
1114...使用者介面
1116...電腦程式
1118...資料
本發明將藉由上述之實施方式結合隨附圖式而輕易獲得理解。
圖1A為典型的平行板電容式電漿處理腔室之側視圖。
圖1B為在典型的平行板電容性電漿處理腔室中處理的基板之俯視圖。
圖2A為依據本發明之實施例的電漿源之立體圖。
圖2B為依據本發明之實施例的電漿源之俯視圖。
圖2C為依據本發明之實施例的電漿源沿著圖2B之2C-2C的剖視圖。
圖2D為依據本發明之實施例的電漿源之立體剖視圖。
圖2E為依據本發明之實施例的裝設在處理腔室上的電漿源之立體圖。
圖2F及2G為依據本發明之實施例的裝設在處理腔室上的電漿源200的額外立體圖。
圖2H為依據本發明之實施例的裝設在處理腔室230上的電漿源之另一立體圖。
圖2I顯示依據本發明之實施例的複數個電漿腔室出口的複數個剖視圖。
圖2J為依據本發明之實施例的複數個電漿腔室出口的處理腔室視圖。
圖3A為依據本發明之實施例的另一電漿源之立體圖。
圖3B為依據本發明之實施例的多區域電漿源之俯視立體圖。
圖3C為依據本發明之實施例的多區域電漿源之仰視立體圖。
圖3D為依據本發明之實施例的另一多區域電漿源之俯視立體圖。
圖3E為依據本發明之實施例的另一多區域電漿源之仰視立體圖。
圖4A及4B為依據本發明之實施例的多區域電漿源之簡化示意圖。
圖5為依據本發明之實施例的各種尺寸之選用性電漿限制件的流量及壓力圖。
圖6A為依據本發明之實施例的示範性變壓器之示意圖。
圖6B為依據本發明之實施例的電漿源中的鐵磁體及電漿腔室之單一環的示意圖。
圖7為依據本發明之實施例的多區域電漿源中的鐵磁體及電漿腔室之單一環的電路示意圖。
圖8為依據本發明之實施例的電源供應器的電路示意圖。
圖9A-9C為依據本發明之實施例的來自電漿源之流動的流圖。
圖10為顯示依據本發明之一實施例在此處所述的電漿源運作時所執行的方法操作之流程圖。
圖11為依據本發明之實施例的包含一或更多此處所述的電漿源之整合系統的方塊圖。

Claims (28)

  1. 一種電漿源裝置,包含:複數實質同心的環狀電漿腔室,配置在一處理腔室的頂部之上,該複數環狀電漿腔室每一者具有定向成平行於該處理腔室頂部的環形形狀,使得該環狀電漿腔室不穿過該處理腔室頂部而進入該處理腔室,該複數個環狀電漿腔室每一者包含複數個電漿腔室出口將該環狀電漿腔室連接至該處理腔室;複數主線圈,其中該等主線圈每一者圍繞相應之環狀電漿腔室之外部周圍;複數個鐵磁體,其中該等環狀電漿腔室每一者通過該複數個鐵磁體其中至少二個,相應之主線圈通過該等鐵磁體該其中至少二個之每一者;及其中該複數個電漿腔室出口之每一者具有各自的電漿限制件,且其中該處理腔室的頂部包含複數個處理出口埠用於抽取電漿副產物及重組產物。
  2. 如申請專利範圍第1項之電漿源裝置,其中該電漿限制件具有約0.1mm及約2.0mm之間的直徑。
  3. 如申請專利範圍第1項之電漿源裝置,其中該電漿限制件具有小於或等於兩倍電漿鞘厚度的直徑。
  4. 如申請專利範圍第1項之電漿源裝置,其中該電漿限制件具有足以提供該環狀電漿腔室及該處理腔室之間大於約1.5比1的壓差的直徑。
  5. 如申請專利範圍第1項之電漿源裝置,其中該電漿限制件係耦合至偏壓供應器。
  6. 如申請專利範圍第1項之電漿源裝置,更包含至少一處理氣體入口,該至少一處理氣體入口將處理氣體源耦合至該環狀電漿腔室。
  7. 如申請專利範圍第6項之電漿源裝置,更包含處理氣體流量控制裝置,該處理氣體流量控制裝置係耦合至該至少一處理氣體入口。
  8. 如申請專利範圍第1項之電漿源裝置,其中該複數個鐵磁體係實質上平均分佈於該環狀電漿腔室之圓周周圍。
  9. 如申請專利範圍第1項之電漿源裝置,其中該複數個鐵磁體係成為該環狀電漿腔室之圓周周圍的複數個群組。
  10. 如申請專利範圍第1項之電漿源裝置,其中該環狀電漿腔室係由實質圓形、實質三角形、實質矩形、或實質多邊形所組成的一組形狀其中一者。
  11. 一種產生電漿之方法,包含:運送處理氣體至複數實質同心的環狀電漿腔室內,該複數實質同心的環狀電漿腔室配置在一處理腔室的頂部之上,該複數環狀電漿腔室每一者具有定向成平行於該處理腔室頂部的環形形狀,使得該環狀電漿腔室不穿過該處理腔室頂部而進入該處理腔室,該複數個環狀電漿腔室每一者包含複數個電漿腔室出口將該環狀電漿腔室連接至該處理腔室,其中該處理腔室的頂部包含複數個處理出口埠用於抽取電漿副產物及重組產物;施加主電流至複數主線圈,其中該複數主線圈每一者圍繞相應之環狀電漿腔室的外部周圍;在該等主線圈中產生磁場;以複數個鐵磁體濃集該磁場,其中該等環狀電漿腔室每一者通過該複數個鐵磁體其中至少二個,相應之主線圈通過該等鐵磁體該其中至少二個之每一者;在該環狀電漿腔室中的該處理氣體中感應副電流;以該副電流在該環狀電漿腔室中的該處理氣體中產生電漿;及以複數個電漿腔室出口之各者中的電漿限制件限制該環狀電漿腔室中的該電漿,該複數個電漿腔室出口將相應之環狀電漿腔室耦合至處理腔室。
  12. 如申請專利範圍第11項之產生電漿之方法,更包含經由該複數個電漿腔室出口運送中性物種及自由基物種之至少一者至該處理腔室。
  13. 如申請專利範圍第11項之產生電漿之方法,其中該電漿限制件具有約0.1mm及約2.0mm之間的直徑。
  14. 如申請專利範圍第11項之產生電漿之方法,其中該電漿限制件具有小於或等於兩倍電漿鞘厚度的直徑。
  15. 如申請專利範圍第11項之產生電漿之方法,其中該電漿限制件具有足以提供該環狀電漿腔室及該處理腔室之間大於約1.5比1的壓差的直徑。
  16. 如申請專利範圍第11項之產生電漿之方法,更包含施加偏壓於該電漿限制件。
  17. 如申請專利範圍第11項之產生電漿之方法,更包含在該環狀電漿腔室中調變流量、壓力、及/或偏壓之至少一者。
  18. 如申請專利範圍第11項之產生電漿之方法,更包含接收來自至少一處理監測感測器的處理回饋信號並調整流量、壓力、及/或偏壓之至少一者的至少一設定點。
  19. 一種電漿處理系統,包含:複數實質同心的環狀電漿腔室,配置在一處理腔室的頂部之上,該複數環狀電漿腔室每一者具有定向成平行於該處理腔室頂部的環形形狀,使得該環狀電漿腔室不穿過該處理腔室頂部而進入該處理腔室,該複數個環狀電漿腔室每一者包含複數個電漿腔室出口將該環狀電漿腔室連接至該處理腔室,其中該複數個電漿腔室出口之每一者具有各自的電漿限制件,且其中該處理腔室的頂部包含複數個處理出口埠用於抽取電漿副產物及重組產物;複數主線圈,其中該等主線圈每一者圍繞相應之環狀電漿腔室之外部周圍;複數個鐵磁體,其中該等環狀電漿腔室每一者通過該複數個鐵磁體其中至少二個,相應之主線圈通過該等鐵磁體該其中至少二個之每一者;至少一處理監測感測器;及控制器,包含:用以運送處理氣體至該環狀電漿腔室中的邏輯;用以施加主電流至該主線圈的邏輯;用以在該主線圈中產生磁場的邏輯;用以利用該複數個鐵磁體濃集該磁場的邏輯;用以在該環狀電漿腔室中的該處理氣體中感應副電流的邏輯;用以利用該副電流在該環狀電漿腔室中的該處理氣體中產生電漿的邏輯;用以接收來自該至少一處理監測感測器之一處理回饋信號的邏輯;及用以調整至少一設定點的邏輯。
  20. 一種用以處理基板的電漿系統,包含:處理腔室,該處理腔室具有:基座;複數個側壁;基板支持件,其相鄰於該基座;腔室頂部,其係接合於該側壁以包圍該處理腔室,該腔室頂部包含複數個處理出口埠用於抽取電漿副產物及重組產物;複數個鐵磁體,設於該腔室頂部上方,使得該複數個鐵磁體分佈於該基板支持件之區域上方,該區域至少在該基板支持件之外部及該基板支持件之中心部之間延伸;及複數實質同心的環狀電漿腔室,配置在該處理腔室的該腔室頂部之上,該複數實質同心的環狀電漿腔室每一者具有定向成平行於該處理腔室的該腔室頂部的環形形狀,使得該環狀電漿腔室不穿過該處理腔室的該腔室頂部而進入該處理腔室,該複數個實質同心的環狀電漿腔室每一者包含相應之主線圈圍繞該環狀電漿腔室的外部周圍,其中該複數個實質同心的環狀電漿腔室每一者通過該複數個鐵磁體其中至少二個,該相應之主線圈通過該等鐵磁體該其中至少二個之每一者,該等環狀電漿腔室每一者包含複數個電漿腔室出口,將電漿腔室耦合至該處理腔室,該複數個電漿腔室出口之每一者具有各自的電漿限制件。
  21. 如申請專利範圍第20項之用以處理基板的電漿系統,更包含:電源供應器,用以沿著主線圈提供電流,該複數個鐵磁體於該基板支持件之該區域上方濃集磁場。
  22. 一種電漿源裝置,包含:複數實質同心的環狀電漿腔室,該複數實質同心的環狀電漿腔室每一者具有複數氣體入口埠,該複數氣體入口埠用於在該環狀電漿腔室中接收處理氣體,該複數實質同心的環狀電漿腔室每一者係一介電質結構;處理氣體充氣部,從一氣體入口接收處理氣體且將處理氣體分配至該複數氣體入口埠;複數主線圈,其中該等主線圈每一者圍繞相應之環狀電漿腔室之周圍一匝以上;複數個鐵磁體,其中該等環狀電漿腔室每一者通過該複數個鐵磁體其中至少二個,其中相應之主線圈的每一匝通過該等鐵磁體該其中至少二個之每一者的內部部分,其中該相應之主線圈的每一匝的長度實質等於相應之環狀電漿腔室的周長,其中該複數個鐵磁體每一者具有實質方形形狀且該等環狀電漿腔室每一者具有矩形剖面形狀,該複數鐵磁體每一者的實質方形形狀裝配在相應的環狀電漿腔室的矩形剖面形狀的周圍;一腔室頂部,用於支撐該等環狀電漿腔室,該腔室頂部具有複數凹槽用於固定圍繞該等環狀電漿腔室配置的該複數鐵磁體,該等環狀電漿腔室配置在該腔室頂部上方且與該腔室頂部以具有實質方形形狀之該複數鐵磁體每一者的底部部分加以分隔開,該腔室頂部包含複數個處理出口埠用於抽取電漿副產物及重組產物;其中該腔室頂部更包含複數個電漿腔室出口將該環狀電漿腔室連接至配置在該腔室頂部下方的一處理腔室,該複數環狀電漿腔室每一者具有定向成平行於該腔室頂部的環形形狀,使得該環狀電漿腔室不穿過該腔室頂部而進入該處理腔室,其中該複數氣體入口埠每一者係與該複數電漿腔室出口的相對應者對齊,其中該複數電漿腔室出口每一者具有各自的電漿限制件,用於界定進入該處理腔室的受限制電漿流量,其中在該腔室頂部的該複數電漿腔室出口每一者具有一圓錐形狀開口,其在面向該處理腔室之側較寬。
  23. 如申請專利範圍第22項之電漿源裝置,其中界定進入該處理腔室的受限制電漿流量包含使用具有約0.1mm及約2.0mm之間的直徑之該電漿限制件。
  24. 如申請專利範圍第22項之電漿源裝置,其中該電漿限制件具有小於或等於兩倍電漿鞘厚度的直徑。
  25. 如申請專利範圍第22項之電漿源裝置,其中該電漿限制件具有足以提供該電漿腔室及處理腔室之間大於約1.5比1的壓差的直徑。
  26. 如申請專利範圍第22項之電漿源裝置,其中該電漿限制件每一者係耦合至偏壓供應器。
  27. 如申請專利範圍第22項之電漿源裝置,更包含處理氣體流量控制裝置,該處理氣體流量控制裝置係耦合至一氣體入口。
  28. 如申請專利範圍第22項之電漿源裝置,其中該複數個鐵磁體每一者係實質上平均分佈於該環狀電漿腔室之周圍。
TW100126801A 2010-08-06 2011-07-28 用以產生電漿之系統、方法及設備 TWI641291B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/852,375 US9449793B2 (en) 2010-08-06 2010-08-06 Systems, methods and apparatus for choked flow element extraction
US12/852,375 2010-08-06

Publications (2)

Publication Number Publication Date
TW201223342A TW201223342A (en) 2012-06-01
TWI641291B true TWI641291B (zh) 2018-11-11

Family

ID=45556727

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100126801A TWI641291B (zh) 2010-08-06 2011-07-28 用以產生電漿之系統、方法及設備

Country Status (5)

Country Link
US (2) US9449793B2 (zh)
JP (2) JP5989644B2 (zh)
KR (1) KR101912474B1 (zh)
TW (1) TWI641291B (zh)
WO (1) WO2012018365A2 (zh)

Families Citing this family (401)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9967965B2 (en) * 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10271416B2 (en) * 2011-10-28 2019-04-23 Applied Materials, Inc. High efficiency triple-coil inductively coupled plasma source with phase control
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US20140062285A1 (en) * 2012-08-29 2014-03-06 Mks Instruments, Inc. Method and Apparatus for a Large Area Inductive Plasma Source
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9630142B2 (en) 2013-03-14 2017-04-25 Mks Instruments, Inc. Toroidal plasma abatement apparatus and method
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) * 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) * 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10141161B2 (en) * 2016-09-12 2018-11-27 Varian Semiconductor Equipment Associates, Inc. Angle control for radicals and reactive neutral ion beams
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR101881536B1 (ko) * 2017-02-24 2018-07-24 주식회사 뉴파워 프라즈마 출력전류 제어가 가능한 전력공급장치 및 이를 이용한 전력공급방법
KR101881535B1 (ko) * 2017-02-24 2018-07-24 주식회사 뉴파워 프라즈마 수동소자를 구비한 전력공급장치 및 이를 이용한 플라즈마 점화를 위한 전력제공방법
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11149998A (ja) * 1997-11-14 1999-06-02 Foi:Kk プラズマ処理装置
TW417134B (en) * 1998-03-14 2001-01-01 Applied Materials Inc Distributed inductively-coupled plasma source
US6392351B1 (en) * 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
US6432260B1 (en) * 1999-08-06 2002-08-13 Advanced Energy Industries, Inc. Inductively coupled ring-plasma source apparatus for processing gases and materials and method thereof
TW501168B (en) * 2000-03-30 2002-09-01 Tokyo Electron Ltd Method of and apparatus for tunable gas injection in a plasma processing system
US20040175953A1 (en) * 2003-03-07 2004-09-09 Ogle John S. Apparatus for generating planar plasma using concentric coils and ferromagnetic cores
KR20070079870A (ko) * 2006-02-04 2007-08-08 위순임 다중 출력 원격 플라즈마 발생기 및 이를 구비한 기판 처리시스템
TWI285926B (en) * 2005-07-15 2007-08-21 New Power Plasma Co Ltd Multi chamber plasma process system
TW200807545A (en) * 2006-04-21 2008-02-01 New Power Plasma Co Ltd Plasma processing system
TW200816278A (en) * 2006-06-13 2008-04-01 Tokyo Electron Ltd Shower plate, plasma processing device using the same, plasma processing method and manufacturing method of electronic apparatus
TW200818317A (en) * 2006-04-25 2008-04-16 New Power Plasma Co Ltd Plasma reactor having plasma chamber coupled with magnetic flux channel

Family Cites Families (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4276557A (en) 1978-12-29 1981-06-30 Bell Telephone Laboratories, Incorporated Integrated semiconductor circuit structure and method for making it
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
JPS61189642A (ja) 1985-02-18 1986-08-23 Mitsubishi Electric Corp プラズマ反応装置
KR960016218B1 (ko) * 1987-06-05 1996-12-07 가부시기가이샤 히다찌세이사꾸쇼 표면처리방법 및 그 장치
RU2094961C1 (ru) 1989-07-20 1997-10-27 Уланов Игорь Максимович Трансформаторный плазмотрон
RU2022917C1 (ru) 1989-09-27 1994-11-15 Уланов Игорь Максимович Способ получения окиси азота
JPH07110991B2 (ja) 1989-10-02 1995-11-29 株式会社日立製作所 プラズマ処理装置およびプラズマ処理方法
RU2056702C1 (ru) 1990-07-09 1996-03-20 Уланов Игорь Максимович Трансформаторный плазмотрон
US6444137B1 (en) 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US5183990A (en) 1991-04-12 1993-02-02 The Lincoln Electric Company Method and circuit for protecting plasma nozzle
US5353314A (en) * 1991-09-30 1994-10-04 The United States Of America As Represented By The United States Department Of Energy Electric field divertor plasma pump
US5302237A (en) 1992-02-13 1994-04-12 The United States Of America As Represented By The Secretary Of Commerce Localized plasma processing
US5505780A (en) * 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
US5349271A (en) 1993-03-24 1994-09-20 Diablo Research Corporation Electrodeless discharge lamp with spiral induction coil
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5630880A (en) 1996-03-07 1997-05-20 Eastlund; Bernard J. Method and apparatus for a large volume plasma processor that can utilize any feedstock material
EP0805475B1 (en) 1996-05-02 2003-02-19 Tokyo Electron Limited Plasma processing apparatus
US5846883A (en) 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
AUPO281896A0 (en) 1996-10-04 1996-10-31 Unisearch Limited Reactive ion etching of silica structures for integrated optics applications
US6190236B1 (en) 1996-10-16 2001-02-20 Vlsi Technology, Inc. Method and system for vacuum removal of chemical mechanical polishing by-products
JP4043089B2 (ja) * 1997-02-24 2008-02-06 株式会社エフオーアイ プラズマ処理装置
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6924455B1 (en) 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
JPH11135297A (ja) * 1997-10-31 1999-05-21 Kumagai Hiromi プラズマ発生器
JP3868620B2 (ja) * 1998-03-02 2007-01-17 株式会社エフオーアイ プラズマ発生装置
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US5998933A (en) 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6300643B1 (en) 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
WO2000074127A1 (fr) 1999-05-26 2000-12-07 Tokyo Electron Limited Dispositif de traitement au plasma
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
JP2003530481A (ja) 1999-11-19 2003-10-14 ナノ スケール サーフェイス システムズ インコーポレイテッド 無機/有機誘電体フィルムを堆積させるシステム及び方法
JP4212210B2 (ja) 1999-12-07 2009-01-21 株式会社小松製作所 表面処理装置
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6337460B2 (en) 2000-02-08 2002-01-08 Thermal Dynamics Corporation Plasma arc torch and method for cutting a workpiece
JP2001237226A (ja) 2000-02-23 2001-08-31 Kobe Steel Ltd プラズマ処理装置
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US7234477B2 (en) 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
TW445540B (en) 2000-08-07 2001-07-11 Nano Architect Res Corp Bundle concentrating type multi-chamber plasma reacting system
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US20020101167A1 (en) 2000-12-22 2002-08-01 Applied Materials, Inc. Capacitively coupled reactive ion etch plasma reactor with overhead high density plasma source for chamber dry cleaning
US6755150B2 (en) 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
TWI246873B (en) 2001-07-10 2006-01-01 Tokyo Electron Ltd Plasma processing device
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4039834B2 (ja) 2001-09-28 2008-01-30 株式会社荏原製作所 エッチング方法及びエッチング装置
US6855906B2 (en) * 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
US6761804B2 (en) * 2002-02-11 2004-07-13 Applied Materials, Inc. Inverted magnetron
US7056416B2 (en) 2002-02-15 2006-06-06 Matsushita Electric Industrial Co., Ltd. Atmospheric pressure plasma processing method and apparatus
US6962644B2 (en) 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
FR2838020B1 (fr) 2002-03-28 2004-07-02 Centre Nat Rech Scient Dispositif de confinement de plasma
US20030188685A1 (en) 2002-04-08 2003-10-09 Applied Materials, Inc. Laser drilled surfaces for substrate processing chambers
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US6936546B2 (en) 2002-04-26 2005-08-30 Accretech Usa, Inc. Apparatus for shaping thin films in the near-edge regions of in-process semiconductor substrates
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
JP2004014904A (ja) 2002-06-10 2004-01-15 Tokyo Ohka Kogyo Co Ltd 同時放電化装置
US6771026B2 (en) * 2002-06-12 2004-08-03 Tokyo Electron Limited Plasma generation by mode-conversion of RF-electromagnetic wave to electron cyclotron wave
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6902774B2 (en) 2002-07-25 2005-06-07 Inficon Gmbh Method of manufacturing a device
US7256132B2 (en) 2002-07-31 2007-08-14 Applied Materials, Inc. Substrate centering apparatus and method
US20040027781A1 (en) 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US20030015965A1 (en) 2002-08-15 2003-01-23 Valery Godyak Inductively coupled plasma reactor
US6887317B2 (en) 2002-09-10 2005-05-03 Applied Materials, Inc. Reduced friction lift pin
US7411352B2 (en) 2002-09-19 2008-08-12 Applied Process Technologies, Inc. Dual plasma beam sources and method
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7198055B2 (en) 2002-09-30 2007-04-03 Lam Research Corporation Meniscus, vacuum, IPA vapor, drying manifold
US7513262B2 (en) 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US7069937B2 (en) 2002-09-30 2006-07-04 Lam Research Corporation Vertical proximity processor
US6988327B2 (en) 2002-09-30 2006-01-24 Lam Research Corporation Methods and systems for processing a substrate using a dynamic liquid meniscus
US6837967B1 (en) 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
KR100488348B1 (ko) 2002-11-14 2005-05-10 최대규 플라즈마 프로세스 챔버 및 시스템
JP4087234B2 (ja) 2002-12-05 2008-05-21 株式会社アルバック プラズマ処理装置及びプラズマ処理方法
NL1022155C2 (nl) 2002-12-12 2004-06-22 Otb Group Bv Werkwijze, alsmede inrichting voor het behandelen van een oppervlak van ten minste één substraat.
JP2004296729A (ja) 2003-03-26 2004-10-21 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
US7824520B2 (en) 2003-03-26 2010-11-02 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus
JP4460940B2 (ja) * 2003-05-07 2010-05-12 株式会社ニューパワープラズマ 多重放電管ブリッジを備えた誘導プラズマチャンバ
US8580076B2 (en) 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US7632379B2 (en) 2003-05-30 2009-12-15 Toshio Goto Plasma source and plasma processing apparatus
FR2857555B1 (fr) * 2003-07-09 2005-10-14 Snecma Moteurs Accelerateur a plasma a derive fermee d'electrons
JP4607517B2 (ja) 2003-09-03 2011-01-05 東京エレクトロン株式会社 プラズマ処理装置
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US20050103265A1 (en) 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
US20050103620A1 (en) 2003-11-19 2005-05-19 Zond, Inc. Plasma source with segmented magnetron cathode
US7464662B2 (en) 2004-01-28 2008-12-16 Tokyo Electron Limited Compact, distributed inductive element for large scale inductively-coupled plasma sources
JP4342984B2 (ja) 2004-03-10 2009-10-14 Okiセミコンダクタ株式会社 エッチング方法
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
JP2006114884A (ja) 2004-09-17 2006-04-27 Ebara Corp 基板洗浄処理装置及び基板処理ユニット
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060236931A1 (en) 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
EP1727186B1 (en) * 2005-05-23 2012-01-25 New Power Plasma Co., Ltd. Plasma chamber with discharge inducing bridge
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US7641762B2 (en) 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
KR101247198B1 (ko) * 2005-09-09 2013-03-25 가부시키가이샤 알박 이온원 및 플라스마 처리장치
US7895970B2 (en) 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
US8092638B2 (en) 2005-10-11 2012-01-10 Applied Materials Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US7397232B2 (en) 2005-10-21 2008-07-08 The University Of Akron Coulter counter having a plurality of channels
KR100663668B1 (ko) * 2005-12-07 2007-01-09 주식회사 뉴파워 프라즈마 복수의 기판을 병렬 배치 처리하기 위한 플라즈마 처리장치
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US8231799B2 (en) 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US7879184B2 (en) 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7837826B2 (en) 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
DE102006048816A1 (de) 2006-10-16 2008-04-17 Iplas Innovative Plasma Systems Gmbh Vorrichtung und Verfahren zur lokalen Erzeugung von Mikrowellenplasmen
US7780866B2 (en) 2006-11-15 2010-08-24 Applied Materials, Inc. Method of plasma confinement for enhancing magnetic control of plasma radial distribution
KR100978754B1 (ko) 2008-04-03 2010-08-30 주식회사 테스 플라즈마 처리 장치
CN101583736A (zh) 2007-01-19 2009-11-18 应用材料股份有限公司 浸没式等离子体室
KR100868019B1 (ko) * 2007-01-30 2008-11-10 삼성전자주식회사 플라즈마 쉬쓰 제어기를 갖는 이온 빔 장치
US20080179289A1 (en) 2007-01-30 2008-07-31 Collins Kenneth S Process for wafer backside polymer removal with a plasma stream
US7897213B2 (en) 2007-02-08 2011-03-01 Lam Research Corporation Methods for contained chemical surface treatment
US7824519B2 (en) 2007-05-18 2010-11-02 Lam Research Corporation Variable volume plasma processing chamber and associated methods
WO2008154222A1 (en) 2007-06-06 2008-12-18 Mks Instruments, Inc. Particle reduction through gas and plasma source control
KR101418438B1 (ko) * 2007-07-10 2014-07-14 삼성전자주식회사 플라즈마 발생장치
US20090025879A1 (en) 2007-07-26 2009-01-29 Shahid Rauf Plasma reactor with reduced electrical skew using a conductive baffle
US8343305B2 (en) 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
US8771483B2 (en) 2007-09-05 2014-07-08 Intermolecular, Inc. Combinatorial process system
US8039052B2 (en) 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US20090109595A1 (en) 2007-10-31 2009-04-30 Sokudo Co., Ltd. Method and system for performing electrostatic chuck clamping in track lithography tools
US20090197015A1 (en) 2007-12-25 2009-08-06 Applied Materials, Inc. Method and apparatus for controlling plasma uniformity
US8129288B2 (en) 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
WO2009099661A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation A protective coating for a plasma processing chamber part and a method of use
US8409459B2 (en) 2008-02-28 2013-04-02 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
US7713757B2 (en) * 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
US7558045B1 (en) 2008-03-20 2009-07-07 Novellus Systems, Inc. Electrostatic chuck assembly with capacitive sense feature, and related operating method
JP5294669B2 (ja) 2008-03-25 2013-09-18 東京エレクトロン株式会社 プラズマ処理装置
US8236133B2 (en) 2008-05-05 2012-08-07 Applied Materials, Inc. Plasma reactor with center-fed multiple zone gas distribution for improved uniformity of critical dimension bias
JP5524453B2 (ja) 2008-05-15 2014-06-18 Sumco Techxiv株式会社 シリコンウェーハのエッチング方法及びエッチング装置
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8206552B2 (en) 2008-06-25 2012-06-26 Applied Materials, Inc. RF power delivery system in a semiconductor apparatus
JP5144594B2 (ja) 2008-06-30 2013-02-13 ヤフー株式会社 サーバ装置、サーバ装置における予測方法及びプログラム
KR101046335B1 (ko) 2008-07-29 2011-07-05 피에스케이 주식회사 할로우 캐소드 플라즈마 발생방법 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리방법
EP2309023A1 (en) 2008-07-30 2011-04-13 Kyocera Corporation Deposition film forming apparatus and deposition film forming method
US20100024729A1 (en) 2008-08-04 2010-02-04 Xinmin Cao Methods and apparatuses for uniform plasma generation and uniform thin film deposition
KR20100031960A (ko) 2008-09-17 2010-03-25 삼성전자주식회사 플라즈마 발생장치
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US8099995B2 (en) 2008-12-16 2012-01-24 Agilent Technologies, Inc. Choked flow isolator for noise reduction in analytical systems
US7994724B2 (en) 2009-03-27 2011-08-09 Ecole Polytechnique Inductive plasma applicator
US8503151B2 (en) 2009-09-30 2013-08-06 Lam Research Corporation Plasma arrestor insert
JP5642181B2 (ja) 2009-08-21 2014-12-17 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 基体を処理する装置及び基体の処理方法
SG178287A1 (en) 2009-08-31 2012-03-29 Lam Res Corp A local plasma confinement and pressure control arrangement and methods thereof
JP4855506B2 (ja) 2009-09-15 2012-01-18 住友精密工業株式会社 プラズマエッチング装置
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US20110278260A1 (en) * 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
JP5166595B2 (ja) 2011-12-16 2013-03-21 株式会社藤商事 遊技機

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11149998A (ja) * 1997-11-14 1999-06-02 Foi:Kk プラズマ処理装置
TW417134B (en) * 1998-03-14 2001-01-01 Applied Materials Inc Distributed inductively-coupled plasma source
US6392351B1 (en) * 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
US6432260B1 (en) * 1999-08-06 2002-08-13 Advanced Energy Industries, Inc. Inductively coupled ring-plasma source apparatus for processing gases and materials and method thereof
TW501168B (en) * 2000-03-30 2002-09-01 Tokyo Electron Ltd Method of and apparatus for tunable gas injection in a plasma processing system
US20040175953A1 (en) * 2003-03-07 2004-09-09 Ogle John S. Apparatus for generating planar plasma using concentric coils and ferromagnetic cores
TWI285926B (en) * 2005-07-15 2007-08-21 New Power Plasma Co Ltd Multi chamber plasma process system
KR20070079870A (ko) * 2006-02-04 2007-08-08 위순임 다중 출력 원격 플라즈마 발생기 및 이를 구비한 기판 처리시스템
TW200807545A (en) * 2006-04-21 2008-02-01 New Power Plasma Co Ltd Plasma processing system
TW200818317A (en) * 2006-04-25 2008-04-16 New Power Plasma Co Ltd Plasma reactor having plasma chamber coupled with magnetic flux channel
TW200816278A (en) * 2006-06-13 2008-04-01 Tokyo Electron Ltd Shower plate, plasma processing device using the same, plasma processing method and manufacturing method of electronic apparatus

Also Published As

Publication number Publication date
JP5989644B2 (ja) 2016-09-07
WO2012018365A2 (en) 2012-02-09
US10424460B2 (en) 2019-09-24
KR20130137126A (ko) 2013-12-16
TW201223342A (en) 2012-06-01
KR101912474B1 (ko) 2018-10-26
US20120035766A1 (en) 2012-02-09
WO2012018365A3 (en) 2013-07-25
JP2013541800A (ja) 2013-11-14
US9449793B2 (en) 2016-09-20
JP6412902B2 (ja) 2018-10-24
US20160358754A1 (en) 2016-12-08
JP2017004966A (ja) 2017-01-05

Similar Documents

Publication Publication Date Title
TWI641291B (zh) 用以產生電漿之系統、方法及設備
TWI555442B (zh) 分散式多區域電漿源系統、方法及設備
TWI550708B (zh) 分離的電漿源控制用之系統、方法與設備
US20180228015A1 (en) Distributed, Non-Concentric Multi-Zone Plasma Source Systems, Methods and Apparatus
TWI519215B (zh) 分散式多區電漿源系統、方法及設備
US10283325B2 (en) Distributed multi-zone plasma source systems, methods and apparatus