JP6412902B2 - プラズマシステム - Google Patents

プラズマシステム Download PDF

Info

Publication number
JP6412902B2
JP6412902B2 JP2016157072A JP2016157072A JP6412902B2 JP 6412902 B2 JP6412902 B2 JP 6412902B2 JP 2016157072 A JP2016157072 A JP 2016157072A JP 2016157072 A JP2016157072 A JP 2016157072A JP 6412902 B2 JP6412902 B2 JP 6412902B2
Authority
JP
Japan
Prior art keywords
plasma
chamber
ring
chambers
ferrites
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016157072A
Other languages
English (en)
Other versions
JP2017004966A (ja
Inventor
シャジイ・アリ
ゴッチョー・リチャード
ベンゼルーク・スーハイル
カウエ・アンドリュー
ナガルカッチ・シダース・ピー.
エントレー・ウィリアム・アール.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2017004966A publication Critical patent/JP2017004966A/ja
Application granted granted Critical
Publication of JP6412902B2 publication Critical patent/JP6412902B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/12Actuating devices; Operating means; Releasing devices actuated by fluid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B31/00Electric arc lamps
    • H05B31/02Details
    • H05B31/26Influencing the shape of arc discharge by gas blowing devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Computer Hardware Design (AREA)
  • Evolutionary Computation (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Artificial Intelligence (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Medical Informatics (AREA)
  • Software Systems (AREA)
  • Health & Medical Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electron Sources, Ion Sources (AREA)

Description

本発明は、一般に、プラズマ反応チャンバに関し、特に、ウエハ処理チャンバから分離されたプラズマ反応チャンバのための方法、システム、および、装置に関する。
図1Aは、典型的な平行板容量性プラズマ処理チャンバ100の側面図である。図1Bは、典型的な平行板容量性プラズマ処理チャンバ100で処理される基板102の上面図である。典型的なプラズマ処理チャンバ100は、上部電極104と、処理される基板102を支持するための基板支持体106とを備える。基板支持体106は、底部電極でもあってよい。上部電極104は、通例、複数の流入口109を備えたシャワーヘッド型の電極である。複数の流入口109は、処理チャンバ100の幅全体にわたって処理ガス110を流入させることを可能にする。
典型的な平行板容量性プラズマリアクタ100は、円形の平面基板を処理するために用いられる。一般に行われる処理は、誘電体エッチングおよびその他のエッチング処理である。かかるプラズマリアクタは、通例、中心からエッジにかけて中性種が不均一になるという問題を抱えている。
これらのシステムは良好に機能するものの、流速、効果的なガスの滞留時間、ならびに、存在する1または複数のガス化学物質、の内の1または複数が基板の中心とエッジとで異なることから起きる中心からエッジへの中性種の不均一性を生じるシステムもある。1または複数のガス化学物質は、ガス相の解離、交換、および、再結合反応によって生じうる。
例えば、処理ガスが処理チャンバの幅にわたって導入されると、プラズマ112が上部電極104および底部電極106の間に形成され、プラズマが形成される。プラズマ112内のラジカルおよび中性種が基板102の表面と反応することにより、プラズマ副生成物118が形成される。プラズマ副生成物118は、基板の側方からポンプ108内に引き出される。プラズマ副生成物は、1または複数の解離反応(例えば、CF4+e-→CF3+F+e-)、ならびに/もしくは、1または複数のイオン化(例えば、CF4+e-→CF3 ++F)、ならびに/もしくは、1または複数の励起(例えば、Ar→Ar++e-)、ならびに/もしくは、1または複数の付着(例えば、CF4+e-→CF3+F-)、ならびに/もしくは、1または複数の二成分反応(例えば、CF3+H→CF2+HF)を含みうる。
プラズマ副生成物118は、エッチャント、F、CFx、SiF2、SiF4、Co、CO2を含むエッチング副生成物も含みうる。また、エッチング副生成物は、プラズマ112内で解離しうる。
プラズマ処理中には、再結合も起きる。再結合は、再結合生成物120を生成する。再結合は、通例、プラズマ112由来のラジカルおよび中性種が表面(上部電極104の下面など)に衝突した時に起きる。次いで、再結合生成物120は、プラズマ副生成物118と同様に、基板102の側方からポンプ108内に引き出される。プラズマ再結合生成物120は、1または複数の壁反応または表面反応(例えば、F+CF→CF2、および/または、H+H→H2、および/または、O+O→O2、および/または、N+N→N2)を含みうる。プラズマ再結合生成物120は、CFxがチャンバ100の壁またはその他の内面上にポリマを形成する蒸着も含みうる。
図1Aでは、単にわかりやすくするために、プラズマ副生成物が、基板102の片側から引き出され、再結合生成物120が、基板102の反対側から引き出されるように図示されていることに注意されたい。実際の実施においては、当業者にとって明らかなように、再結合生成物120およびプラズマ副生成物118の両方が混ざり合い、ポンプ108またはその他の手段に向かって基板102の両側から引き出される。
プラズマ処理が行われる際、再結合生成物120およびプラズマ副生成物118の濃度は、基板102の中心からエッジまで変化する。結果として、プラズマ112における処理ガス、ラジカル、中性種の濃度も、それに応じて変化する。したがって、プラズマ処理(この例では、エッチング)の効果が、基板102の中心からエッジまでで異なったものになる。しかしながら、プラズマを低減または制御するために実装できる多くのチャンバ構成および構造がある。
かかる制御を行った場合、プラズマのラジカルおよび中性種は、基板102の中央部分102Aの上のプラズマ処理領域114Aおよび116Aにおける基板102の中心で最も濃度が高くなる。さらに、ラジカルおよび中性種の濃度は、基板102の中間部分102Bの上の中間プラズマ処理領域114Bおよび116Bで若干低くなる。さらに、ラジカルおよび中性種の濃度は、基板102のエッジ部分102Cの上のエッジプラズマ処理領域114Cおよび116Cでさらに希釈されて低くなる。
したがって、プラズマ処理は、基板102の中央部分102A上の中央プラズマ処理領域114Aおよび116Aで最も速く、それと比較して、基板102の中間部分102B上の中間プラズマ処理領域114Bおよび116Bでは若干遅く、基板のエッジ部分102C上のエッジプラズマ処理領域114Cおよび116Cのプラズマ処理はさらに遅い。これにより、基板102の中心からエッジまでの不均一が生じる。
この中心からエッジまでの不均一性は、非常に大きいアスペクト比を有する小型製品用プラズマ処理チャンバにおいて悪化する。例えば、非常に大きいアスペクト比とは、基板の幅Wがプラズマ処理領域の高さHの約4倍以上である場合として定義される。プラズマ処理領域のアスペクト比が非常に大きいと、プラズマ処理領域114A〜116Cにおけるプラズマ副生成物118および再結合生成物120の濃度がさらに高くなる。
この中性種の中央からエッジまでの不均一性は、中央からエッジまでのプロセスの不均一性の唯一の原因ではないが、多くの誘電体エッチングの応用例において大きく寄与している。具体的には、ゲートまたはビット線のマスク開口、低誘電率薄膜上のフォトレジストストリップ、高選択性のコンタクト/セルおよびビアのエッチングなど、中性種に依存する処理は、特に、これらの影響を受けやすい場合がある。ウエハ誘電体エッチングに用いられるものに加えて、他の平行板プラズマリアクタでも、同様の問題が起こりうる。
上記に鑑みて、プラズマエッチング処理における中央からエッジまでの均一性を改善することが求められている。
概して、本発明は、分散型マルチゾーンプラズマ源を提供することによって、これらの要求を満たす。本発明は、処理、装置、システム、コンピュータ読み取り可能な媒体、または、デバイスなど、種々の形態で実施できることを理解されたい。以下では、本発明の実施形態をいくつか説明する。
一実施形態は、プラズマ源を提供しており、プラズマ源は、リングプラズマチャンバと、リングプラズマチャンバの外側に巻かれた一次巻線と、複数のフェライトであって、リングプラズマチャンバがフェライトの各々を貫通している、複数のフェライトと、プラズマチャンバを処理チャンバに結合する複数のプラズマチャンバ流出口と、を備える。プラズマチャンバ流出口の各々は、それぞれのプラズマ制限部を有する。
プラズマ制限部は、約0.1mmから約2.0mmの間の直径を有してよい。プラズマ制限部は、プラズマシース厚さの2倍以下の直径を有してよい。プラズマ制限部は、プラズマチャンバと処理チャンバとの間に約1.5対1よりも大きい圧力差を提供するのに十分な直径を有する。プラズマ制限部は、バイアス電源に接続されてもよい。
プラズマ源は、さらに、処理ガス源をプラズマチャンバに結合する少なくとも1つの処理ガス流入口を備えてよい。プラズマ源は、さらに、少なくとも1つの処理ガス流入口に結合された処理ガス流量制御装置を備えてもよい。
フェライトは、リングプラズマチャンバの周囲に実質的に均等に分散されてよい。フェライトは、リングプラズマチャンバの周囲に複数のグループに分けて配置されてよい。リングプラズマチャンバは、略円形、略三角形、略長方形、または、略多角形からなる一群の形状の内の1つであってよい。
別の実施形態は、プラズマを生成する方法を提供する。方法は、処理ガスをリングプラズマチャンバ内に供給する工程と、リングプラズマチャンバの外側に巻かれた一次巻線に一次電流を印加する工程と、一次巻線内に磁界を生成する工程と、複数のフェライトによって磁界を集中させる工程と、を備える。リングプラズマチャンバは、フェライトの各々を貫通している。リングプラズマチャンバ内の処理ガスに二次電流が誘導され、二次電流でリングプラズマチャンバの処理ガスにプラズマが生成される。プラズマは、プラズマチャンバを処理チャンバに結合する複数のプラズマチャンバ流出口の各々にあるプラズマ制限部によって、リングプラズマチャンバ内に制限される。
方法は、さらに、流出口を通して処理チャンバに中性種およびラジカル種の少なくとも一方を供給する工程を備えてもよい。プラズマ制限部は、バイアスされてもよい。
方法は、さらに、リングプラズマチャンバ内の流量、圧力、および/または、バイアスの少なくとも1つを調節する工程を備えてもよい。方法は、さらに、少なくとも1つの処理監視センサから処理フィードバック信号を受信する工程と、流量、圧力、および/または、バイアスの内の少なくとも1つに関する少なくとも1つの設定値を調整する工程と、を備えてもよい。
別の実施形態は、プラズマ処理システムを提供しており、プラズマ処理システムは、リングプラズマチャンバと、リングプラズマチャンバの外側に巻かれた一次巻線と、複数のフェライトとを備え、リングプラズマチャンバはフェライトの各々を貫通している。複数のプラズマチャンバ流出口が、プラズマチャンバを処理チャンバに結合しており、プラズマチャンバ流出口の各々は、それぞれのプラズマ制限部を有する。システムは、さらに、少なくとも1つの処理監視センサと、コントローラとを備える。コントローラは、処理ガスをリングプラズマチャンバ内に供給するためのロジックと、リングプラズマチャンバの外側に巻かれた一次巻線に一次電流を印加するためのロジックと、一次巻線内に磁界を生成するためのロジックと、フェライトによって磁界を集中させるためのロジックと、リングプラズマチャンバ内の処理ガスに二次電流を誘導するためのロジックと、二次電流でリングプラズマチャンバの処理ガスにプラズマを生成するためのロジックと、少なくとも1つの処理監視センサから処理フィードバック信号を受信するためのロジックと、少なくとも1つの設定値を調整するためのロジックと、を備える。
さらに別の実施形態は、基板を処理するためのプラズマシステムを提供する。システムは、処理チャンバを備えており、処理チャンバは、底部と、複数の側壁と、底部に近接する基板支持体と、処理チャンバを囲むように側壁と結合されたチャンバ上部と、を有する。システムは、さらに、複数のフェライトと、複数のプラズマチャンバ流出口と、を備える。フェライトは、基板支持体の複数の領域に分散されるように、チャンバ上部の上に配置され、領域は、基板支持体の外部と基板支持体の中心部との間に少なくとも伸びる。プラズマチャンバ流出口は、プラズマチャンバを処理チャンバに結合しており、プラズマチャンバ流出口の各々は、それぞれのプラズマ制限部を有する。プラズマシステムは、さらに、フェライトに沿って電流を供給する電源を備えてもよく、フェライトは、基板支持体の領域に電流を集中させる。
本発明のその他の態様および利点については、本発明の原理を例示した添付図面を参照しつつ行う以下の詳細な説明から明らかになる。
添付の図面を参照して行う以下の詳細な説明から、本発明を容易に理解することができる。
典型的な平行板容量性プラズマ処理チャンバの側面図。
典型的な平行板容量性プラズマ処理チャンバで処理される基板の上面図。
本発明の一実施形態に従って、プラズマ源を示す斜視図。
本発明の一実施形態に従って、プラズマ源を示す上面図。
本発明の一実施形態に従って、プラズマ源の2C−2Cの部分を示す断面図。
本発明の一実施形態に従って、プラズマ源を示す斜視断面図。
本発明の一実施形態に従って、処理チャンバに取り付けられたプラズマ源を示す斜視図。
本発明の一実施形態に従って、処理チャンバに取り付けられたプラズマ源200を示すさらなる斜視図。 本発明の一実施形態に従って、処理チャンバに取り付けられたプラズマ源200を示すさらなる斜視図。
本発明の一実施形態に従って、処理チャンバ230に取り付けられたプラズマ源を示す別の斜視図。
本発明の実施形態に従って、複数のプラズマチャンバ流出口を示す断面図。
本発明の実施形態に従って、複数のプラズマチャンバ流出口を処理チャンバ側から示した図。
本発明の一実施形態に従って、別のプラズマ源を示す斜視図。
本発明の一実施形態に従って、マルチゾーンプラズマ源を示す上面斜視図。
本発明の一実施形態に従って、マルチゾーンプラズマ源を示す底面斜視図。
本発明の一実施形態に従って、別のマルチゾーンプラズマ源を示す上面斜視図。
本発明の一実施形態に従って、マルチゾーンプラズマ源を示す底面斜視図。
本発明の一実施形態に従って、マルチゾーンプラズマ源を示す概略図。 本発明の一実施形態に従って、マルチゾーンプラズマ源を示す概略図。
本発明の一実施形態に従って、任意選択的なプラズマ制限部の様々なサイズに対する流量および圧力を示すグラフ。
本発明の一実施形態に従って、変圧器の一例を示す概略図。
本発明の一実施形態に従って、プラズマ源内のフェライトおよびプラズマチャンバの1つのリングを示す概略図。
本発明の一実施形態に従って、マルチゾーンプラズマ源内のフェライトおよびプラズマチャンバの1つのリングを示す電気接続図。
本発明の一実施形態に従って、電源を示す電気接続図。
本発明の一実施形態に従って、プラズマ源からの流れを示す図。 本発明の一実施形態に従って、プラズマ源からの流れを示す図。 本発明の一実施形態に従って、プラズマ源からの流れを示す図。
本発明の一実施形態に従って、本明細書に記載のプラズマ源の作動時に実行される方法動作を示すフローチャート。
本発明の一実施形態に従って、本明細書に記載のプラズマ源の内の1または複数を含む統合システムを示すブロック図。
ここで、分散型マルチゾーンプラズマ源システム、方法、および、装置のためのいくつかの代表的な実施形態を記載する。当業者にとって明らかなように、本発明は、本明細書に記載する具体的な詳細事項の一部または全てがなくとも実施可能である。
図2Aは、本発明の一実施形態に従って、プラズマ源200を示す斜視図である。プラズマ源200は、処理ガス流入口206、複数のフェライト204、プラズマ源上部208、および、チャンバ上部202を備える。プラズマ源200の要素202〜208の具体的な配置は、図示したものから変更されてもよいことを理解されたい。例えば、チャンバ上部202およびプラズマ源上部208は、処理チャンバ230の単一のカバーに一体化されてもよい。
図2Bは、本発明の一実施形態に従って、プラズマ源200を示す上面図である。図2Cは、本発明の一実施形態に従って、プラズマ源200の2C−2Cの部分を示す断面図である。図2Dは、本発明の一実施形態に従って、プラズマ源200を示す斜視断面図である。図2Eは、本発明の一実施形態に従って、処理チャンバ230に取り付けられたプラズマ源200を示す斜視図である。処理ガスプレナム212が、処理ガス流入口206から供給される処理ガス用の分配プレナムとして図示されている。
処理ガス110が、処理ガスプレナム212への流入口206に流入する。処理ガスプレナム212は、流入口212Aに処理ガス110を分配する。流入口212Aは、処理ガス110をプラズマチャンバ210内に方向付ける。処理ガス流入口212Aは、プラズマチャンバ流出口220と整列されてもよいし、オフセットされてもよい。処理ガス流入口212Aおよび/またはプラズマチャンバ流出口220は、フェライト204の間に配置されてもよいし、フェライトと整列されてもよいし、それらを組み合わせた配置であってもよい。
フェライト204は、選択された間隔でプラズマチャンバ210の周りを囲む。フェライト204は、各フェライトの中心に近接する電界を、プラズマチャンバ210内の対応する点にプラズマを支持するのに十分な強さにするために、十分な磁界を集中させる。
フェライト204は、略正方形として示されているが、以下に示すように、その他の形状であってもよい。フェライト204は、複数の部品224A、224B、224C、224Dで形成されているよう図示されているが、1または複数の部品で形成されうる。複数のフェライト部品224A、224B、224C、224Dは、各フェライト204の中心に近接する電界を集中させるように実質的に隣接している。図に示すように、フェライト204は、チャンバ上部202に分散されている。処理チャンバ230は、側壁230’および底部230’’を有する。基板支持体106は、底部230’’の上に配置されるか、近接または隣接して配置される。
図に示すように、プラズマチャンバ流出口220は、プラズマチャンバ210をチャンバ上部202の下の処理チャンバ230に結合する。プラズマチャンバ流出口220は、プラズマおよび/またはラジカルおよび/または中性種をプラズマチャンバ210から処理チャンバ230に送る。
任意選択的なプラズマ制限部214も図示されている。任意選択的なプラズマ制限部214は、プラズマチャンバ210および処理チャンバ230の間の所望の圧力差を提供するために利用できる。また、任意選択的なプラズマ制限部214は、十分に小さくてもよい、および/または、プラズマがプラズマチャンバ210から処理チャンバ230に通過するのを実質的に防ぐようにバイアスされてもよい。さらに、プラズマ制限部は、プラズマチャンバ210からイオンを引き出して、処理チャンバ内へ、次いでウエハ上へとイオンを引き込むために、バイアスされうる。例として、任意選択的なプラズマ制限部214は、プラズマシース厚さの2倍以下の直径を有しうるため、プラズマシースは、プラズマが任意選択的なプラズマ制限部を通過するのを防ぐことができる。任意選択的なプラズマ制限部214は、約0.1mmから約2.0mmの間で選択された直径(例えば、0.1mm、0.2mm、0.5mm、1.0mm、2.0mm)を有しうる。任意選択的なプラズマ制限部214のアスペクト比を利用して、プラズマ制限部の効果を調節できることに注意されたい。例として、より高いアスペクト比(すなわち、長さ/幅)のプラズマ制限部214は、プラズマを実質的に制限しつつ、中性種またはラジカル種の移動への影響が最小限でありうる。また、より大きい直径の流出オリフィスを用いてもよいことを理解されたい。例えば、任意選択的なプラズマ制限部214は省かれてもよく、効果的な制限部は、プラズマチャンバ流出口220の幅である。プラズマチャンバ流出口220の幅は、プラズマチャンバ210および処理チャンバ230の両方の圧力を実質的に等しくするのに十分なほど実質的に広くてよい。
図2Iは、本発明の実施形態に従って、複数のプラズマチャンバ流出口220を示す断面図である。図2Jは、本発明の実施形態に従って、複数のプラズマチャンバ流出口220を処理チャンバ側から示した図である。プラズマチャンバ流出口220は、所望の幅を有する略長方形の断面形状を持つ真っ直ぐな略円筒形であってよい。プラズマチャンバ流出口220は、任意選択的な円錐台形状220Aを含んでもよい。任意選択的な円錐台形状220Aは、プラズマチャンバ流出口220からの流れの円滑化および/または流れの分散を実現しうる。プラズマチャンバ流出口220は、その他の任意選択的な形状を備えてもよい。例として、プラズマチャンバ流出口220は、より長い幅の同じ形状220Bを備えてもよいし、より狭い幅の同じ形状220Fを備えてもよい。プラズマチャンバ流出口220は、任意選択的な湾曲流出口すなわちボウル形流出口220C、220Eを含んでもよい。任意選択的な湾曲すなわちボウル形流出口220C、220Eは、流出口220Cのように幅の最も広い箇所に開口部を有してもよいし、流出口220Eのように幅の最も広い箇所よりも狭い箇所に開口部を有してもよい。任意選択的な円錐台形状は、円錐台形状220Dであってもよい。
任意選択的なプラズマ制限部は、任意選択的なプラズマ制限部214のように、流出口220の長さ方向に沿って実質的に中心に配置されてよい。あるいは、任意選択的なプラズマ制限部は、任意選択的なプラズマ制限部214’のように、実質的に流出口220のプラズマチャンバ210側の端部に配置されてもよい。あるいは、任意選択的なプラズマ制限部は、任意選択的なプラズマ制限部214’’のように、実質的に流出口220の処理チャンバ230側の端部に配置されてもよい。任意選択的なプラズマ制限部214は、流出口220のプラズマチャンバ210側の端部および処理チャンバ230側の端部の間で流出口220の長さ方向に沿って任意の位置に配置できることを理解されたい。
図2Jに示すように、プラズマチャンバ流出口220は、任意の適切な形状であってよい。例として、略円形220、略楕円形220H、略長方形220I、220J、または、その他の幾何学的形状(例えば、三角形220K、任意の数の辺を持つ多角形220L)が挙げられる。プラズマチャンバ流出口220は、実質的に尖った頂点を有してもよいし(220I、220K、220L)、実質的に曲線状の頂点および/または辺を有してもよい(220J、220M、220N)。複数の形状の組み合わせが、プラズマチャンバ流出口220に備えられてもよい。例として、任意選択的な円錐台形状220Aは、略円形220Aではなく、より楕円形の形状220A’を有してもよい。
チャンバ上部202は、さらに、1または複数の流出口234を備えうる。流出口234は、低圧源(例えば、真空ポンプ)に結合される。流出口234は、低圧源が、処理チャンバ230の中心付近からプラズマ副生成物118および再結合生成物120を引き出すことを可能にする。結果として、プラズマ副生成物118および再結合生成物120は、処理チャンバ内でプラズマ410とプラズマによって生成される中性種412とを妨げない。
処理チャンバ230は、ロードポート232と、処理される基板を支持するための支持構造とを備える。当業者に周知のように、その他の特徴が、処理チャンバ230に備えられてもよい。
図2Fおよび図2Gは、本発明の一実施形態に従って、処理チャンバ230に取り付けられたプラズマ源200を示すさらなる斜視図である。さらに詳細に説明するために、図面では、プラズマ源上部208が持ち上げられ(図2F)、取り除かれている(図2G)。プラズマチャンバ210は、プラズマ源上部208または処理チャンバ230とは異なる材料で構成されうる。例として、プラズマチャンバ210は、セラミックであってよく、プラズマ源上部208または処理チャンバ230は、セラミック、金属(例えば、アルミニウム、鋼鉄、ステンレス鋼など)であってよい。スロット226Aおよび226Bが、フェライト204の支持および設置のために設けられている。
図2Gに示すように、フェライト204は、プラズマチャンバ210の外側の周りを囲んでいる。プラズマチャンバ210は、セラミックまたはその他の誘電材料(例えば、石英、シリカ(SiO2)、アルミナ(Al23)、サファイア(Al23)、窒化アルミニウム(AlN)、酸化イットリウム(Y23)、および/または、同様の材料、ならびに、これらの組み合わせ)などの誘電体で形成されてよい。
図2Hは、本発明の一実施形態に従って、処理チャンバ230に取り付けられたプラズマ源200を示す別の斜視図である。図2Hに示すように、一次導体240が、プラズマチャンバ210の周囲に巻かれている。一次導体240は、後に図7でより詳細に説明するように、誘電素子の一次巻線である。一次導体240は、プラズマチャンバ210の周りに1または複数回巻かれている。ここに示すように、一次導体240は、プラズマチャンバ210の周りに2回巻かれているが、3以上であってもよい。
図3Aは、本発明の一実施形態に従って、別のプラズマ源300を示す斜視図である。プラズマ源300は、選択された間隔でプラズマチャンバを囲む複数のフェライト要素204を有するプラズマチャンバ210を備える。この例において、フェライト要素204は、実質的に等間隔でプラズマチャンバを囲んでいるが、異なる間隔であってもよい。
プラズマチャンバ210は、略円形、または、この例におけるように、5つの辺を有する幾何学的形状であってよい。同様に、プラズマチャンバ210は、円形または3以上の辺を持つ幾何学的形状であってよい。また、プラズマチャンバ210は、略長方形または略円形または丸みを帯びた断面形状を有してよいことに注意されたい。プラズマチャンバ210の内面は、滑らかであり、任意の尖った(例えば、略直角またはより鋭角の)縁部または角部を持たなくてよい。例として、内側の角部は、比較的大きい半径(例えば、プラズマチャンバの断面の半径の約1/2から約2倍の間)を有する丸みを帯びた輪郭を有しうる。図では、単一の処理ガス流入口206がプラズマチャンバ210に結合されているが、プラズマチャンバに処理ガスを供給するために2以上の処理ガス流入口を利用できることにも注意されたい。
図3Bは、本発明の一実施形態に従って、マルチゾーンプラズマ源320を示す上面斜視図である。マルチゾーンプラズマ源320は、例えば入れ子になったリング内に、複数の個別の同心プラズマチャンバ310A〜310Dを備える。同心プラズマチャンバ310A〜310Dの各々は、対応するフェライトのセット204A〜204Dを有する。
図3Cは、本発明の一実施形態に従って、マルチゾーンプラズマ源320を示す底面斜視図である。チャンバ上部202は、複数の処理流出口304A〜304Eおよび複数のプラズマ流出口220A〜220Dを有する。複数のプラズマ流出口220A〜220Dは、対応するプラズマチャンバ310A〜310Dに結合されている。
図3Dは、本発明の一実施形態に従って、別のマルチゾーンプラズマ源330を示す上面斜視図である。図3Eは、本発明の一実施形態に従って、マルチゾーンプラズマ源330を示す底面斜視図である。マルチゾーンプラズマ源330は、複数の同心プラズマチャンバ310A〜310Eを備える。同心プラズマチャンバ310A〜310Eの各々は、対応するフェライトのセット204A〜204Eを有する。
図によると、隣接するプラズマチャンバ310A〜310Eのフェライト204A〜204Eは、領域332A〜332Dに示すように若干重なっていてよい。例として、フェライト204Bの内側の縁部は、領域332Aにおいてフェライト204Aの外側の縁部と重なっている。同様に、フェライト204Bの外側の縁部は、領域332Bにおいてフェライト204Cの内側の縁部と重なっている。フェライト204A〜204Eの重なりによって、マルチゾーンプラズマ源330内に同心プラズマチャンバ310A〜310Eをより密集させて収容することができる。したがって、同心リング310A〜310Dを4つだけ有する図3Bおよび図3Cの重なりのないフェライト実施形態と同じ直径に、より多くの同心リング310A〜310E(例えば、5つの同心リング)を備えることが可能になる。後述するように、各リング310A〜310Eは、バイアス、ガス流量、濃度、RF電力などが個別に制御されうる。したがって、より多くの同心リング310A〜310Eを設ければ、処理チャンバ230内の基板102の直径にわたって処理をより微細に調整制御することができる。
フェライト204A〜204Eは、任意選択的に、マルチゾーンプラズマ源330の複数の放射状部分(すなわち、パイの一切れの形状)334A〜334L内に配置されてよい。後述するように、各放射状部分334A〜334Lは、バイアス、ガス流量、濃度などが個別に制御されうる。したがって、放射状部分334A〜334Lは、さらに、処理チャンバ230内の基板102にわたって放射状に、処理を微細に調整制御することを可能にする。
図4Aおよび図4Bは、本発明の一実施形態に従って、マルチゾーンプラズマ源300、320を示す概略図である。チャンバ上部202は、マルチゾーンプラズマ源300、320を備える。処理チャンバ230は、側壁230’および底部230’’を有する。基板支持体106は、底部230’’の上に配置されるか、近接または隣接して配置される。処理流出口304A〜304Eは、基板102の幅Wにわたって実質的に均等にプラズマ副生成物118および再結合生成物120を引き出す。結果として、プラズマ副生成物118および再結合生成物120は、プラズマ410とプラズマによって生成される中性種412とを妨げない。したがって、中性種412は、基板102の幅にわたって実質的に均一に分散される。中性種412は、基板102の表面と反応する。中性種412が基板102の幅にわたって実質的に均一に分散されるので、処理チャンバ230内で提供されるプラズマ処理(例えば、エッチング、ストリッピング、または、その他のプラズマ処理)の中央からエッジへの不均一性も実質的に排除される。
コントローラ420は、各リング310A〜310Eのための対応する制御部422A〜422E(例えば、ソフトウェア、ロジック、設定値、レシピなど)を備える。処理監視センサ424、426が、処理フィードバックを提供するためにコントローラ420に接続されてもよい。制御部422A〜422Eは、バイアス信号、電力、周波数、処理ガス110の圧力、流量、および、濃度などについて、各リング310A〜310Eを別個に制御できる。したがって、処理チャンバ230内の基板102の直径にわたって解離ガスの放射状のプロファイル制御を提供する。
複数のプラズマチャンバ310A〜310Eの各々を独立的に制御することによって、処理チャンバ230の対応する領域内の処理を操作することができる。
同様に、複数の放射状部分334A〜334Lの各々は、複数のプラズマチャンバ310A〜310Eの各放射状部分を独立的に制御して、処理チャンバ230の対応する領域内の処理を操作することを可能にする。例えば、プラズマチャンバ310B内の処理ガス110の流量および圧力のプロセス変数設定値が、対応する制御部422Bに入力される。処理監視センサ424、426の内の少なくとも一方は、対応する制御部422Bに入力されるプロセス測定値を提供する。処理監視センサ424、426から入力されたプロセス測定値、ならびに、ロジックおよびソフトウェアに基づいて、対応する制御部422Bは、フェライト310BへのRF電力、ならびに、プラズマチャンバ310B内の処理ガス110の流量および圧力について、修正された設定値を出力する。
同様に、処理は、同心リングプラズマチャンバ310A〜Eおよび/またはフェライト204A〜Eおよび/またはマルチゾーンプラズマ源200、300、310、320、330の放射状部分334A〜334Lの内の1または複数もしくはそれらの組み合わせによって規定されたそれぞれの領域の各々において監視および/または制御されうる。また、各ゾーンは、マルチゾーンプラズマ源200、300、310、320、330が効果的には単一ゾーンプラズマ源になるように、同じ方法および設定値で作動されうることを理解されたい。さらに、マルチゾーンプラズマ源200、300、310、320、330のゾーンのいくつかは、マルチゾーンプラズマ源のゾーンが少なくなるように、同じ方法および設定値で動作されうる。
図5は、本発明の一実施形態に従って、任意選択的なプラズマ制限部214の様々なサイズに対する流量および圧力を示すグラフである。グラフ510は、0.2mmの直径を有する任意選択的なプラズマ制限部214に対して標準立方センチメートル毎分(SCCM)で表した流量である。グラフ520は、0.5mmの直径を有する任意選択的なプラズマ制限部214に対する流量である。グラフ530は、1.0mmの直径を有する任意選択的なプラズマ制限部214に対する流量である。図に示すように、様々なサイズの任意選択的なプラズマ制限部214により、プラズマチャンバ210および処理チャンバ230の間の圧力降下を決定できる。チョーク流れがプラズマ制限部214にわたって生じるような圧力降下があれば、処理チャンバ210への質量流量は、プラズマチャンバ210内の圧力が一定である場合、プラズマチャンバ内での減少に伴って増加することがない。
プラズマチャンバ210の圧力を増大させれば、プラズマチャンバ内のプラズマを支持するのに十分な処理ガス110の密度が提供される。一定のRF電圧では、処理ガス110へ誘導される必要のある電流が、処理ガス圧に反比例する。したがって、プラズマチャンバ210内の処理ガス110の圧力を増大させれば、プラズマを生成するために必要な電流が低減される。さらに、プラズマは、プラズマを支持するための処理ガス圧を必要とするため、プラズマチャンバ210内に閉じ込められ、プラズマチャンバから処理チャンバ230に流入しない。結果として、プラズマ制限部214は、プラズマチャンバ210にプラズマを制限することができる。
変圧器は、一次巻線および二次巻線を有する。一次巻線を流れる一次電流が、磁界を生成する。磁界が二次巻線を通過すると、対応する二次電流が二次巻線に誘導される。フェライトコアを備えた変圧器は、磁界をより小さく密度の高い磁界に集結(すなわち、集中)させるため、二次巻線に二次電流をより効率的に誘導する。これは、非常に効率のよい低周波動作を可能にする(例えば、約13MHz未満、より具体的には10kHzから約5MHz未満の間、より具体的には約10kHzから約1MHz未満の間)。また、低周波動作によれば、典型的な高周波RFプラズマシステム(例えば、約13.56MHz以上の周波数)に比べて大幅にコストを下げることができる。
低周波フェライト結合プラズマシステムのさらなる利点は、イオン衝撃エネルギが低いことであり、その結果、高周波RFシステムに比べて、プラズマ腐食が減り、ウエハ上の粒子が少なくなる。プラズマ腐食が少ない結果、プラズマチャンバ210の表面および構成要素の摩耗および損傷が少なくなる。
図6Aは、本発明の一実施形態に従って、変圧器600の一例を示す概略図である。一次電流Ipが、電源から一次巻線620に印加される。一次巻線620を通る一次電流Ipの流れが、フェライト204内に磁界622を生成する。磁界622は、二次巻線630の中心のフェライトから生じ、二次巻線内に二次電流Isを誘導する。
図6Bは、本発明の一実施形態に従って、プラズマ源200、300、310、320、330内のフェライト204およびプラズマチャンバ210の1つのリングを示す概略図である。図7は、本発明の一実施形態に従って、プラズマ源200、300、310、320、330内のフェライト204およびプラズマチャンバ210の1つのリングを示す電気接続図700である。本明細書に記載のプラズマ源200、300、310、320、330において、一次巻線240は、各プラズマチャンバ210の周囲、かつ、フェライト204のそれぞれのセット204A〜Eの内側に巻かれる。二次巻線は、プラズマチャンバ210内の処理ガス110である。
一次電流Ipが、電源702から一次巻線240に印加される。電力はRFであってよい(例えば、約10kHzから約1MHz以上の間、または、約10kHzから約5MHzの間、または、約10kHzから約13MHz未満の間)。一次巻線240を通る一次電流Ipの流れが、フェライト204内に磁界622を生成する。磁界622は、プラズマチャンバ210内の処理ガス110に二次電流Isを誘導する。結果として、処理ガスは、プラズマ410を形成するのに十分に励起される。
図8は、本発明の一実施形態に従って、電源702を示す電気接続図である。電源702は、電力源802からのAC電力をDC電力に変換するための整流器804を備える。フィルタ808が、整流器804の出力をフィルタリングする。フィルタリングされたDCは、フィルタ808からインバータ810に供給される。インバータ810は、フィルタリングされたDCを、所望の周波数、電圧、および、電流のAC信号に変換する。共振回路812は、共振状態の負荷に所望のAC信号を効率的に供給するために、プラズマチャンバ負荷814と共振を一致させる。
コントローラ820は、電源702を制御する。コントローラ820は、システムコントローラまたはより大きいコントロールシステム(図示せず)へのリンク(例えば、ネットワーク)を備えうるユーザインターフェース822を備える。コントローラ820は、構成要素の動作を監視および制御するために、構成要素804、808、810、812と直接的に、または、センサ806A、806B、806Cを介して結合される。例えば、コントローラ820は、電源702内の電力信号の電圧、電流、電力、周波数、および、位相の内の1または複数を監視する。
図9A〜図9Cは、本発明の一実施形態に従って、プラズマ源300、310、320、330からの流れを示す図である。図に示すように、ラジカルおよび中性種の流れ902が、略扇状にプラズマチャンバ304A〜Fから基板102に向かって流れる。扇状は、流出口220から始まり、ウエハ102に近づくにつれて広がる。プラズマチャンバ304A〜Fを流れるガスは、流量Qおよび圧力Psを有する。圧力Pcは、処理チャンバ230内の圧力である。PsおよびPcの間の差により、ラジカルおよび中性種の流れ902がウエハ102に向かって広がることが可能になる。
図9Bによると、ラジカルおよび中性種の流れ902の濃度920は、流出口220の間の距離Lおよび処理チャンバ230の高さHの関数である。流出口220の間の距離Lが大きすぎると、ラジカルおよび中性種の流れ902の濃度920がウエハ102の表面と反応するのに不十分である領域904が生じる。同様に、処理チャンバ230の高さHが小さすぎると、ラジカルおよび中性種の流れ902の濃度920がウエハ102の表面と反応するのに不十分である領域904が生じる。図9Cは、以下のような高さHおよび距離Lの理想的な関係を示す:
Figure 0006412902
距離Lが高さHの1/2にほぼ等しい場合、ウエハ表面にわたるラジカルおよび中性種の濃度の変動は最小化されうる。あるいは、距離Lおよび高さHの関係を増減させると、ウエハの表面にわたるラジカルおよび中性種の濃度の変動を実現できる。
図10は、本発明の一実施形態に従って、プラズマ源200、300、310、320、330の作動時に実行される方法動作を示すフローチャートである。本明細書に示した動作は、例示を目的としたものであり、いくつかの動作はサブ動作を有してもよく、他の例において、本明細書で述べた特定の動作が図の動作に含まれなくてもよいことを理解されたい。このことを念頭に置いて、方法および動作1000について説明する。
動作1005において、処理ガス110がプラズマチャンバ210に供給される。動作1010において、処理ガス110はプラズマチャンバ210内で第1の圧力に維持される。第1の圧力は、プラズマチャンバの1組の流出口220に結合された処理チャンバ230の圧力と同じであるか、その2倍以上までであってよい。
動作1015において、一次電流Ipが、プラズマチャンバ210の外周に巻かれた一次巻線240に印加される。動作1020において、一次電流Ipが磁界を生成する。動作1025において、1または複数のフェライト204が、プラズマチャンバ210のほぼ中心部に磁界を集中させる。フェライト204は、プラズマチャンバ230の周りに形成される。
動作1030において、磁界は、プラズマチャンバ210内の処理ガス110に二次電流Isを誘導する。動作1035において、二次電流Isは、プラズマチャンバ210の処理ガス110内でプラズマを生成する。動作1040において、プラズマならびにプラズマによって生成されたラジカルおよび中性種の一部が、プラズマチャンバ210からプラズマ流出口220を通って処理チャンバ230へ移動する。
動作1045において、ラジカルおよび中性種は、基板102および処理チャンバ230と相互作用して、プラズマ副生成物118および再結合生成物120を生成する。動作1050において、プラズマ副生成物118および再結合生成物120は、1または複数の処理流出口304A〜304Eを通して処理チャンバから引き出される。1または複数の処理流出口304A〜304Eは、処理チャンバ上部202の表面にわたって、または、基板支持体106の縁部に沿って、または、基板支持体の下(処理チャンバの底部内など)に、もしくは、それらを組み合わせた方法で配置されており、これで、方法動作は終了しうる。
図11は、本発明の一実施形態に従って、プラズマ源200、300、320を含む統合システム1100を示すブロック図である。統合システム1100は、プラズマ源200、300、320と、プラズマ源に接続された統合システムコントローラ1110とを備える。統合システムコントローラ1110は、ユーザインターフェース1114を備えるか、もしくは、ユーザインターフェースに(例えば、有線または無線ネットワーク1112を介して)接続される。ユーザインターフェース1114は、ユーザ読み取り可能な出力および指示を提供し、ユーザ入力を受信して、統合システムコントローラ1110へのユーザアクセスを提供する。
統合システムコントローラ1110は、専用コンピュータまたは汎用コンピュータを備えうる。統合システムコントローラ1110は、プラズマ源200、300、320について、データ1118(例えば、実行履歴、性能または欠陥の分析、オペレータログ、履歴など)を監視、制御、収集、および、格納するために、コンピュータプログラム1116を実行できる。例として、統合システムコントローラ1110は、収集したデータが、プラズマ源200、300,320、および/または、それらの構成要素(例えば、同心リングプラズマチャンバ310A〜Eの1つまたはフェライト204、204A〜Eなど)の動作への調整を決定づけた場合に、それらの動作を調整できる。
上述の実施形態を念頭に置いて、本発明は、コンピュータシステムに格納されたデータを含め、コンピュータによって実行される様々な動作を用いてよいことを理解されたい。これらの動作は、物理量の物理操作を必要とするものである。通常、必ずしも当てはまるわけではないが、これらの物理量は、格納、転送、合成、比較、および、その他の操作を施すことが可能な電気または磁気の信号の形態を取る。さらに、実行される操作は、生成、特定、決定、または、比較などの用語で呼ばれることが多い。
本発明の一部を形成する本明細書で説明した動作はいずれも、有用な機械動作である。本発明は、さらに、これらの動作を実行するためのデバイスまたは装置に関する。装置は、必要な目的に対して特別に構成されてもよいし、コンピュータ内に格納されたコンピュータプログラムによって選択的に起動または構成される汎用コンピュータであってもよい。特に、本明細書の教示に従って記述されたコンピュータプログラムと共に、様々な汎用マシンを用いてもよいし、必要な動作を実行することに特化された装置を構成して利便性を向上させてもよい。
本発明は、コンピュータ読み取り可能な媒体上のコンピュータ読み取り可能なコードおよび/またはロジックとして実施されてもよい。コンピュータ読み取り可能な媒体は、コンピュータシステムによって読み出し可能なデータを格納できる任意のデータ格納装置である。コンピュータ読み取り可能な媒体の例としては、ハードドライブ、ネットワーク接続ストレージ(NAS)、読み出し専用メモリ、論理回路、ランダムアクセスメモリ、CD−ROM、CD−R、CD−RW、磁気テープ、および、その他の光学および非光学式のデータ格納装置が挙げられる。コンピュータ読み取り可能な媒体は、コンピュータ読み取り可能なコードが、分散的に格納および実行されるように、ネットワーク接続された複数のコンピュータシステムに分散されてもよい。
上述の図面における動作によって表される命令は、図示した順序で実行される必要はなく、それらの動作によって表される処理すべてが、必ずしも本発明の実施に必要なわけではない。さらに、上述の図面のいずれかに記載された処理は、RAM、ROM、または、ハードディスクドライブのいずれか、もしくは、それらを組み合わせたものに格納されたソフトウェアとして実施されてもよい。
理解を深めるために、上述の発明について、ある程度詳しく説明したが、添付の特許請求の範囲内で、ある程度の変更や変形を行ってもよいことは明らかである。したがって、本実施形態は、例示的なものであって、限定的なものではないとみなされ、本発明は、本明細書に示した詳細に限定されず、添付の特許請求の範囲および等価物の範囲内で変形されてよい。
本発明は以下の形態としても実現できる。
[形態1]
プラズマ源であって、
リングプラズマチャンバと、
前記リングプラズマチャンバの外側に巻かれた一次巻線と、
複数のフェライトであって、前記リングプラズマチャンバが前記複数のフェライトの各々を貫通している、複数のフェライトと、
前記プラズマチャンバを処理チャンバに結合し、それぞれのプラズマ制限部を有する複数のプラズマチャンバ流出口と、を備える、プラズマ源。
[形態2]
形態1に記載のプラズマ源であって、
前記プラズマ制限部は、約0.1mmから約2.0mmの間の直径を有する、プラズマ源。
[形態3]
形態1に記載のプラズマ源であって、
前記プラズマ制限部は、プラズマシース厚さの2倍以下の直径を有する、プラズマ源。
[形態4]
形態1に記載のプラズマ源であって、
前記プラズマ制限部は、前記プラズマチャンバと前記処理チャンバとの間に約1.5対1よりも大きい圧力差を提供するのに十分な直径を有する、プラズマ源。
[形態5]
形態1に記載のプラズマ源であって、
前記プラズマ制限部は、バイアス電源に接続されている、プラズマ源。
[形態6]
形態1に記載のプラズマ源であって、さらに、
処理ガス源を前記プラズマチャンバに結合する少なくとも1つの処理ガス流入口を備える、プラズマ源。
[形態7]
形態6に記載のプラズマ源であって、さらに、
前記少なくとも1つの処理ガス流入口に結合された処理ガス流量制御装置を備える、プラズマ源。
[形態8]
形態1に記載のプラズマ源であって、
前記フェライトは、前記リングプラズマチャンバの周囲に実質的に均等に分散されている、プラズマ源。
[形態9]
形態1に記載のプラズマ源であって、
前記フェライトは、前記リングプラズマチャンバの周囲に複数のグループに分けて配置される、プラズマ源。
[形態10]
形態1に記載のプラズマ源であって、
前記リングプラズマチャンバは、略円形、略三角形、略長方形、または、略多角形からなる一群の形状の内の1つである、プラズマ源。
[形態11]
プラズマを生成する方法であって、
処理ガスをリングプラズマチャンバ内に供給する工程と、
前記リングプラズマチャンバの外側に巻かれた一次巻線に一次電流を印加する工程と、
前記一次巻線内に磁界を生成する工程と、
複数のフェライトによって前記磁界を集中させる工程であって、前記リングプラズマチャンバが前記複数のフェライトの各々を貫通している、工程と、
前記リングプラズマチャンバ内の前記処理ガスに二次電流を誘導する工程と、
前記二次電流で前記リングプラズマチャンバ内の前記処理ガスにプラズマを生成する工程と、
前記プラズマチャンバを処理チャンバに結合する複数のプラズマチャンバ流出口の各々にあるプラズマ制限部によって、前記リングプラズマチャンバ内に前記プラズマを制限する工程と、を備える、方法。
[形態12]
形態11に記載の方法であって、さらに、
前記複数の流出口を通して前記処理チャンバに中性種およびラジカル種の少なくとも一方を供給する工程を備える、方法。
[形態13]
形態11に記載の方法であって、
前記プラズマ制限部は、約0.1mmから約2.0mmの間の直径を有する、方法。
[形態14]
形態11に記載の方法であって、
前記プラズマ制限部は、プラズマシース厚さの2倍以下の直径を有する、方法。
[形態15]
形態11に記載の方法であって、
前記プラズマ制限部は、前記プラズマチャンバと前記処理チャンバとの間に約1.5対1よりも大きい圧力差を提供するのに十分な直径を有する、方法。
[形態16]
形態11に記載の方法であって、さらに、
前記プラズマ制限部をバイアスする工程を備える、方法。
[形態17]
形態11に記載の方法であって、さらに、
前記リングプラズマチャンバ内の流量、圧力、および/または、バイアスの少なくとも1つを調節する工程を備える、方法。
[形態18]
形態11に記載の方法であって、さらに、
少なくとも1つの処理監視センサから処理フィードバック信号を受信する工程と、
流量、圧力、および/または、バイアスの内の少なくとも1つに関する少なくとも1つの設定値を調整する工程と、を備える、方法。
[形態19]
プラズマ処理システムであって、
リングプラズマチャンバと、
前記リングプラズマチャンバの外側に巻かれた一次巻線と、
複数のフェライトであって、前記リングプラズマチャンバが前記複数のフェライトの各々を貫通している、複数のフェライトと、
前記プラズマチャンバを処理チャンバに結合し、それぞれのプラズマ制限部を有する複数のプラズマチャンバ流出口と、
少なくとも1つの処理監視センサと、
コントローラと、を備え、
前記コントローラは、
処理ガスを前記リングプラズマチャンバ内に供給するためのロジックと、
前記リングプラズマチャンバの外側に巻かれた一次巻線に一次電流を印加するためのロジックと、
前記一次巻線内に磁界を生成するためのロジックと、
前記複数のフェライトによって前記磁界を集中させるためのロジックと、
前記リングプラズマチャンバ内の前記処理ガスに二次電流を誘導するためのロジックと、
前記二次電流で前記リングプラズマチャンバの前記処理ガス内にプラズマを生成するためのロジックと、
前記少なくとも1つの処理監視センサから処理フィードバック信号を受信するためのロジックと、
少なくとも1つの設定値を調整するためのロジックと、を含む、プラズマ処理システム。
[形態20]
基板を処理するためのプラズマシステムであって、
処理チャンバであって、
底部と、
複数の側壁と、
前記底部に近接する基板支持体と、
前記処理チャンバを囲むように前記側壁と結合されたチャンバ上部と、を有する、処理チャンバと、
前記基板支持体の複数の領域に分散されるように前記チャンバ上部の上に配置された複数のフェライトであって、前記領域は、前記基板支持体の外部と前記基板支持体の中心部との間に少なくとも伸びる、複数のフェライトと、
プラズマチャンバを前記処理チャンバに結合し、それぞれのプラズマ制限部を有する複数のプラズマチャンバ流出口と、を備える、プラズマシステム。
[形態21]
形態20に記載のプラズマシステムであって、さらに
前記複数のフェライトに電流を供給する電源を備え、
前記複数のフェライトは、前記基板支持体の前記領域に前記電流を集中させる、プラズマシステム。

Claims (19)

  1. プラズマシステムであって、
    内側リングプラズマチャンバおよび1または複数の外側リングプラズマチャンバを有する複数のリングプラズマチャンバであって、前記複数のリングプラズマチャンバの各々は、処理ガスを受けるための複数のガス流入口を有する、複数のリングプラズマチャンバと、
    前記複数のリングプラズマチャンバの各々の周囲に配置された複数の一次巻線と、
    前記複数のリングプラズマチャンバの各々の周りに配置された複数のフェライトであって、前記複数の一次巻線の各々の各回は、前記複数のフェライトの各々の内側を貫通している、複数のフェライトと、
    前記複数のリングプラズマチャンバを支持するためのチャンバ上部であって、前記チャンバ上部は、さらに、前記複数のリングプラズマチャンバを前記チャンバ上部の下に配置された処理チャンバに結合する複数のプラズマチャンバ流出口を有し、前記複数のリングプラズマチャンバの各々の前記複数のガス流入口の各々は、前記複数のプラズマチャンバ流出口のそれぞれと整列する、チャンバ上部と、
    を備え、
    前記複数のガス流入口の各々は、前記複数のフェライトにおいて周方向に隣り合う2つのフェライトの間に位置する、
    プラズマシステム。
  2. 請求項1に記載のプラズマシステムであって、さらに、
    前記リングプラズマチャンバの各々に結合された処理ガス源を備える、プラズマシステム。
  3. 請求項1に記載のプラズマシステムであって、
    前記処理チャンバは、基板を支持するための基板支持体を有し、前記基板支持体は、前記複数のプラズマチャンバ流出口の下に配置されている、プラズマシステム。
  4. 請求項3に記載のプラズマシステムであって、
    前記複数のプラズマチャンバ流出口の各々は、前記基板支持体に存在する場合、前記基板にわたって前記処理チャンバに流れの分散を提供するために円錐台形状を有する、プラズマシステム。
  5. 請求項1に記載のプラズマシステムであって、さらに、
    前記処理チャンバと前記複数のリングプラズマチャンバの各々との間に圧力差を提供するために、前記複数のプラズマチャンバ流出口の各々に配置された複数のプラズマ制限部を備える、プラズマシステム。
  6. 請求項5に記載のプラズマシステムであって、さらに、
    前記複数のプラズマ制限部の各々に接続されたバイアス電圧であって、前記バイアス電圧は、前記複数のプラズマチャンバ流出口からイオンを引き出して前記処理チャンバへ引き込むように構成されている、プラズマシステム。
  7. 請求項6に記載のプラズマシステムであって、
    前記複数のフェライトの各々は正方形を有し、前記複数のリングプラズマチャンバの各々は長方形の断面形状を有する、プラズマシステム。
  8. 請求項1に記載のプラズマシステムであって、
    前記リングプラズマチャンバの各々は、セラミック材料で作られている、プラズマシステム。
  9. 請求項5に記載のプラズマシステムであって、
    前記複数のプラズマ制限部の各々は、約0.1mmから約2.0mmの間の直径を有する、プラズマシステム。
  10. 請求項3に記載のプラズマシステムであって、さらに、
    前記複数の一次巻線を介して前記複数のフェライトに電流を供給するための電源を備え、前記複数のフェライトは、前記基板支持体の複数の領域に前記電流を集中させる、プラズマシステム。
  11. 請求項1に記載のプラズマシステムであって、
    前記チャンバ上部における前記複数のプラズマチャンバ流出口の各々は、前記処理チャンバに面した側が広い円錐形状の開口部を有する、プラズマシステム。
  12. プラズマシステムであって、
    内側リングプラズマチャンバおよび1または複数の外側リングプラズマチャンバを有する複数のリングプラズマチャンバであって、前記複数のリングプラズマチャンバの各々は、処理ガスを受けるための複数のガス流入口を有する、複数のリングプラズマチャンバと、
    前記複数のリングプラズマチャンバの各々の周囲に配置された複数の一次巻線と、
    前記複数のリングプラズマチャンバの各々の周りに配置された複数のフェライトであって、前記複数のリングプラズマチャンバの各々の前記複数のフェライトの隣接する各々は、部分的な重なりを有し、前記複数の一次巻線の各々の各回は、前記複数のフェライトの各々の内側を貫通している、複数のフェライトと、
    前記複数のリングプラズマチャンバを支持するためのチャンバ上部であって、前記チャンバ上部は、さらに、前記複数のリングプラズマチャンバを前記チャンバ上部の下に配置された処理チャンバに結合する複数のプラズマチャンバ流出口を有し、前記複数のリングプラズマチャンバの各々の前記複数のガス流入口の各々は、前記複数のプラズマチャンバ流出口のそれぞれと整列する、チャンバ上部と、
    を備える、プラズマシステム。
  13. 請求項12に記載のプラズマシステムであって、さらに、
    前記複数のリングプラズマチャンバの各々に結合された処理ガス源を備える、プラズマシステム。
  14. 請求項12に記載のプラズマシステムであって、
    前記複数のガス流入口の各々は、2つの隣接する前記複数のフェライトの間に位置する、プラズマシステム。
  15. 請求項12に記載のプラズマシステムであって、
    前記処理チャンバは、基板を支持するための基板支持体を有し、前記基板支持体は、前記複数のプラズマチャンバ流出口の下に配置されている、プラズマシステム。
  16. 請求項15に記載のプラズマシステムであって、
    前記複数のプラズマチャンバ流出口の各々は、前記基板支持体に存在する場合、前記基板にわたって前記処理チャンバに流れの分散を提供するために円錐台形状を有する、プラズマシステム。
  17. 請求項12に記載のプラズマシステムであって、
    前記複数のフェライトの各々は略正方形を有し、前記複数のリングプラズマチャンバの各々は長方形の断面形状を有し、前記複数のリングプラズマチャンバの各々はセラミック材料で作られている、プラズマシステム。
  18. 請求項15に記載のプラズマシステムであって、さらに、
    前記複数の一次巻線を介して前記複数のフェライトに電流を供給するための電源を備え、前記複数のフェライトは、前記基板支持体の複数の領域に前記電流を集中させる、プラズマシステム。
  19. 請求項12に記載のプラズマシステムであって、
    前記チャンバ上部における前記複数のプラズマチャンバ流出口の各々は、前記処理チャンバに面した側が広い円錐形状の開口部を有する、プラズマシステム。
JP2016157072A 2010-08-06 2016-08-10 プラズマシステム Active JP6412902B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/852,375 2010-08-06
US12/852,375 US9449793B2 (en) 2010-08-06 2010-08-06 Systems, methods and apparatus for choked flow element extraction

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2013523143A Division JP5989644B2 (ja) 2010-08-06 2011-06-30 プラズマ源、プラズマを生成する方法、プラズマ処理システム、および、プラズマシステム

Publications (2)

Publication Number Publication Date
JP2017004966A JP2017004966A (ja) 2017-01-05
JP6412902B2 true JP6412902B2 (ja) 2018-10-24

Family

ID=45556727

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2013523143A Active JP5989644B2 (ja) 2010-08-06 2011-06-30 プラズマ源、プラズマを生成する方法、プラズマ処理システム、および、プラズマシステム
JP2016157072A Active JP6412902B2 (ja) 2010-08-06 2016-08-10 プラズマシステム

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2013523143A Active JP5989644B2 (ja) 2010-08-06 2011-06-30 プラズマ源、プラズマを生成する方法、プラズマ処理システム、および、プラズマシステム

Country Status (5)

Country Link
US (2) US9449793B2 (ja)
JP (2) JP5989644B2 (ja)
KR (1) KR101912474B1 (ja)
TW (1) TWI641291B (ja)
WO (1) WO2012018365A2 (ja)

Families Citing this family (417)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9967965B2 (en) * 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10271416B2 (en) * 2011-10-28 2019-04-23 Applied Materials, Inc. High efficiency triple-coil inductively coupled plasma source with phase control
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US20140062285A1 (en) * 2012-08-29 2014-03-06 Mks Instruments, Inc. Method and Apparatus for a Large Area Inductive Plasma Source
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
SG10201705205WA (en) 2013-03-14 2017-07-28 Mks Instr Inc Toroidal plasma abatement apparatus and method
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) * 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) * 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10141161B2 (en) * 2016-09-12 2018-11-27 Varian Semiconductor Equipment Associates, Inc. Angle control for radicals and reactive neutral ion beams
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR101881536B1 (ko) * 2017-02-24 2018-07-24 주식회사 뉴파워 프라즈마 출력전류 제어가 가능한 전력공급장치 및 이를 이용한 전력공급방법
KR101881535B1 (ko) * 2017-02-24 2018-07-24 주식회사 뉴파워 프라즈마 수동소자를 구비한 전력공급장치 및 이를 이용한 플라즈마 점화를 위한 전력제공방법
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (165)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4276557A (en) 1978-12-29 1981-06-30 Bell Telephone Laboratories, Incorporated Integrated semiconductor circuit structure and method for making it
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
JPS61189642A (ja) 1985-02-18 1986-08-23 Mitsubishi Electric Corp プラズマ反応装置
KR960016218B1 (ko) * 1987-06-05 1996-12-07 가부시기가이샤 히다찌세이사꾸쇼 표면처리방법 및 그 장치
RU2094961C1 (ru) 1989-07-20 1997-10-27 Уланов Игорь Максимович Трансформаторный плазмотрон
RU2022917C1 (ru) 1989-09-27 1994-11-15 Уланов Игорь Максимович Способ получения окиси азота
JPH07110991B2 (ja) 1989-10-02 1995-11-29 株式会社日立製作所 プラズマ処理装置およびプラズマ処理方法
RU2056702C1 (ru) 1990-07-09 1996-03-20 Уланов Игорь Максимович Трансформаторный плазмотрон
US6444137B1 (en) 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US5183990A (en) 1991-04-12 1993-02-02 The Lincoln Electric Company Method and circuit for protecting plasma nozzle
US5353314A (en) * 1991-09-30 1994-10-04 The United States Of America As Represented By The United States Department Of Energy Electric field divertor plasma pump
US5302237A (en) 1992-02-13 1994-04-12 The United States Of America As Represented By The Secretary Of Commerce Localized plasma processing
US5505780A (en) * 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
US5349271A (en) 1993-03-24 1994-09-20 Diablo Research Corporation Electrodeless discharge lamp with spiral induction coil
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5630880A (en) 1996-03-07 1997-05-20 Eastlund; Bernard J. Method and apparatus for a large volume plasma processor that can utilize any feedstock material
DE69719108D1 (de) 1996-05-02 2003-03-27 Tokyo Electron Ltd Plasmabehandlungsgerät
US5846883A (en) 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
AUPO281896A0 (en) 1996-10-04 1996-10-31 Unisearch Limited Reactive ion etching of silica structures for integrated optics applications
US6190236B1 (en) 1996-10-16 2001-02-20 Vlsi Technology, Inc. Method and system for vacuum removal of chemical mechanical polishing by-products
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JP4043089B2 (ja) * 1997-02-24 2008-02-06 株式会社エフオーアイ プラズマ処理装置
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6924455B1 (en) 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
JPH11135297A (ja) * 1997-10-31 1999-05-21 Kumagai Hiromi プラズマ発生器
JPH11149998A (ja) 1997-11-14 1999-06-02 Foi:Kk プラズマ処理装置
JP3868620B2 (ja) * 1998-03-02 2007-01-17 株式会社エフオーアイ プラズマ発生装置
US6273022B1 (en) * 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US5998933A (en) 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6300643B1 (en) 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6392351B1 (en) 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
EP1115147A4 (en) 1999-05-26 2007-05-02 Tadahiro Ohmi DEVICE FOR PLASMA TREATMENT
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP2003506888A (ja) * 1999-08-06 2003-02-18 アドバンスト・エナジー・インダストリーズ・インコーポレイテッド ガスおよび材料を処理する誘導結合環状プラズマ源装置およびその方法
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
JP2003530481A (ja) 1999-11-19 2003-10-14 ナノ スケール サーフェイス システムズ インコーポレイテッド 無機/有機誘電体フィルムを堆積させるシステム及び方法
JP4212210B2 (ja) 1999-12-07 2009-01-21 株式会社小松製作所 表面処理装置
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6337460B2 (en) 2000-02-08 2002-01-08 Thermal Dynamics Corporation Plasma arc torch and method for cutting a workpiece
JP2001237226A (ja) 2000-02-23 2001-08-31 Kobe Steel Ltd プラズマ処理装置
AU2001247685A1 (en) * 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US7234477B2 (en) 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
TW445540B (en) 2000-08-07 2001-07-11 Nano Architect Res Corp Bundle concentrating type multi-chamber plasma reacting system
US20020101167A1 (en) 2000-12-22 2002-08-01 Applied Materials, Inc. Capacitively coupled reactive ion etch plasma reactor with overhead high density plasma source for chamber dry cleaning
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US6755150B2 (en) 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4039834B2 (ja) 2001-09-28 2008-01-30 株式会社荏原製作所 エッチング方法及びエッチング装置
US6855906B2 (en) * 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
US6761804B2 (en) * 2002-02-11 2004-07-13 Applied Materials, Inc. Inverted magnetron
US7056416B2 (en) 2002-02-15 2006-06-06 Matsushita Electric Industrial Co., Ltd. Atmospheric pressure plasma processing method and apparatus
US6962644B2 (en) 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
FR2838020B1 (fr) 2002-03-28 2004-07-02 Centre Nat Rech Scient Dispositif de confinement de plasma
US20030188685A1 (en) 2002-04-08 2003-10-09 Applied Materials, Inc. Laser drilled surfaces for substrate processing chambers
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US6936546B2 (en) 2002-04-26 2005-08-30 Accretech Usa, Inc. Apparatus for shaping thin films in the near-edge regions of in-process semiconductor substrates
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
JP2004014904A (ja) 2002-06-10 2004-01-15 Tokyo Ohka Kogyo Co Ltd 同時放電化装置
US6771026B2 (en) * 2002-06-12 2004-08-03 Tokyo Electron Limited Plasma generation by mode-conversion of RF-electromagnetic wave to electron cyclotron wave
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6902774B2 (en) 2002-07-25 2005-06-07 Inficon Gmbh Method of manufacturing a device
US7256132B2 (en) 2002-07-31 2007-08-14 Applied Materials, Inc. Substrate centering apparatus and method
US20040027781A1 (en) 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US20030015965A1 (en) 2002-08-15 2003-01-23 Valery Godyak Inductively coupled plasma reactor
US6887317B2 (en) 2002-09-10 2005-05-03 Applied Materials, Inc. Reduced friction lift pin
US7411352B2 (en) 2002-09-19 2008-08-12 Applied Process Technologies, Inc. Dual plasma beam sources and method
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7069937B2 (en) 2002-09-30 2006-07-04 Lam Research Corporation Vertical proximity processor
US7513262B2 (en) 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US7240679B2 (en) 2002-09-30 2007-07-10 Lam Research Corporation System for substrate processing with meniscus, vacuum, IPA vapor, drying manifold
US6988327B2 (en) 2002-09-30 2006-01-24 Lam Research Corporation Methods and systems for processing a substrate using a dynamic liquid meniscus
US6837967B1 (en) 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
KR100488348B1 (ko) 2002-11-14 2005-05-10 최대규 플라즈마 프로세스 챔버 및 시스템
JP4087234B2 (ja) 2002-12-05 2008-05-21 株式会社アルバック プラズマ処理装置及びプラズマ処理方法
NL1022155C2 (nl) 2002-12-12 2004-06-22 Otb Group Bv Werkwijze, alsmede inrichting voor het behandelen van een oppervlak van ten minste één substraat.
US7163602B2 (en) 2003-03-07 2007-01-16 Ogle John S Apparatus for generating planar plasma using concentric coils and ferromagnetic cores
US7824520B2 (en) 2003-03-26 2010-11-02 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus
JP2004296729A (ja) 2003-03-26 2004-10-21 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
JP4460940B2 (ja) * 2003-05-07 2010-05-12 株式会社ニューパワープラズマ 多重放電管ブリッジを備えた誘導プラズマチャンバ
US8580076B2 (en) 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
WO2004107825A1 (ja) 2003-05-30 2004-12-09 Tokyo Electron Limited プラズマ源及びプラズマ処理装置
FR2857555B1 (fr) * 2003-07-09 2005-10-14 Snecma Moteurs Accelerateur a plasma a derive fermee d'electrons
JP4607517B2 (ja) 2003-09-03 2011-01-05 東京エレクトロン株式会社 プラズマ処理装置
US20050103620A1 (en) 2003-11-19 2005-05-19 Zond, Inc. Plasma source with segmented magnetron cathode
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US20050103265A1 (en) 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
US7464662B2 (en) 2004-01-28 2008-12-16 Tokyo Electron Limited Compact, distributed inductive element for large scale inductively-coupled plasma sources
JP4342984B2 (ja) 2004-03-10 2009-10-14 Okiセミコンダクタ株式会社 エッチング方法
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
JP2006114884A (ja) 2004-09-17 2006-04-27 Ebara Corp 基板洗浄処理装置及び基板処理ユニット
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060236931A1 (en) 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
EP1727186B1 (en) * 2005-05-23 2012-01-25 New Power Plasma Co., Ltd. Plasma chamber with discharge inducing bridge
KR100720989B1 (ko) * 2005-07-15 2007-05-28 주식회사 뉴파워 프라즈마 멀티 챔버 플라즈마 프로세스 시스템
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US7641762B2 (en) 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
DE112006002412T5 (de) * 2005-09-09 2008-07-17 ULVAC, Inc., Chigasaki Ionenquelle und Plasma-Bearbeitungsvorrichtung
US7895970B2 (en) 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
US8092638B2 (en) 2005-10-11 2012-01-10 Applied Materials Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US7397232B2 (en) 2005-10-21 2008-07-08 The University Of Akron Coulter counter having a plurality of channels
KR100663668B1 (ko) * 2005-12-07 2007-01-09 주식회사 뉴파워 프라즈마 복수의 기판을 병렬 배치 처리하기 위한 플라즈마 처리장치
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100785164B1 (ko) * 2006-02-04 2007-12-11 위순임 다중 출력 원격 플라즈마 발생기 및 이를 구비한 기판 처리시스템
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
KR100799175B1 (ko) 2006-04-21 2008-02-01 주식회사 뉴파워 프라즈마 플라즈마 프로세싱 시스템 및 그 제어 방법
TWI398926B (zh) 2006-04-25 2013-06-11 Gen Co Ltd 具有與磁通通道耦合之電漿室的電漿反應器
US8231799B2 (en) 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
JP5069427B2 (ja) * 2006-06-13 2012-11-07 北陸成型工業株式会社 シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US7879184B2 (en) 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7837826B2 (en) 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
DE102006048816A1 (de) 2006-10-16 2008-04-17 Iplas Innovative Plasma Systems Gmbh Vorrichtung und Verfahren zur lokalen Erzeugung von Mikrowellenplasmen
US7780866B2 (en) 2006-11-15 2010-08-24 Applied Materials, Inc. Method of plasma confinement for enhancing magnetic control of plasma radial distribution
KR100978754B1 (ko) 2008-04-03 2010-08-30 주식회사 테스 플라즈마 처리 장치
CN101583736A (zh) 2007-01-19 2009-11-18 应用材料股份有限公司 浸没式等离子体室
US20080179008A1 (en) 2007-01-30 2008-07-31 Collins Kenneth S Reactor for wafer backside polymer removal using an etch plasma feeding a lower process zone and a scavenger plasma feeding an upper process zone
KR100868019B1 (ko) * 2007-01-30 2008-11-10 삼성전자주식회사 플라즈마 쉬쓰 제어기를 갖는 이온 빔 장치
US7897213B2 (en) 2007-02-08 2011-03-01 Lam Research Corporation Methods for contained chemical surface treatment
US7824519B2 (en) 2007-05-18 2010-11-02 Lam Research Corporation Variable volume plasma processing chamber and associated methods
WO2008154222A1 (en) 2007-06-06 2008-12-18 Mks Instruments, Inc. Particle reduction through gas and plasma source control
KR101418438B1 (ko) * 2007-07-10 2014-07-14 삼성전자주식회사 플라즈마 발생장치
US20090025879A1 (en) 2007-07-26 2009-01-29 Shahid Rauf Plasma reactor with reduced electrical skew using a conductive baffle
US8343305B2 (en) 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
US8771483B2 (en) 2007-09-05 2014-07-08 Intermolecular, Inc. Combinatorial process system
US8039052B2 (en) 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US20090109595A1 (en) 2007-10-31 2009-04-30 Sokudo Co., Ltd. Method and system for performing electrostatic chuck clamping in track lithography tools
US20090197015A1 (en) 2007-12-25 2009-08-06 Applied Materials, Inc. Method and apparatus for controlling plasma uniformity
US8129288B2 (en) 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
WO2009099661A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation A protective coating for a plasma processing chamber part and a method of use
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
US8409459B2 (en) 2008-02-28 2013-04-02 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
US7713757B2 (en) * 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
US7558045B1 (en) 2008-03-20 2009-07-07 Novellus Systems, Inc. Electrostatic chuck assembly with capacitive sense feature, and related operating method
JP5294669B2 (ja) 2008-03-25 2013-09-18 東京エレクトロン株式会社 プラズマ処理装置
US20090275206A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
JP5524453B2 (ja) 2008-05-15 2014-06-18 Sumco Techxiv株式会社 シリコンウェーハのエッチング方法及びエッチング装置
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8206552B2 (en) 2008-06-25 2012-06-26 Applied Materials, Inc. RF power delivery system in a semiconductor apparatus
JP5144594B2 (ja) 2008-06-30 2013-02-13 ヤフー株式会社 サーバ装置、サーバ装置における予測方法及びプログラム
KR101046335B1 (ko) 2008-07-29 2011-07-05 피에스케이 주식회사 할로우 캐소드 플라즈마 발생방법 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리방법
CN102099505A (zh) 2008-07-30 2011-06-15 京瓷株式会社 沉积膜形成装置及沉积膜形成方法
US20100024729A1 (en) 2008-08-04 2010-02-04 Xinmin Cao Methods and apparatuses for uniform plasma generation and uniform thin film deposition
KR20100031960A (ko) 2008-09-17 2010-03-25 삼성전자주식회사 플라즈마 발생장치
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US8099995B2 (en) 2008-12-16 2012-01-24 Agilent Technologies, Inc. Choked flow isolator for noise reduction in analytical systems
US7994724B2 (en) 2009-03-27 2011-08-09 Ecole Polytechnique Inductive plasma applicator
US8503151B2 (en) 2009-09-30 2013-08-06 Lam Research Corporation Plasma arrestor insert
JP5642181B2 (ja) 2009-08-21 2014-12-17 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 基体を処理する装置及び基体の処理方法
SG10201405040PA (en) 2009-08-31 2014-10-30 Lam Res Corp A local plasma confinement and pressure control arrangement and methods thereof
JP4855506B2 (ja) 2009-09-15 2012-01-18 住友精密工業株式会社 プラズマエッチング装置
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US20110278260A1 (en) * 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
JP5166595B2 (ja) 2011-12-16 2013-03-21 株式会社藤商事 遊技機

Also Published As

Publication number Publication date
KR101912474B1 (ko) 2018-10-26
TW201223342A (en) 2012-06-01
JP5989644B2 (ja) 2016-09-07
TWI641291B (zh) 2018-11-11
KR20130137126A (ko) 2013-12-16
JP2013541800A (ja) 2013-11-14
WO2012018365A3 (en) 2013-07-25
JP2017004966A (ja) 2017-01-05
US10424460B2 (en) 2019-09-24
WO2012018365A2 (en) 2012-02-09
US20120035766A1 (en) 2012-02-09
US9449793B2 (en) 2016-09-20
US20160358754A1 (en) 2016-12-08

Similar Documents

Publication Publication Date Title
JP6412902B2 (ja) プラズマシステム
JP2017050285A (ja) 分散型マルチゾーンプラズマ源システム、方法、および、装置
JP6019023B2 (ja) プラズマ源、プラズマ生成方法、プラズマ処理システム
TWI519215B (zh) 分散式多區電漿源系統、方法及設備
US20180228015A1 (en) Distributed, Non-Concentric Multi-Zone Plasma Source Systems, Methods and Apparatus
US10283325B2 (en) Distributed multi-zone plasma source systems, methods and apparatus

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170614

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170627

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170919

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20171219

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20180327

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180726

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20180807

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180904

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20181001

R150 Certificate of patent or registration of utility model

Ref document number: 6412902

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250