KR101912474B1 - 초크 유동 요소 추출을 위한 시스템, 방법 및 장치 - Google Patents

초크 유동 요소 추출을 위한 시스템, 방법 및 장치 Download PDF

Info

Publication number
KR101912474B1
KR101912474B1 KR1020137003086A KR20137003086A KR101912474B1 KR 101912474 B1 KR101912474 B1 KR 101912474B1 KR 1020137003086 A KR1020137003086 A KR 1020137003086A KR 20137003086 A KR20137003086 A KR 20137003086A KR 101912474 B1 KR101912474 B1 KR 101912474B1
Authority
KR
South Korea
Prior art keywords
plasma
chamber
plasma chamber
ring
outlets
Prior art date
Application number
KR1020137003086A
Other languages
English (en)
Other versions
KR20130137126A (ko
Inventor
알리 샤지
리차드 고쵸
사우헤일 벤저룩
앤드류 카우
시드하르트 피 나가르카티
윌리엄 알 엔틀리
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20130137126A publication Critical patent/KR20130137126A/ko
Application granted granted Critical
Publication of KR101912474B1 publication Critical patent/KR101912474B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/12Actuating devices; Operating means; Releasing devices actuated by fluid
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B31/00Electric arc lamps
    • H05B31/02Details
    • H05B31/26Influencing the shape of arc discharge by gas blowing devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Software Systems (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • Artificial Intelligence (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Evolutionary Computation (AREA)
  • Medical Informatics (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electron Sources, Ion Sources (AREA)

Abstract

플라즈마 소스는 링 플라즈마 챔버, 링 플라즈마 챔버의 외부 주위의 1차 권선, 다수의 페라이트들로서, 링 플라즈마 챔버는 각각의 페라이트들을 통과하는, 상기 다수의 페라이트들, 및 플라즈마 챔버를 프로세스 챔버에 연결시키는 다수의 플라즈마 챔버 유출부들을 포함한다. 플라즈마 챔버 유출부들의 각각 하나는 각각의 플라즈마 제한부를 갖는다. 플라즈마 발생 시스템 및 방법이 또한 설명된다.

Description

초크 유동 요소 추출을 위한 시스템, 방법 및 장치 {SYSTEMS, METHODS AND APPARATUS FOR CHOKED FLOW ELEMENT EXTRACTION}
본 발명은 일반적으로 플라즈마 반응 챔버에 관한 것이고, 보다 상세하게는 웨이퍼 프로세싱 챔버로부터 분리된 플라즈마 반응 챔버를 위한 방법, 시스템 및 장치에 관한 것이다.
도 1a는 통상적인 평행판, 용량성 플라즈마 프로세싱 챔버 (100) 의 측면도이다. 도 1b는 통상적인 평행판, 용량성 플라즈마 프로세싱 챔버 (100) 에서 프로세싱되는 기판 (102) 의 평면도이다. 통상적인 플라즈마 프로세스 프로세싱 챔버 (100) 는 상부 전극 (104), 프로세싱될 기판 (102) 을 지지하기 위한 기판 지지체 (106) 를 포함한다. 기판 지지체 (106) 는 또한 하부 전극일 수 있다. 상부 전극 (104) 은 통상적으로 다수의 유입부 (inlet) 포트들 (109) 을 갖는 샤워헤드 타입 전극이다. 다수의 유입부 포트들 (109) 은 프로세싱 챔버 (100) 의 폭에 걸쳐 프로세스 가스들 (110) 을 안으로 허용한다.
통상적인 평행판, 용량성 플라즈마 반응기 (100) 는 둥근 평면형 기판들을 프로세싱하는데 사용된다. 공통적인 프로세스들은 유전체 식각 및 다른 식각 프로세스들이다. 그러한 플라즈마 반응기들은 통상적으로 내재적인 뉴트럴 종의 중심-대-에지간 (center-to-edge) 불균일성을 겪는다.
비록 이들 시스템들은 잘 작동하지만, 일부는 뉴트럴 종들의 중심-대-에지간 불균일성을 낳고 이 불균일성은, 에지에서 존재하는, 흐름 속도, 유효 가스 체류 시간 및 하나 이상의 가스 화학물과 비교하여 기판의 중심에서의 존재하는 흐름 속도, 유효 가스 체류 시간, 및 하나 이상의 가스 화학물들 중 하나 이상의 차이로부터 발생한다. 하나 이상의 가스 화학물들은 가스상 해리, 교환 및 재결합 반응들에 의해 야기될 수 있다.
예로서, 프로세스 가스들이 프로세싱 챔버의 폭에 걸쳐 도입됨에 따라, 플라즈마 (112) 는 상부 전극 (104) 과 하부 전극 (106) 사이에 형성되고 플라즈마가 형성된다. 플라즈마 부산물 (118) 은 플라즈마 (112) 에서의 라디칼 및 뉴트럴들과 기판 (102) 의 표면과의 반응에 의해 형성된다. 플라즈마 부산물들 (118) 은 기판의 측면들로 그리고 펌프들 (108) 속으로 인출된다. 플라즈마 부산물은 하나 이상의 해리 반응 (예를 들면, CF4 + e-→ CF3 + F + e-) 및/또는 하나 이상의 이온화 (예를 들면, CF4 + e- → CF3+ + F) 및/또는 하나 이상의 여기 (예를 들면, Ar → Ar+ + e-) 및/또는 하나 이상의 어태치먼트 (attachment) (예를 들면, CF4 + e- → CF3 + F-) 및/또는 하나 이상의 이성분 반응 (binary reaction) (예를 들면, CF3 + H → CF2 + HF) 을 포함할 수 있다.
플라즈마 부산물 (118) 은 에천트, F, CFx, SiF2, SiF4, Co, CO2를 포함하는 식각 부산물들을 포함할 수 있다. 식각 부산물들은 또한 플라즈마 (112) 에서 해리할 수 있다.
재결합은 또한 플라즈마 프로세싱 동안 일어난다. 재결합은 재결합 산물 (120) 을 생성한다. 재결합은 통상적으로, 플라즈마 (112) 로부터 라디칼 및 뉴트럴들이 상부 전극 (104) 의 하부 표면과 같은 표면들에 충돌 (impact) 할 때 일어난다. 다음으로, 재결합 산물 (120) 은, 플라즈마 부산물 (118) 과 유사하게, 기판 (102) 의 측면으로부터 펌프들 (108) 속으로 인출된다. 플라즈마 재결합 산물들 (120) 은 하나 이상의 벽 또는 표면 반응들 (예를 들면, F + CF→ CF2, 및/또는 H + H → H2, 및/또는 O + O → 02, 및/또는 N + N → N2) 을 포함할 수 있다. 플라즈마 재결합 산물 (120) 은 또한, CFx가 챔버 (100) 의 벽 또는 다른 내부 표면 상에 폴리머를 형성하는 성막을 포함할 수 있다.
도 1a에 도시된 바처럼, 오직 명료성 목적을 위해서, 플라즈마 부산물은 기판 (102) 의 일 측면으로부터 인출되고 재결합 산물 (120) 은 기판 (102) 의 반대 측면으로부터 인출된다는 것에 유의해야 한다. 실제 실시에서, 당업자는 재결합 산물 (120) 및 플라즈마 부산물 (18) 양자 모두가 섞이고 기판 (102) 의 양쪽 측면들로부터 펌프들 (108) 또는 다른 수단으로 인출된다는 것을 깨달을 것이다.
플라즈마 프로세싱이 일어남에 따라, 재결합 산물 (120) 및 플라즈마 부산물 (118) 의 농도는 기판 (102) 의 중심에서 에지까지 달라진다. 결과적으로, 플라즈마 (112) 에서 프로세스 가스들, 라디칼 및 뉴트럴 종의 농도는 또한 대응하여 달라진다. 따라서, 효과적인 플라즈마 프로세싱, 이 경우에서는 식각이 기판 (102) 의 중심에서 에지까지 달라진다. 하지만, 플라즈마를 감소 또는 제어하기 위해 구현될 수 있는 많은 챔버 구성 (chamber configuration) 및 구조들이 존재한다.
그러한 제어들로, 플라즈마 라디칼 및 뉴트럴 종은 기판 (102) 의 중심부 (102A) 상의 플라즈마 프로세싱 영역들 (114A 및 116A) 에 있는 기판 (102) 의 중심에서 가장 집중된다. 또한, 그 라디칼 및 뉴트럴 종의 농도는 기판 (102) 의 중간부 (102B) 상의 중간 플라즈마 프로세싱 영역들 (114B 및 116B) 에서 약간 덜 집중된다. 또한, 그 라디칼 및 뉴트럴 종의 농도는 기판 (102) 의 에지부 (102C) 상의 에지 플라즈마 프로세싱 영역들 (114C 및 116C) 에서 더 엷어지고 덜 집중된다.
따라서, 플라즈마 프로세싱은, 기판 (102) 의 중간부 (102B) 상의 중간 플라즈마 프로세싱 영역들 (114B 및 116A) 에서 약간 더 느리게 일어나고 기판의 에지부 (102C) 상의 에지 플라즈마 프로세싱 영역들 (114C 및 116C) 의 플라즈마 프로세싱에서 훨씬 더 느리게 일어나는 플라즈마 프로세싱과 비교하여 기판 (102) 의 중심부 (102A) 상의 중심 플라즈마 프로세싱 영역들 (114A 및 116B) 에서 가장 빠르게 일어난다. 이것은 기판 (102) 의 중심-대-에지간 불균일성을 초래한다.
이 중심-대-에지간 불균일성은 매우 큰 종횡비를 갖는 소체적 산물 플라즈마 프로세싱 챔버들에서 악화된다. 예를 들면, 매우 큰 종횡비는, 기판의 폭 (W) 이 플라즈마 프로세싱 영역의 높이 (H) 의 약 4배 이상인 경우로 정의된다. 플라즈마 프로세싱 영역의 매우 큰 종횡비는 플라즈마 부산물 (118) 및 재결합 산물 (120) 을 플라즈마 프로세싱 영역들 (114A-116C) 에 더 집중시킨다.
비록 뉴트럴 종의 이 중심-대-에지간 불균일성은 중심-대-에지간 프로세스 균일성의 유일한 원인은 아니지만, 많은 유전체 식각 애플리케이션들에서 그것은 현저한 요인이다. 특히, 뉴트럴 의존 프로세스들 이를테면 게이트 또는 비트라인 마스크 오픈, 저-k 막 상의 포토레지스트 스트립, 고도 선택성 콘택트/셀 및 비아 식각은 특히 이들 효과에 민감할 수도 있다. 유사한 문제들이, 웨이퍼 유전체 식각에 사용되는 것들 이외에, 다른 평행판 플라즈마 반응기들에서 적용될 수도 있다.
앞서 말한 것을 고려할 때, 플라즈마 식각 프로세스들에서 중심-대-에지간 균일성을 향상시키기 위한 필요가 존재한다.
요약
넓게 말해서, 본 발명은 분배형 멀티존 플라즈마 소스를 제공하는 것에 의해 이들 필요를 충족시킨다. 본 발명은, 방법, 장치, 시스템, 컴퓨터 판독가능 매체 또는 디바이스를 포함하는 수많은 방식으로 구현될 수 있다는 점이 인식되야 한다. 본 발명의 몇몇 발명의 실시형태들이 아래에서 설명된다.
일 실시형태는, 링 플라즈마 챔버, 링 플라즈마 챔버의 외부 주위의 1차 권선, 다수의 페라이트들로서, 링 플라즈마 챔버는 각각의 페라이트를 통과하는, 다수의 페라이트들 및 플라즈마 챔버를 프로세스 챔버에 연결하는 (coupling) 다수의 플라즈마 챔버 유출부들 (outlets) 을 포함하는 플라즈마 소스를 제공한다. 플라즈마 챔버 유출부들의 각각 하나는 각각의 플라즈마 제한부를 갖는다.
플라즈마 제한부는 약 0.1 mm과 약 2.0 mm 사이의 직경을 가질 수 있다. 플라즈마 제한부는 플라즈마 시스 두께의 2배 이하의 직경을 가질 수 있다. 플라즈마 제한부는 플라즈마 챔버와 프로세스 챔버 사이의 약 1.5 내지 1 보다 큰 압력차 (pressure differential) 를 제공하기에 충분한 직경을 가질 수 있다. 플라즈마 제한부는 바이어스 공급부에 연결될 수 있다.
플라즈마 소스는 프로세스 가스 소스를 플라즈마 챔버에 연결하는 적어도 하나의 프로세스 가스 유입부를 포함할 수 있다. 플라즈마 소소는 또한 적어도 하나의 프로세스 가스 유입부에 연결된 프로세스 가스 플로우 레이트 제어 디바이스를 포함할 수 있다.
페라이트들은 링 플라즈마 챔버의 둘레 주위에 실질적으로 균등하게 분배될 수 있다. 페라이트들은 링 플라즈마 챔버의 둘레 주위에 그룹들로 그룹화될 수 있다. 링 플라즈마 챔버는 실질적으로 둥근형, 실질적으로 삼각형, 실질적으로 직사각형 또는 실질적으로 다각형 형상으로 이루어지는 형상들의 그룹 중 하나일 수 있다.
다른 실시형태는 플라즈마 발생 (generating) 방법을 제공한다. 본 방법은 링 플라즈마 챔버에 프로세스 가스를 전달하는 단계, 링 플라즈마 챔버의 외부 주위의 1차 권선에 1차 전류를 인가하는 단계, 1차 권선에서 자계를 발생시키는 단계, 다수의 페라이트들로 상기 자계를 집중시키는 단계를 포함한다. 링 플라즈마 챔버는 각각의 페라이트들을 통과한다. 2차 전류가 링 플라즈마 챔버에 있는 프로세스 가스에 유도되고 플라즈마가 2차 전류로 링 플라즈마 챔버에 있는 프로세스 가스에서 발생된다. 플라즈마 챔버는 플라즈마 챔버를 프로세스 챔버에 연결하는 다수의 플라즈마 챔버 유출부들의 각각에서 플라즈마 제한부로 링 플라즈마 챔버에 제한된다.
본 방법은 또한 유출부 포트들을 통하여 뉴트럴 종 및 라디칼 종 중 적어도 하나를 프로세스 챔버에 전달하는 단계를 포함할 수 있다. 플라즈마 제한부는 또한 바이어스될 수 있다.
본 방법은 또한 링 플라즈마 챔버에서 플로우 레이트, 압력 및/또는 바이어스 중 적어도 하나를 조절하는 단계를 포함할 수 있다. 본 방법은 또한 적어도 하나의 프로세스 모니터링 센서로부터 프로세스 피드백 신호를 수신하는 단계 및 플로우 레이트, 압력 및/또는 바이어스의 적어도 하나의 세트 포인트 (set point) 를 조정하는 단계를 포함할 수 있다.
다른 실시형태는 링 플라즈마 챔버, 링 플라즈마 챔버의 외부 주위의 1차 권선, 다수의 페라이트들을 포함하는 플라즈마 프로세싱 시스템을 제공하고, 링 플라즈마 챔버는 각각의 페라이트를 통과한다. 다수의 플라즈마 챔버 유출부들은 플라즈마 챔버를 프로세스 챔버에 연결하고, 플라즈마 챔버 유출부들의 각각 하나는 각각의 플라즈마 제한부를 갖는다. 본 시스템은 또한 적어도 하나의 프로세스 모니터링 센서 및 제어기를 포함한다. 본 제어기는 링 플라즈마 챔버내에 프로세스 가스를 전달하기 위한 로직, 링 플라즈마 챔버의 외부 주위의 1차 권선에 1차 전류를 인가하기 위한 로직, 1차 권선에서 자계를 발생시키기 위한 로직, 페라이트들로 자계를 집중시키기 위한 로직, 링 플라즈마 챔버에 있는 프로세스 가스에 2차 전류를 유도하기 위한 로직, 2차 전류로 링 플라즈마 챔버에 있는 프로세스 가스에서 플라즈마를 발생시키기 위한 로직, 적어도 하나의 프로세스 모니터링 센서로부터 프로세스 피드백 신호를 수신하기 위한 로직 및 적어도 하나의 세트 포인트를 조정하기 위한 로직을 포함한다.
또 다른 실시형태는 기판을 프로세싱하기 위한 플라즈마 시스템을 제공한다. 본 시스템은 베이스, 다수의 측벽들, 베이스에 인접한 기판 지지체 및 프로세스 챔버를 감싸기 (enclose) 위해 측벽들과 인터페이스되는 챔버 상부 (chamber top) 를 갖는 프로세스 챔버를 포함한다. 본 시스템은 또한 다수의 페라이트들과 다수의 플라즈마 챔버 유출부들을 포함한다. 페라이트들은 챔버 상부 위에 배치되어, 페라이트들이 기판 지지체의 영역들 위에 분배되고, 그 영역들은 적어도 기판 지지체의 외부 부분과 기판 지지체의 중심 부분 사이에 연장된다. 플라즈마 챔버 유출부들은 플라즈마 챔버를 프로세스 챔버에 연결하고 있고, 플라즈마 챔버 유출부들의 각각 하나는 각각의 플라즈마 제한부를 갖는다. 플라즈마 시스템은 또한, 페라이트들을 따라 전류를 제공하기 위한 전력 공급부를 포함할 수 있고, 페라이트들은 기판 지지체의 영역들 상에 전류를 집중시킨다.
또 다른 실시형태는, 플라즈마 소스로서, 링 플라즈마 챔버 내에 프로세스 가스를 수용하기 위한 복수의 가스 유입부 포트들과 상기 복수의 가스 유입부 포트들 각각과 수직으로 정렬된 (vertically aligned) 복수의 가스 유출부 포트들을 가지는, 상기 링 플라즈마 챔버; 상기 링 플라즈마 챔버의 외부 주위의 1차 권선; 복수의 페라이트들로서, 상기 링 플라즈마 챔버는 상기 복수의 페라이트들의 각각을 통과하는, 상기 복수의 페라이트들; 및 상기 링 플라즈마 챔버를 프로세스 챔버에 연결하는 (coupling) 복수의 플라즈마 챔버 유출부들 (outlets) 을 포함하고, 상기 복수의 가스 유입부 포트들 각각 및 상기 복수의 가스 유출부 포트들 각각은 상기 복수의 플라즈마 챔버 유출부들 각각과 정렬되고, 그리고 상기 복수의 플라즈마 챔버 유출부들의 각각은 상기 프로세스 챔버 내로 플라즈마의 제한된 흐름을 규정하기 위한 각각의 플라즈마 제한부를 갖는, 플라즈마 소스입니다.
또 다른 실시형태는, 플라즈마 발생 (generating) 방법으로서, 링 플라즈마 챔버 내에 프로세스 가스를 수용하기 위한 복수의 가스 유입부 포트들과 상기 복수의 가스 유입부 포트들 각각과 수직으로 정렬된 복수의 가스 유출부 포트들을 가지는 상기 링 플라즈마 챔버 내에 프로세스 가스를 전달하는 단계; 상기 링 플라즈마 챔버의 외부 주위의 1차 권선에 1차 전류를 인가하는 단계; 상기 1차 권선에 자계를 발생시키는 단계; 복수의 페라이트들로 상기 자계를 집중시키는 단계로서, 상기 링 플라즈마 챔버는 상기 복수의 페라이트들의 각각을 통과하는, 상기 자계를 집중시키는 단계; 상기 링 플라즈마 챔버에 있는 상기 프로세스 가스에 2차 전류를 유도하는 단계; 상기 2차 전류로 상기 링 플라즈마 챔버에 있는 상기 프로세스 가스에서 플라즈마를 발생시키는 단계; 상기 링 플라즈마 챔버를 프로세스 챔버에 연결하는 복수의 플라즈마 챔버 유출부들의 각각에서 플라즈마 제한부로 상기 링 플라즈마 챔버에 상기 플라즈마를 제한하는 단계로서, 상기 복수의 가스 유입부 포트들 각각 및 상기 복수의 가스 유출부 포트들 각각은 상기 복수의 플라즈마 챔버 유출부들 각각과 정렬되는, 상기 플라즈마를 제한하는 단계; 및 상기 복수의 플라즈마 챔버 유출부들 각각의 상기 플라즈마 제한부를 바이어스하는 단계로서, 상기 바이어스하는 단계는 이온들이 상기 복수의 플라즈마 챔버 유출부들 밖으로 그리고 상기 프로세스 챔버 내로 이동 (draw) 하도록 작용하는, 상기 플라즈마 제한부를 바이어스하는 단계를 포함하는, 플라즈마 발생 방법입니다.
또 다른 실시형태는, 플라즈마 프로세싱 시스템으로서, 링 플라즈마 챔버 내에 프로세스 가스를 수용하기 위한 복수의 가스 유입부 포트들과 상기 복수의 가스 유입부 포트들 각각과 수직으로 정렬된 복수의 가스 유출부 포트들을 가지는, 상기 링 플라즈마 챔버; 상기 링 플라즈마 챔버의 외부 주위의 1차 권선; 복수의 페라이트들로서, 상기 링 플라즈마 챔버는 상기 복수의 페라이트들의 각각을 통과하는, 상기 복수의 페라이트들; 상기 링 플라즈마 챔버를 프로세스 챔버에 연결하는 복수의 플라즈마 챔버 유출부들로서, 상기 복수의 플라즈마 챔버 유출부들의 각각은 각각의 플라즈마 제한부를 갖고, 상기 복수의 가스 유입부 포트들 각각 및 상기 복수의 가스 유출부 포트들 각각은 상기 복수의 플라즈마 챔버 유출부들 각각과 정렬되는, 상기 복수의 플라즈마 챔버 유출부들; 적어도 하나의 프로세스 모니터링 센서; 및 제어기를 포함하고, 상기 제어기는, 링 플라즈마 챔버내에 프로세스 가스를 전달하기 위한 로직; 상기 링 플라즈마 챔버의 외부 주위의 1차 권선에 1차 전류를 인가하기 위한 로직; 상기 1차 권선에 자계를 발생시키기 위한 로직; 상기 복수의 페라이트들로 상기 자계를 집중시키기 위한 로직; 상기 링 플라즈마 챔버에 있는 상기 프로세스 가스에 2차 전류를 유도하기 위한 로직; 상기 2차 전류로 상기 링 플라즈마 챔버에 있는 상기 프로세스 가스에서 플라즈마를 발생시키기 위한 로직; 상기 링 플라즈마 챔버를 프로세스 챔버에 연결하는 복수의 플라즈마 챔버 유출부들의 각각에서 플라즈마 제한부로 상기 링 플라즈마 챔버에 상기 플라즈마를 제한하기 위한 로직; 상기 복수의 플라즈마 챔버 유출부들 각각의 상기 플라즈마 제한부를 바이어스하기 위한 로직으로서, 상기 바이어스하는 것은 이온들이 상기 복수의 플라즈마 챔버 유출부들 밖으로 그리고 상기 프로세스 챔버 내로 이동하도록 작용하는, 상기 플라즈마 제한부를 바이어스하기 위한 로직; 적어도 하나의 프로세스 모니터링 센서로부터 프로세스 피드백 신호를 수신하기 위한 로직; 및 적어도 하나의 세트 포인트를 조정하기 위한 로직을 포함하는, 플라즈마 프로세싱 시스템입니다.
또 다른 실시형태는, 기판을 프로세싱하기 위한 플라즈마 시스템으로서, 프로세스 챔버로서 베이스; 복수의 측벽들; 상기 베이스에 인접한 기판 지지체; 및 상기 프로세스 챔버를 감싸기 위해 상기 측벽들과 인터페이스된 챔버 상부를 갖는, 상기 프로세스 챔버; 상기 챔버 상부 위에 배치되는 복수의 페라이트들로서, 상기 복수의 페라이트들은 상기 기판 지지체의 영역들 위에 분배되고, 상기 영역들은 적어도 상기 기판 지지체의 외부 부분과 상기 기판 지지체의 중심 부분 사이에 연장되는, 상기 복수의 페라이트들; 링 플라즈마 챔버 내에 프로세스 가스를 수용하기 위한 복수의 가스 유입부 포트들과 상기 복수의 가스 유입부 포트들 각각과 수직으로 정렬된 복수의 가스 유출부 포트들을 가지는, 상기 링 플라즈마 챔버; 상기 링 플라즈마 챔버를 상기 프로세스 챔버에 연결하는 복수의 플라즈마 챔버 유출부들로서, 상기 복수의 플라즈마 챔버 유출부들의 각각 하나는 각각의 플라즈마 제한부를 가지며, 상기 복수의 가스 유입부 포트들 각각 및 상기 복수의 가스 유출부 포트들 각각은 상기 복수의 플라즈마 챔버 유출부들 각각과 정렬되며, 상기 복수의 플라즈마 챔버 유출부들의 각각은 각각의 플라즈마 제한부를 갖는, 상기 복수의 플라즈마 챔버 유출부들; 및 상기 복수의 플라즈마 챔버 유출부들 각각의 상기 플라즈마 제한부에 연결된 바이어스 공급부로서, 상기 바이어스 공급부는 이온들을 상기 복수의 플라즈마 챔버 유출부들 밖으로 추출하고 상기 프로세스 챔버 내로 인출하도록 작용하는, 상기 바이어스 공급부를 포함하는, 플라즈마 시스템입니다.
본 발명의 다른 양태들 및 이점들은, 본 발명의 원리들을 예로서 예시하는, 첨부도면들과 함께 취해지는, 다음의 상세한 설명으로부터 분명해질 것이다.
본 발명은 첨부 도면들과 함께 다음의 상세한 설명에 의해 손쉽게 이해될 것이다.
도 1a는 통상적인 평행판, 용량성 플라즈마 프로세싱 챔버의 측면도이다.
도 1b는 통상적인 평행판, 용량성 플라즈마 프로세싱 챔버에서 프로세싱되는 기판의 평면도이다.
도 2a는 본 발명의 실시형태들에 따른 플라즈마 소스의 투시도이다.
도 2b는 본 발명의 실시형태들에 따른 플라즈마 소스의 평면도이다.
도 2c는 본 발명의 실시형태들에 따른 플라즈마 소스의 2C-2C 단면도이다.
도 2d는 본 발명의 실시형태들에 따른 플라즈마 소스의 투시 단면도이다.
도 2e는 본 발명의 실시형태들에 따른 프로세스 챔버 상에 장착된 플라즈마 소스의 투시도이다.
도 2f 및 도 2g는 본 발명의 실시형태들에 따른 프로세스 챔버 상에 장착된 플라즈마 소스 (200) 의 추가 투시도이다.
도 2h는 본 발명의 실시형태들에 따른 프로세스 챔버 (230) 상에 장착된 플라즈마 소스의 다른 투시도이다.
도 2i는 본 발명의 실시형태들에 따른 플라즈마 챔버 유출부들의 다수의 단면도이다.
도 2j는 본 발명의 실시형태들에 따른 다수의 플라즈마 챔버 유출부들의 프로세스 챔버도이다.
도 3a는 본 발명의 실시형태들에 따른 다른 플라즈마 소스의 투시도이다.
도 3b는 본 발명의 실시형태들에 따른 멀티존 플라즈마 소스의 상부 투시도이다.
도 3c는 본 발명의 실시형태들에 따른 멀티존 플라즈마 소스의 하부 투시도이다.
도 3d는 본 발명의 실시형태들에 따른 다른 멀티존 플라즈마 소스의 상부 투시도이다.
도 3e는 본 발명의 실시형태들에 따른 멀티존 플라즈마 소스의 하부 투시도이다.
도 4a 및 도 4b는 본 발명의 실시형태들에 따른 멀티존 플라즈마 소스의 간략화된 구성도이다.
도 5는 본 발명의 실시형태들에 따른 선택적인 플라즈마 제한부의 다양한 크기들에 대한 흐름 및 압력 그래프이다.
도 6a는 본 발명의 실시형태들에 따른 예시적인 변압기의 구성도이다.
도 6b는 본 발명의 실시형태들에 따른 플라즈마 소스에서 단일 링의 페라이트 및 플라즈마 챔버의 구성도이다.
도 7은 본 발명의 실시형태들에 따른 멀티존 플라즈마 소스에서 단일 링의 페라이트들 및 플라즈마 챔버의 전기 구성도이다.
도 8은 본 발명의 실시형태들에 따른 전력 공급부의 전기 구성도이다.
도 9a - 도 9c는 본 발명의 실시형태들에 따른 플라즈마 소스로부터 흐름의 흐름도이다.
도 10은 본 발명의 일 실시형태에 따른, 본원에 기재된 플라즈마 소스들의 동작에서 실시되는 방법 동작들을 예시하는 플로우차트도이다.
도 11은 본 발명의 실시형태에 따른, 본원에 기재된 하나 이상의 플라즈마 소스들을 포함하는 통합 시스템의 블록도이다.
분배형 멀티존 플라즈마 소스 시스템, 방법 및 장치를 위한 몇개의 예시적인 실시형태들을 이제 설명한다. 본 발명은 여기에 제시된 특정 상세들의 일부 또는 전부가 없이 실시될 수도 있음은 당업자에게 자명할 것이다.
도 2a는 본 발명의 실시형태들에 따른 플라즈마 소스 (200) 의 투시도이다. 플라즈마 소스 (200) 는 프로세스 가스 유입부 (206), 다수의 페라이트 (204), 플라즈마 소스 상부 (208), 및 챔버 상부 (202) 를 포함한다. 플라즈마 소스 (200) 의 엘리먼트들 (202-208) 의 특정 배열은 도시된 것으로부터 수정될 수도 있다는 것이 이해되야 한다. 예를 들면, 챔버 상부 (202) 및 플라즈마 소스 상부 (208) 는 프로세스 챔버 (230) 의 단일 커버로 결합될 수 있다.
도 2a는 본 발명의 실시형태들에 따른 플라즈마 소스 (200) 의 평면도이다. 도 2c는 본 발명의 실시형태들에 따른 플라즈마 소스 (200) 의 2C-2C 단면도이다. 도 2d는 본 발명의 실시형태들에 따른 플라즈마 소스 (200) 의 투시 단면도이다. 도 2e는 본 발명의 실시형태들에 따른 프로세스 챔버 (230) 상에 장착된 플라즈마 소스 (200) 의 투시도이다. 프로세스 가스 플리넘 (212) 은 프로세스 가스 유입부 (206) 로부터 공급되는 프로세스 가스를 위한 분배 플리넘 (distributing plenum) 으로 도시된다.
프로세스 가스 (110) 는 프로세스 가스 플리넘 (212) 에의 유입부 포트 (206) 로 유입된다. 프로세스 가스 플리넘 (212) 은 유입부 포트들 (212A) 로 프로세스 가스 (110) 를 분배한다. 유입부 포트들 (212A) 은 프로세스 가스 (110) 를 플라즈마 챔버 (210) 로 보낸다. 프로세스 가수 유입부 포트들 (212A) 은 프로세스 챔버 유출부 (220) 과 정렬되거나 또는 프로세스 챔버 유출부 (220) 로부터 오프셋될 수 있다. 프로세스 가스 유입부 포트들 (212A) 및/또는 플라즈마 챔버 유출부들 (220) 은 페라이트들 (204) 사이에 위치되거나 페라이트들과 정렬되거나 또는 그들의 조합일 수 있다.
페라이트들 (204) 은 선택된 간격들로 플라즈마 챔버 (210) 를 둘러싼다. 페라이트들 (204) 은 각 페라이트의 중심에 인접한 전계가 플라즈마 챔버 (210) 에서 대응하는 지점의 플라즈마를 지속시키기 (support) 에 충분히 강하게 되도록 한다.
아래에 도시된 바처럼, 페라이트들 (204) 은 실질적으로 정사각형인 것으로 도시되어 있지만, 페라이트들은 다른 형상일 수 있다. 페라이트들 (204) 은 다수의 부분들 (224A, 224B, 224C, 224D) 로 만들어지는 것으로 도시되어 있지만, 페라이트들은 하나 이상의 부분들로 만들어질 수 있다. 다수의 페라이트 부분들 (224A, 224B, 224C, 224D) 은 각 페라이트 (204) 의 중심에 인접하게 전계를 집중시키기 위하여 요구되는 바대로 실질적으로 서로 가까울 수 있다. 페라이트들 (204) 은 챔버 상부 (202) 주위에 분배되는 것으로 도시되어 있다. 프로세스 챔버 (230) 는 측벽들 (230') 및 베이스 (230") 를 갖는다. 기판 지지체 (106) 는 베이스 (230") 상에 있거나, 베이스 (230") 근처에 있거나 또는 베이스 (230") 에 인접해 있다.
플라즈마 챔버 유출부들 (220) 은 플라즈마 챔버 (210) 를 챔버 상부 (202) 아래의 프로세스 챔버 (230) 에 연결하는 것으로 도시되어 있다. 플라즈마 챔버 유출부들 (220) 은 플라즈마 및/또는 라디칼 및/또는 뉴트럴 종들을 플라즈마 챔버 (210) 로부터 그리고 프로세스 챔버 (230) 내에 전달한다.
선택적인 플라즈마 제한부 (214) 가 또한 도시되어 있다. 선택적인 플라즈마 제한부 (214) 는 플라즈마 챔버 (210) 와 프로세스 챔버 (230) 사이에 원하는 압력차를 제공하기 위하여 사용될 수 있다. 선택적인 플라즈마 제한부 (214) 는, 플라즈마가 플라즈마 챔버 (210) 로부터 프로세스 챔버 (230) 로 보내지는 것이 실질적으로 방지되도록, 충분히 작거나 및/또는 바이어스될 수 있다. 또한, 플라즈마 제한부는 플라즈마 챔버 (210) 로부터 이온들을 추출하고 그 이온들을 프로세스 챔버내로 그 다음 웨이퍼 상으로 인출하기 하기 위해 바이어스될 수 있다. 예로서, 선택적인 플라즈마 제한부 (214) 는 플라즈마 시스 (sheath) 두께의 2배 이하인 직경을 가질 수 있고 이렇게 하여 플라즈마 시스는 플라즈마가 선택적인 플라즈마 제한부를 통과하는 것을 방지할 수 있다. 선택적인 플라즈마 제한부 (214) 는 약 0.1 mm 와 약 2.0 mm 사이에서 선택된 직경 (예를 들면, 0.1 mm, 0.2 mm, 0.5 mm, 1.0 mm, 2.0 mm) 을 가질 수 있다. 선택적인 플라즈마 제한부 (214) 의 종횡비는 플라즈마 제한부의 유효성을 조정하기 위하여 사용될 수 있다는 점에 유의해야 한다. 예로서, 더 높은 종횡비 (즉, 길이/폭) 플라즈마 제한부 (214) 는 실질적으로 플라즈마를 제한할 수 있는 한편, 뉴트럴 또는 라디컬 종 수송에 최소 영향을 미친다. 또한 더 큰 직경 유출부 오리피스가 사용될 수 있다는 점도 이해되야 한다. 예로서, 선택적인 플라즈마 제한부 (214) 가 생략될 수 있고 유효 제한부는 플라즈마 챔버 유출부들 (220) 의 폭이다. 플라즈마 챔버 유출부들 (220) 의 폭은 플라즈마 챔버 (210) 와 프로세스 챔버 (230) 양자 모두에서 실질적으로 등압을 허용하기에 충분히 실질적으로 넓을 수 있다.
도 2i는 본 발명의 실시형태들에 따른 플라즈마 챔버 유출부들 (220) 의 다수의 단면도들을 나타낸다. 도 2j는 본 발명의 실시형태들에 따른 다수의 플라즈마 챔버 유출부들 (220) 의 프로세스 챔버도이다. 플라즈마 챔버 유출부들 (220) 은, 원하는 폭의 실질적으로 직사각형, 단면 형상을 갖는, 스트레이트 스루 (straight through), 실질적으로 실린더형일 수 있다. 플라즈마 챔버 유출부들 (220) 은 선택적인 원뿔형 형상 (220A) 을 포함할 수 있다. 선택적인 원뿔형 형상 (220A) 은 플라즈마 챔버 유출부들 (220) 로부터 흐름 평활화 (flow smoothing) 및/또는 흐름 분배 (flow distribution) 를 제공할 수 있다. 플라즈마 챔버 유출부들 (220) 은 또한 다른 선택적인 형상들을 포함할 수 있다. 예로서, 플라즈마 챔버 유출부들 (220) 은 더 큰 폭의 같은 형상 (220B) 또는 더 작은 폭의 같은 형상 (220F) 을 포함할 수 있다. 플라즈마 챔버 유출부들 (220) 은 선택적인 곡형 또는 보울형 (bowl shaped) 유출부 (220C, 220E) 를 포함할 수 있다. 선택적인 곡형 또는 보울형 유출부 (220C, 220E) 는 유출부 (220C) 와 같은 가장 넓은 지점에서 또는 유출부 (220E) 와 같은 가장 넓은 지점보다 작은 더 좁은 지점에서 개구를 가질 수 있다. 선택적인 원뿔형 형상은 원뿔대 (truncated conical) 형상 (220D) 일 수 있다.
선택적인 플라즈마 제한부는 선택적인 플라즈마 제한부 (214) 와 같은 유출부 포트 (220) 의 길이를 따라 실질적으로 중심에 위치될 수 있다. 다르게는, 선택적인 플라즈마 제한부는 실질적으로, 선택적인 플라즈마 제한부 (214') 와 같은 유출부 포트 (220) 의 플라즈마 챔버 (210) 단부에 위치될 수 있다. 다르게는, 선택적인 플라즈마 제한부는 실질적으로, 선택적인 플라즈마 제한부 (214") 와 같은 유출부 포트 (220) 의 프로세스 챔버 (230) 단부에 위치될 수 있다. 선택적인 플라즈마 제한부 (214) 는 유출부 포트 (220) 의 플라즈마 챔버 (210) 단부와 프로세스 챔버 (230) 단부 사이의 유출부 포트 (220) 의 길이를 따라 임의의 곳에 위치될 수 있다는 점이 이해되야 한다.
도 2j에 도시된 바처럼, 플라즈마 챔버 유출부 (220) 는 임의의 적합한 형상일 수 있다. 예로서, 실질적으로 둥근형 (220), 실질적으로 타원형 (220H), 실질적으로 직사각형 (220I, 220J) 또는 다른 기하학적 형상들 (예를 들면, 삼각형 (220K), 임의의 수의 변을 갖는 다각형 (220L)) 이다. 플라즈마 챔버 유출부 (220) 는 실질적으로 날카로운 에지 (220I, 220K, 220L) 또는 실질적으로 곡형 에지 및/또는 변들 (220J, 220M, 220N) 을 포함할 수 있다. 형상들의 조합이 또한, 플라즈마 챔버 유출부 (220) 에 포함될 수 있다. 예로서 선택적인 원뿔형 형상 (220A) 은 실질적으로 둥근 형상 (220A) 보다 오히려 더 타원형 형상 (220A') 을 가질 수 있다.
챔버 상부 (202) 는 하나 이상의 유출부 (234) 들을 포함할 수 있다. 유출부들 (234) 은 저압 소스 (lower pressure source) (예를 들면, 진공 펌프) 에 연결된다. 유출부들 (234) 은 저압 소스가 플라즈마 챔버 (230) 의 중심 근처로부터 플라즈마 부산물 (118) 및 재결합 산물 (120) 을 빼내는 것을 허용한다. 결과적으로, 플라즈마 부산물 (118) 및 재결합 산물 (120) 은 플라즈마 (410) 및 프로세스 챔버에서 플라즈마에 의해 발생되는 뉴트럴 종 (412) 과 간섭을 일으키지 않는다.
프로세스 챔버 (230) 는 로드 포트들 (232) 및 프로세싱될 기판 (102) 을 지지하기 위한 기판 지지체 (106) 를 포함한다. 또한, 당해 기술분야에서 잘 알려져 있는 바처럼 다른 피쳐들이 프로세스 챔버에 포함될 수도 있다.
도 2f 및 도 2g는 본 발명의 실시형태들에 따른 프로세스 챔버 (230) 상에 장착된 플라즈마 소스 (200) 의 추가 투시도이다. 플라즈마 소스 상부 (208) 은 추가 상세들을 설명하기 위해 리프트된 상태 (도 2f) 와 제거된 상태 (도 2g) 로 도시되어 있다. 플라즈마 챔버 (210) 는 플라즈마 소스 상부 (208) 또는 프로세스 챔버 (230) 와는 상이한 재료로 구성될 수 있다. 예로서, 플라즈마 챔버 (210) 는 세라믹일 수 있고 플라즈마 소스 상부 (208) 또는 프로세스 챔버 (230) 는 세라믹, 금속 (예를 들면, 알루미늄, 강, 스테인레스 강 등) 일 수 있다. 슬롯들 (226A 및 226B) 은 페라이트들 (204) 의 설치 및 지지를 위해 제공된다.
도 2g에 도시된 바처럼, 페라이트들 (204) 은 플라즈마 챔버 (210) 의 외부를 둘러싸는 것으로 도시되어 있다. 플라즈마 챔버 (210) 는, 유전체 이를테면 세라믹 또는 다른 유전체 재료 (예를 들면, 석영, 실리카 (SiO2), 알루미나 (Al2O3), 사파이어 (Al2O3), 알루미늄 니트라이드 (AlN), 이트륨 옥사이드 (Y2O3) 및/또는 유사한 재료들 및 이들의 조합) 으로 형성될 수 있다.
도 2h는 본 발명의 실시형태들에 따른 프로세스 챔버 (230) 상에 장착된 플라즈마 소스 (200) 의 다른 투시도이다. 도 2h에 도시된 바처럼, 1차 도체 (240) 가 플라즈마 챔버 (210) 를 둘러싸는 것으로 도시되어 있다. 1차 도체 (240) 는 아래의 도 7에서 더 자세하게 설명되는 바처럼 유도성 엘리먼트의 1차 권선이다. 1차 도체 (240) 는 플라즈마 챔버 (210) 주위의 하나 이상의 턴 (turn) 들을 갖는다. 여기에 도시된 바처럼, 1차 도체 (240) 는 플라즈마 챔버 (210) 주위에 2개의 턴을 갖지만, 2개 보다 많은 턴들이 또한 사용될 수 있다.
도 3a는 본 발명의 실시형태에 따른 다른 플라즈마 소스 (300) 의 투시도이다. 플라즈마 소스 (300) 는 선택된 간격들에서 플라즈마 챔버를 둘러싸는 다수의 페라이트 엘리먼트들 (204) 을 갖는 플라즈마 챔버 (210) 를 포함한다. 이 경우에 페라이트 엘리먼트들 (204) 은 실질적인 등간격으로 플라즈마 챔버를 둘러싸지만 그것들은 상이한 간격일 수 있다.
플라즈마 챔버 (210) 는 대략 원형 또는 이 경우에 다섯 개의 변을 갖는 것과 같은 기하학적 형상일 수 있다. 유사하게, 플라즈마 챔버 (210) 는 원형 또는 3개 이상의 변을 갖는 기하학적 형상일 수 있다. 또한 플라즈마 챔버 (210) 는 대략 직사각형 또는 대략 원형 또는 둥근 단면 형상을 가질 수 있다는 점에 유의해야 한다. 플라즈마 챔버 (210) 의 내부 표면들은 평활화되고 어떠한 날카로운 (예를 들면, 약 직각 또는 더 예각의) 에지들 또는 코너들도 갖지 않을 수 있다. 예로서, 내부 코너들은 상대적으로 큰 반경 (예를 들면, 플라즈마 챔버의 단면 반경의 약 1/2과 약 2배 사이) 을 갖는 둥근 윤곽 (rounded contour) 을 가질 수 있다. 또한, 단일 프로세스 가스 유입부 (206) 가 플라즈마 챔버 (210) 에 연결되는 것으로 도시되지만, 2개 이상의 프로세스 가스 유입부들이 플라즈마 챔버에 프로세스 가스를 공급하기 위하여 사용될 수 있다는 점에 유의해야 한다.
도 3b는 본 발명의 실시형태들에 따른 멀티존 플라즈마 소스 (320) 의 상부 투시도이다. 멀티존 플라즈마 소스 (320) 는, 예를 들면 내포 링 (nested ring) 들을 이루는 다수의 개별 동심 플라즈마 챔버들 (310A-310D) 을 포함한다. 동심 플라즈마 챔버들 (310A-310D) 의 각각은 대응하는 세트의 페라이트들 (204A-204D) 을 가진다.
도 3c는 본 발명의 실시형태들에 따른 멀티존 플라즈마 소스 (320) 의 하부 투시도이다. 챔버 상부 (202) 는 다수의 프로세스 유출부 포트들 (304A-304E) 및 다수의 플라즈마 유출부 포트들 (220A-220D) 을 가진다. 다수의 플라즈마 유출부 포트들 (220A-220D) 은 대응하는 플라즈마 챔버들 (310A-310D) 에 연결된다.
도 3d는 본 발명의 실시형태들에 따른 다른 멀티존 플라즈마 소스 (330) 의 상부 투시도이다. 도 3e는 본 발명의 실시형태들에 따른 멀티존 플라즈마 소스 (330) 의 하부 투시도이다. 멀티존 플라즈마 소스 (330) 는 다수의 동심 플라즈마 챔버들 (310A-310E) 을 포함한다. 동심 플라즈마 챔버들 (310A-310E) 의 각각은 대응하는 세트의 페라이트들 (204A-204E) 을 가진다.
도시된 바처럼, 인접하는 플라즈마 챔버들 (310A-310E) 의 페라이트들 (204A-204E) 이 영역 (332A-332D) 들에 나타낸 바처럼 약간 오버랩될 수 있다. 예로서, 페라이트 (204B) 의 내부 에지들은 영역 (332A) 에서 페라이트들 (204A) 의 외부 에지들에 오버랩된다. 유사하게, 페라이트 (204B) 의 외부 에지들은 영역 (332B) 에서 페라이트들 (204C) 의 내부 에지들에 오버랩된다. 오버랩되는 페라이트들 (204A-204E) 은 동심 플라즈마 챔버들 (310A-310E) 이 멀티존 플라즈마 소스 (330) 에서 더 조밀하게 충전되는 것을 허용한다. 따라서 오직 4개 동심 링들 (310A-310D) 을 갖는 도 3b 및 도 3c에 도시된 오버랩되지 않는 페라이트 실시형태와 같은 직경에 더 많은 동심 링들 (310A-310E) (예를 들면, 5개 동심 링들) 이 포함되는 것을 허용한다. 후술되는 바처럼, 각 링 (310A-310E) 은 바이어스, 가스 흐름, 농도, RF 전력 등에서 개별적으로 제어될 수 있다. 따라서, 더 많은 수의 동심 링들 (310A-310E) 이 프로세스 챔버 (230) 에서 기판 (102) 의 직경에 걸쳐 프로세스의 더 미세한 튜닝 제어 (fine tuning control) 를 제공한다.
페라이트들 (204A-204E) 은 선택적으로 멀티존 플라즈마 소스 (330) 의 다수의 반지름 방향 세그먼트 (radial segment) 들 (즉, 파이 슬라이스 형상들) (334A-334L) 로 배열될 수 있다. 후술되는 바처럼, 각 반지름 방향 세그먼트 (334A-334L) 는 바이어스, 가스 흐름, 농도 등에서 개별적으로 제어될 수 있다. 따라서, 반지름 방향 세그먼트들 (334A-334L) 은 프로세스 챔버 (230) 에서 기판 (102) 에 걸쳐 반지름 방향으로 프로세스의 또 다른 미세 튜닝 제어를 제공한다.
도 4a 및 도 4b는 본 발명의 실시형태들에 따른 멀티존 플라즈마 소스 (300, 320) 의 간략화된 구성도이다. 챔버 상부 (202) 는 멀티존 플라즈마 소스들 (300, 320) 을 포함한다. 프로세스 챔버 (230) 는 측벽들 (230') 및 베이스 (230'') 를 갖는다. 기판 지지체 (106) 는 베이스 (230") 상에 있거나, 베이스 (230") 근처에 있거나 또는 베이스 (230") 에 인접해 있다. 프로세스 유출부 포트들 (304A-304E) 은 기판 (102) 의 폭 W 에 걸쳐 실질적으로 동등하게 플라즈마 부산물 (118) 및 재결합 산물 (120) 을 빼낸다. 결과적으로, 플라즈마 부산물 (118) 및 재결합 산물 (120) 은 플라즈마 (410) 및 플라즈마에 의해 발생되는 뉴트럴 종 (412) 과 간섭을 일으키지 않는다. 그러므로 뉴트럴 종 (412) 은 기판 (102) 의 폭에 걸쳐 실질적으로 균등하게 분배된다. 뉴트럴 종 (412) 은 기판 (102) 의 표면과 반응한다. 뉴트럴 종 (412) 이 기판 (102) 의 폭에 걸쳐 실질적으로 균등하게 분배됨에 따라, 프로세싱 챔버 (230) 에서 적용된 플라즈마 프로세스들 (예를 들면, 식각, 스트립 또는 다른 플라즈마 프로세스들) 의 중심-대-에지간 불균일성이 또한 실질적으로 제거된다.
제어기 (420) 는 각 링 (310A-310E) 에 대해 대응하는 제어들 (422A-422E) (예를 들면, 소프트웨어, 로직, 세트 포인트, 레시피 등) 을 포함한다. 프로세스 모니터링 센서들 (424, 426) 은 또한 프로세스 피드백을 제공하기 위하여 제어기 (420) 에 연결될 수 있다. 제어들 (422A-422E) 은 각 링 (310A-310E) 이를테면 바이어스 신호, 전력, 주파수, 프로세스 가스 (110) 압력, 플로우 레이트 (flow rate) 및 농도를 개별적으로 제어할 수 있다. 따라서, 프로세스 챔버 (230) 에서 기판 (102) 의 직경에 걸쳐 해리된 가스의 반지름 방향 프로파일 제어를 제공한다.
다수의 플라즈마 챔버들 (310A-310E) 의 각각은 독립적으로 프로세싱 챔버 (230) 의 대응하는 영역에서 프로세스들을 조작하도록 제어될 수 있다.
유사하게, 다수의 반지름 방향 세그먼트들 (334A-334L) 의 각각은, 다수의 플라즈마 챔버들 (310A-310E) 의 각 반지름 방향 세그먼트가 독립적으로 프로세싱 챔버 (230) 의 대응하는 영역에서 프로세스들을 조작하도록 제어되는 것을 허용한다. 예로서, 플라즈마 챔버 (310B) 에서 프로세스 가스 (110) 의 플로우 레이트 및 압력에 대한 프로세스 변수 세트 포인트는 대응하는 제어 (422B) 에 입력된다. 프로세스 모니터링 센서들 (424, 426) 의 적어도 하나는 대응하는 제어 (422B) 에 프로세스 측정 입력을 제공한다. 프로세스 모니터링 센서들 (424, 426) 및 로직 및 소프트웨어로부터 프로세스 측정 입력에 기초하여, 대응하는 제어 (422B) 는 페라이트 (310B) 에의 RF 전력 및 플라즈마 챔버 (310B) 에서 프로세스 가스 (110) 의 플로우 레이트 및 압력을 위한 변경된 세트포인트들을 출력한다.
유사하게, 프로세스들은 멀티존 플라즈마 소스 (200, 300, 310, 320, 330) 의 동심 링 플라즈마 챔버들 (310A-E), 및/또는 페라이트들 (204A-E), 및/또는 반지름 방향 세그먼트들 (334A-334L) 중 하나 이상 또는 조합에 의해 정의되는 각각의 영역들의 각각에서 모니터링 및/또는 제어될 수 있다. 또한, 존들의 각각은, 멀티존 플라즈마 소스들 (200, 300, 310, 320, 330) 이 유효하게 단일 존 플라즈마 소스가 되도록 같은 방식 및 세트포인트들에서 동작될 수 있다는 점이 이해되야 한다. 또한, 멀티존 플라즈마 소스들 (200, 300, 310, 320, 330) 의 존들 중 일부는, 멀티존 플라즈마 소스들이 더 적은 존들을 갖도록 같은 방식 및 세트포인트들에서 동작될 수 있다.
도 5는 본 발명의 실시형태들에 따른 선택적인 플라즈마 제한부 (214) 의 다양한 크기들에 대한 흐름 및 압력 그래프이다. 그래프 (510) 는 0.2 mm의 직경을 갖는 선택적인 플라즈마 제한부 (214) 에 대한 SCCM (standard cubic centimeters per minute) 단위의 플로우 레이트이다. 그래프 (520) 는 0.5 mm의 직경을 갖는 선택적인 플라즈마 제한부 (214) 에 대한 플로우 레이트이다. 그래프 (530) 는 1.0 mm의 직경을 갖는 선택적인 플라즈마 제한부 (214) 에 대한 플로우 레이트이다. 알 수 있는 바처럼, 선택적인 플라즈마 제한부 (214) 의 다양한 크기들은 플라즈마 챔버 (210) 와 프로세스 챔버 (230) 사이의 압력 강하 (pressure drop) 를 결정할 수 있다. 압력 강하가 플라즈마 제한부 (214) 에 걸쳐 초크 유동 (choked flow) 이 일어날 정도이면, 플라즈마 챔버 (210) 로의 질량 유량은 플라즈마 챔버 (210) 에서 압력이 일정할 때 플라즈마 챔버의 감소에 따라 증가하지 않을 것이다.
플라즈마 챔버 (210) 에서의 압력을 증가시키는 것은 플라즈마 챔버에서 플라즈마를 지속시키기에 충분한 프로세스 가스 (110) 의 밀도를 제공한다. 고정된 RF 전압에 대해, 프로세스 가스 (110) 로 유도될 필요가 있는 전류는 프로세스 가스 압력에 반비례한다. 그러므로, 플라즈마 챔버 (210) 에서 프로세스 가스 (110) 압력을 증가시키는 것은 플라즈마를 생성하는데 필요한 전류를 감소시킨다. 또한, 플라즈마는 플라즈마를 지속시키기 위하여 프로세스 가스 압력을 필요로 하므로, 플라즈마는 플라즈마 챔버 (210) 에 들어있게 되고 플라즈마 챔버로부터 프로세스 챔버 (230) 로 흐르지 않을 것이다. 결과적으로, 플라즈마 제한부 (214) 는 플라즈마를 플라즈마 챔버 (210) 로 제한할 수 있다.
변압기는 1차 권선 및 2차 권선을 갖는다. 1차 권선을 통한 1차 전류는 자계를 발생시킨다. 자계가 2차 권선을 통과함에 따라, 대응하는 2차 전류가 2차 권선에 유도된다. 페라이트 코어를 갖는 변압기는 자계를 더 작고, 더 밀한 자계로 집중 (즉, 집속) 시키고 따라서 2차 전류를 2차 권선에 더 효율적으로 유도한다. 이것은 매우 효율적인 저 주파수 (예를 들면, 약 13 MHz 미만 그리고 더 상세하게는 10 kHz 과 약 5MHz 미만 사이 그리고 더 상세하게는 약 10 kHz와 약 1 MHz 미만 사이의) 동작을 허용한다. 저 주파수 동작은 또한 통상적인 고주파수 (예를 들면, 약 13.56MHz 및 더 높은 주파수) RF 플라즈마 시스템들에 비해 현저히 더 낮은 비용을 제공한다.
저 주파수 페라이트 연결 플라즈마 시스템의 추가 이점은 그의 이온 충격 에너지가 낮다는 것이고, 이는 고주파수 RF 시스템에 비해 더 적은 플라즈마 이로젼 및 더 적은 온 웨이퍼 퍼티큘레이트 (on-wafer particulate) 를 초래한다. 더 적은 플라즈마 이로젼은 플라즈마 챔버 (210) 표면들 및 컴포넌트들 상에서 더 적은 마모 (wear) 및 인열 (tear) 을 초래한다.
도 6a는 본 발명의 실시형태들에 따른 예시적인 변압기 (600) 의 구성도이다. 1차 전류 Ip가 전력 공급부로부터 1차 권선 (620) 에 인가된다. 1차 권선 (620) 을 통한 1차 전류 Ip의 흐름은 자계 (622) 를 페라이트 (204) 내에 생성한다. 자계 (622) 는 2차 권선 (630) 의 중심에 있는 페라이트로부터 나오고 2차 권선에서 2차 전류 Is를 유도한다.
도 6b는 본 발명의 실시형태들에 따른 플라즈마 소스 (200, 300, 310, 320, 330) 에서 단일 링의 페라이트 (204) 및 플라즈마 챔버 (210) 의 구성도이다. 도 7은 본 발명의 실시형태들에 따른 플라즈마 소스 (200, 300, 310, 320, 330) 에서 단일 링의 페라이트들 (204) 및 플라즈마 챔버 (210) 의 전기 구성도 (electrical schematic; 700) 이다. 여기에 기재된, 플라즈마 소스 (200, 300, 310, 320, 330) 에서, 1차 권선 (240) 은 각 플라즈마 챔버 (210) 주위에 그리고 페라이트들 (204) 의 각각의 세트 (204A-E) 각각 내부에 싸여진다. 2차 권선은 플라즈마 챔버 (210) 내부의 프로세스 가스 (110) 이다.
1차 전류 Ip가 전력 공급부 (702) 로부터 1차 권선 (240) 에 인가된다. 전력은 RF (예를 들면, 약 10 kHz 내지 약 1 MHz 이상 또는 약 10 kHz 내지 약 5 MHz 또는 약 10 kHz 내지 약 13 MHz 미만 사이의) 일 수 있다. 1차 권선 (240) 을 통한 1차 전류 Ip의 흐름은 자계 (622) 를 페라이트 (204) 에 생성한다. 자계 (622) 는 플라즈마 챔버 (210) 내부의 프로세스 가스 (110) 에서 2차 전류 Is를 유도한다. 결과적으로, 프로세스 가스는 플라즈마 (410) 를 형성하기에 충분히 여기된다.
도 8은 본 발명의 실시형태들에 따른 전력 공급부 (702) 의 전기 구성도이다. 전력 공급부 (702) 는 전원 (802) 으로부터 AC 전력을 DC 전력으로 전환하기 위한 정류기 (804) 를 포함한다. 필터 (808) 은 정류기 (804) 의 출력을 필터링한다. 필터링된 DC는 필터 (808) 로부터 인버터 (810) 로 전달된다. 인버터 (810) 는 필터링된 DC 를 원하는 주파수, 전압 및 전류의 AC 신호로 전환한다. 공진 회로 (812) 는 공진에서 로드에 원하는 AC 신호를 효율적으로 전달하도록 플라즈마 챔버 로드 (814) 와의 공진을 매치 (match) 시킨다.
제어기 (820) 는 전력 공급부 (702) 를 제어한다. 제어기 (820) 는, 시스템 제어기 또는 더 큰 영역 제어 시스템 (미도시) 에의 링크 (예를 들면, 네트워크) 를 포함할 수도 있는 사용자 인터페이스 (822) 를 포함한다. 제어기 (820) 는 컴포넌트들 (804, 808, 810, 812) 에 직접 그리고 센서들 (806A, 806B, 806C) 을 통하여, 그의 동작을 모니터링 및 제어하기 위해, 연결된다. 예로서, 제어기 (820) 는 전력 공급부 (702) 내의 전력 신호들의 전압, 전류, 전력, 주파수 및 위상 (phase) 중 하나 이상을 모니터링한다.
도 9a - 도 9c는 본 발명의 실시형태들에 따른 플라즈마 소스 (300, 310, 320, 330) 로부터 흐름의 흐름도이다. 라디칼 및 뉴트럴 흐름 (902) 은 플라즈마 챔버 (304A-F) 로부터 기판 (102) 을 향해 대략 부채 형상 (approximant fan shape) 으로 흐르는 것으로 도시되어 있다. 부채 형상은 유출부 포트들 (220) 에서 시작하고 그것이 웨이퍼 (102) 에 접근함에 따라 확대된다. 플라즈마 챔버 (304A-F) 를 통해 흐르는 가스는 플로우 레이트 Q 및 압력 Ps를 갖는다. 압력 Pc는 프로세스 챔버 (230) 에서의 압력이다. Ps와 Pc사이의 차이는 라디칼 및 뉴트럴 흐름 (902) 이 웨이퍼 (102) 쪽으로 확대되는 것을 허용한다.
이제 도 9b를 참조하면, 라디칼 및 뉴트럴 흐름 (902) 의 농도 (920) 는 유출부 포트들 (220) 간의 거리 L과 프로세스 챔버 (230) 의 높이 H의 함수이다. 유출부 포트들 (220) 간의 거리 L이 너무 크면, 라디컬 및 뉴트럴 흐름 (902) 의 농도 (920) 가 웨이퍼 (102) 의 표면과 반응하기에 불충분한 영역들 (904) 이 존재하게 된다. 유사하게, 프로세스 챔버 (230) 의 높이 H가 너무 작으면, 라디칼 및 뉴트럴 흐름 (902) 의 농도 (920) 가 웨이퍼 (102) 의 표면과 반응하기에 불충분한 영역들 (904) 이 존재하게 된다. 도 9c는 다음과 같은 높이 H와 거리 L 의 이상적인 관계식을 나타낸다:
Figure 112013010944321-pct00001
거리 L이 대략 높이 H/2와 같으면, 웨이퍼의 표면에 걸친 라디칼 및 뉴트럴들의 농도의 변화는 최소화될 수 있다. 다르게는, 거리 L과 높이 H의 관계를 증가 또는 감소시키는 것은 웨이퍼의 표면에 걸친 라디칼 및 뉴트럴들의 농도의 변화를 허용할 수 있다.
도 10은 본 발명의 일 실시형태에 따른, 플라즈마 소스 (200, 300, 310, 320, 330) 의 동작에서 실시되는 방법 동작들을 예시하는 플로우차트도이다. 여기에 예시된 동작들은, 일부 동작들이 서브 동작들을 가질 수도 있고 다른 경우들에서 여기에 기재된 어떤 동작들은 예시된 동작들에 포함되지 않을 수도 있다는 것이 이해되야 하는 바와 같이, 예시로서 주어진다. 이를 감안하면서, 방법 및 동작들 (1000) 을 이제 설명한다.
동작 (1005) 에서, 프로세스 가스 (110) 는 플라즈마 챔버 (210) 에 전달된다. 동작 (1010) 에서, 프로세스 가스 (110) 는 플라즈마 챔버 (210) 에서 제 1 압력으로 유지된다. 제 1 압력은 플라즈마 챔버의 유출부 포트들 (220) 의 세트에 연결되는 프로세스 챔버 (230) 의 압력의 2배 이상과 같거나 또는 2배 이상까지일 수 있다.
동작 (1015) 에서, 1차 전류 Ip가 플라즈마 챔버 (210) 의 외부 둘레에 둘러싸여진 1차 권선 (240) 에 인가된다. 동작 (1020) 에서, 1차 전류 Ip는 자계를 발생시킨다. 동작 (1025) 에서, 하나 이상의 페라이트들 (204) 은 플라즈마 챔버 (210) 의 대략 중심부에 자계를 집중시킨다. 페라이트들 (204) 은 플라즈마 챔버 (230) 주위에 형성된다.
동작 (1030) 에서, 자계는 플라즈마 챔버 (210) 에 있는 프로세스 가스 (110) 에서 2차 전류 Is를 유도한다. 동작 (1035) 에서, 2차 전류 Is는 플라즈마 챔버 (210) 에 있는 프로세스 가스 (110) 에서 플라즈마를 발생시킨다. 동작 (1040) 에서, 플라즈마 및 플라즈마에 의해 발생된 라디칼 및 뉴트럴들의 일부가 플라즈마 챔버 (210) 로부터 플라즈마 챔버 유출부 (220) 들을 통해 그리고 프로세스 챔버 (230) 속으로 보내진다.
동작 (1045) 에서, 라디칼 및 뉴트럴들은 기판 (102) 및 프로세싱 챔버 (230) 와 상호작용하여 플라즈마 부산물 (118) 및 재결합 산물 (120) 을 생성한다. 동작 (1050) 에서, 플라즈마 부산물 (118) 및 재결합 산물 (120) 은 하나 이상의 프로세스 유출부 포트들 (304A-304E) 을 통해 프로세싱 챔버로부터 인출된다. 하나 이상의 프로세스 유출부 포트들 (304A-304E) 은 프로세스 챔버 상부 (202) 의 표면에 걸쳐 또는 기판 지지체 (106) 의 에지들을 따라 또는 기판 지지체 아래, 이를테면 프로세스 챔버의 베이스에 또는 이들의 조합으로 분배되고 그 방법 동작들은 종료될 수 있다.
도 11은 본 발명의 실시형태에 따른, 플라즈마 소스들 (200, 300, 320) 을 포함하는 통합 시스템 (1100) 의 블록도이다. 통합 시스템 (1100) 은 플라즈마 소스들 (200, 300, 320), 및 플라즈마 소스들에 연결된 통합 시스템 제어기 (1110) 를 포함한다. 통합 시스템 제어기 (1110) 는 사용자 인터페이스 (1114) 를 포함하거나 또는 (유선 또는 무선 네트워크 (1112) 를 통하여) 사용자 인터페이스 (1114) 에 연결된다. 사용자 인터페이스 (1114) 는 사용자 판독가능 출력들 및 표시들을 제공하고 사용자 입력들을 수신할 수 있고 통합 시스템 제어기 (1110) 에의 사용자 액세스를 제공한다.
통합 시스템 제어기 (1110) 는 전용 컴퓨터 또는 범용 컴퓨터를 포함할 수 있다. 통합 시스템 제어기 (1110) 는 컴퓨터 프로그램들 (1116) 을 실행하여 플라즈마 소스들 (200, 300, 320) 을 위한 데이터 (1118) (예를 들면, 성능 히스토리, 성능 또는 결함의 분석, 오퍼레이터 로그, 및 히스토리 등) 을 모니터링, 제어 및 수집 및 저장할 수 있다. 예로서, 통합 시스템 제어기 (1110) 는, 플라즈마 소스들 (200, 300, 320) 및/또는 거기의 컴포넌트들 (예를 들면, 동심 링 플라즈마 챔버들 (310A-E) 또는 페라이트들 (204, 204A-E) 중 하나 등) 의 동작들을, 수집된 데이터가 그의 동작의 조정을 지시하면, 조정할 수 있다.
위의 실시형태들을 감안하면서, 본 발명은 컴퓨터 시스템들에 저장된 데이터를 수반하는 다양한 컴퓨터 구현된 동작들을 채용할 수도 있다는 점이 이해되야 한다. 이들 동작들은 물리적인 양의 물리적인 조작을 필요로 하는 것들이다. 보통, 꼭 그렇지는 않더라도, 이들 양들은, 저장, 전송, 결합, 비교 및 그렇지 않으면 조작될 수 있는 전기 또는 자기 신호들의 형태를 취할 수도 있다. 또한, 수행되는 조작들은 종종, 생성, 식별, 결정 또는 비교와 같은 용어들로 지칭된다.
본 발명의 부분을 형성하는 여기에 기재된 동작들 중 임의의 것은 유용한 기계 동작들이다. 본 발명은 또한, 이들 동작들을 수행하기 위한 디바이스 또는 장치에 관한 것이다. 장치는 필요한 목적을 위해 특별히 구성될 수도 있거나, 또는 그것은 컴퓨터에 저장된 컴퓨터 프로그램에 의해 선택적으로 활성화되거나 또는 구성되는 범용 컴퓨터일 수도 있다. 특히, 다양한 범용 머신들이 본원의 교시에 따라 작성된 컴퓨터 프로그램들로 사용될 수도 있거나, 필요한 동작들을 수행하기 위하여 더 특수화된 장치를 구성하는 것이 더 편리할 수도 있다.
본 발명은 또한 컴퓨터 판독가능 매체 상에 컴퓨터 판독가능 코드 및/또는 로직으로서 수록 (embody) 될 수도 있다. 컴퓨터 판독가능 매체는, 나중에 컴퓨터 시스템에 의해 판독될 수 있는 데이터를 저장할 수 있는 임의의 데이터 저장 디바이스이다. 컴퓨터 판독가능 매체의 예들은 하드 드라이브, NAS (network attached storage), 로직 회로, 판독 전용 메모리, 랜덤 액세스 메모리, CD-ROM, CD-R, CD-RW, 자기 테이프, 및 다른 광학 및 비광학 데이터 저장 디바이스를 포함한다. 컴퓨터 판독가능 매체는 또한, 컴퓨터 판독가능 코드가 분배된 방식으로 저장되고 실행되도록 네트워크 연결된 컴퓨터 시스템 상에 분배될 수 있다.
또한, 위의 도면들에서의 동작들에 의해 표현된 명령들은 예시된 순서대로 수행될 필요는 없고 그 동작들에 의해 표현된 모든 프로세싱은 본 발명을 실시하기 위해 필요하지 않을 수도 있다는 점이 인식될 것이다. 또한, 위의 도면들 중 어느 것에 설명된 프로세스들은 또한, RAM, ROM 또는 하드 디스크 드라이브 중 어느 하나 또는 조합에 저장된 소프트웨어에서 구현될 수 있다.
이전의 본 발명은 이해를 명확하게 하기 위하여 어느정도 자세히 설명되었지만, 어떠한 변화 및 변경들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 분명할 것이다. 따라서, 본 발명의 실시형태들은 제한적인 것이 아니라 예시적인 것으로 고려되야 하고, 본 발명은 여기에 주어진 상세들에 한정되는 것이 아니라 첨부된 청구항의 범위 및 균등물 내에서 변경될 수도 있다.

Claims (21)

  1. 플라즈마 소스로서,
    링 플라즈마 챔버 내에 프로세스 가스를 수용하기 위한 복수의 가스 유입부 포트들과 상기 복수의 가스 유입부 포트들 각각과 수직으로 정렬된 (vertically aligned) 복수의 가스 유출부 포트들을 가지는, 상기 링 플라즈마 챔버;
    상기 링 플라즈마 챔버의 외부 주위의 1차 권선;
    복수의 페라이트들로서, 상기 링 플라즈마 챔버는 상기 복수의 페라이트들의 각각을 통과하는, 상기 복수의 페라이트들; 및
    상기 링 플라즈마 챔버를 프로세스 챔버에 연결하는 (coupling) 복수의 플라즈마 챔버 유출부들 (outlets) 을 포함하고,
    상기 복수의 가스 유입부 포트들 각각 및 상기 복수의 가스 유출부 포트들 각각은 상기 복수의 플라즈마 챔버 유출부들 각각과 정렬되고, 그리고
    상기 복수의 플라즈마 챔버 유출부들의 각각은 상기 프로세스 챔버 내로 플라즈마의 제한된 흐름을 규정하기 위한 각각의 플라즈마 제한부를 갖는, 플라즈마 소스.
  2. 제 1 항에 있어서,
    상기 플라즈마 제한부는 0.1 mm과 2.0 mm 사이의 직경을 갖는, 플라즈마 소스.
  3. 제 1 항에 있어서,
    상기 플라즈마 제한부는 플라즈마 시스 두께의 2배 이하의 직경을 갖는, 플라즈마 소스.
  4. 제 1 항에 있어서,
    상기 플라즈마 제한부는 상기 플라즈마 챔버와 프로세스 챔버 사이의 1.5 내지 1 보다 큰 압력차를 제공하기에 충분한 직경을 갖는, 플라즈마 소스.
  5. 제 1 항에 있어서,
    상기 플라즈마 제한부는 바이어스 공급부에 연결되는, 플라즈마 소스.
  6. 제 1 항에 있어서,
    프로세스 가스 소스를 상기 플라즈마 챔버에 연결하는 적어도 하나의 프로세스 가스 유입부 (inlet) 를 더 포함하는, 플라즈마 소스.
  7. 제 6 항에 있어서,
    적어도 하나의 프로세스 가스 유입부에 연결된 프로세스 가스 플로우 레이트 제어 디바이스를 더 포함하는, 플라즈마 소스.
  8. 제 1 항에 있어서,
    상기 페라이트들은 상기 링 플라즈마 챔버의 둘레 주위에 실질적으로 균등하게 분배된, 플라즈마 소스.
  9. 제 1 항에 있어서,
    상기 페라이트들은 상기 링 플라즈마 챔버의 둘레 주위에 복수의 그룹들을 이루는, 플라즈마 소스.
  10. 제 1 항에 있어서,
    상기 링 플라즈마 챔버는 실질적으로 둥근형, 실질적으로 삼각형, 실질적으로 직사각형 또는 실질적으로 다각형 형상으로 이루어지는 형상들의 그룹 중 하나인, 플라즈마 소스.
  11. 플라즈마 발생 (generating) 방법으로서,
    링 플라즈마 챔버 내에 프로세스 가스를 수용하기 위한 복수의 가스 유입부 포트들과 상기 복수의 가스 유입부 포트들 각각과 수직으로 정렬된 복수의 가스 유출부 포트들을 가지는 상기 링 플라즈마 챔버 내에 프로세스 가스를 전달하는 단계;
    상기 링 플라즈마 챔버의 외부 주위의 1차 권선에 1차 전류를 인가하는 단계;
    상기 1차 권선에 자계를 발생시키는 단계;
    복수의 페라이트들로 상기 자계를 집중시키는 단계로서, 상기 링 플라즈마 챔버는 상기 복수의 페라이트들의 각각을 통과하는, 상기 자계를 집중시키는 단계;
    상기 링 플라즈마 챔버에 있는 상기 프로세스 가스에 2차 전류를 유도하는 단계;
    상기 2차 전류로 상기 링 플라즈마 챔버에 있는 상기 프로세스 가스에서 플라즈마를 발생시키는 단계;
    상기 링 플라즈마 챔버를 프로세스 챔버에 연결하는 복수의 플라즈마 챔버 유출부들의 각각에서 플라즈마 제한부로 상기 링 플라즈마 챔버에 상기 플라즈마를 제한하는 단계로서, 상기 복수의 가스 유입부 포트들 각각 및 상기 복수의 가스 유출부 포트들 각각은 상기 복수의 플라즈마 챔버 유출부들 각각과 정렬되는, 상기 플라즈마를 제한하는 단계; 및
    상기 복수의 플라즈마 챔버 유출부들 각각의 상기 플라즈마 제한부를 바이어스하는 단계로서, 상기 바이어스하는 단계는 이온들이 상기 복수의 플라즈마 챔버 유출부들 밖으로 그리고 상기 프로세스 챔버 내로 이동 (draw) 하도록 작용하는, 상기 플라즈마 제한부를 바이어스하는 단계를 포함하는, 플라즈마 발생 방법.
  12. 제 11 항에 있어서,
    상기 복수의 플라즈마 챔버 유출부들을 통하여 뉴트럴 종 및 라디칼 종 중 적어도 하나를 프로세스 챔버에 전달하는 단계를 더 포함하는, 플라즈마 발생 방법.
  13. 제 11 항에 있어서,
    상기 플라즈마 제한부는 0.1 mm과 2.0 mm 사이의 직경을 갖는, 플라즈마 발생 방법.
  14. 제 11 항에 있어서,
    상기 플라즈마 제한부는 플라즈마 시스 두께의 2배 이하의 직경을 갖는, 플라즈마 발생 방법.
  15. 제 11 항에 있어서,
    상기 플라즈마 제한부는 상기 플라즈마 챔버와 프로세스 챔버 사이의 1.5 내지 1 보다 큰 압력차를 제공하기에 충분한 직경을 갖는, 플라즈마 발생 방법.
  16. 삭제
  17. 제 11 항에 있어서,
    상기 링 플라즈마 챔버에서 플로우 레이트, 압력 및 바이어스 중 적어도 하나를 조절하는 단계를 더 포함하는, 플라즈마 발생 방법.
  18. 제 11 항에 있어서,
    적어도 하나의 프로세스 모니터링 센서로부터 프로세스 피드백 신호를 수신하는 단계 및 플로우 레이트, 압력 및 바이어스 중 적어도 하나의 세트 포인트 (set point) 를 조정하는 단계를 더 포함하는, 플라즈마 발생 방법.
  19. 플라즈마 프로세싱 시스템으로서,
    링 플라즈마 챔버 내에 프로세스 가스를 수용하기 위한 복수의 가스 유입부 포트들과 상기 복수의 가스 유입부 포트들 각각과 수직으로 정렬된 복수의 가스 유출부 포트들을 가지는, 상기 링 플라즈마 챔버;
    상기 링 플라즈마 챔버의 외부 주위의 1차 권선;
    복수의 페라이트들로서, 상기 링 플라즈마 챔버는 상기 복수의 페라이트들의 각각을 통과하는, 상기 복수의 페라이트들;
    상기 링 플라즈마 챔버를 프로세스 챔버에 연결하는 복수의 플라즈마 챔버 유출부들로서, 상기 복수의 플라즈마 챔버 유출부들의 각각은 각각의 플라즈마 제한부를 갖고, 상기 복수의 가스 유입부 포트들 각각 및 상기 복수의 가스 유출부 포트들 각각은 상기 복수의 플라즈마 챔버 유출부들 각각과 정렬되는, 상기 복수의 플라즈마 챔버 유출부들;
    적어도 하나의 프로세스 모니터링 센서; 및
    제어기를 포함하고,
    상기 제어기는,
    링 플라즈마 챔버내에 프로세스 가스를 전달하기 위한 로직;
    상기 링 플라즈마 챔버의 외부 주위의 1차 권선에 1차 전류를 인가하기 위한 로직;
    상기 1차 권선에 자계를 발생시키기 위한 로직;
    상기 복수의 페라이트들로 상기 자계를 집중시키기 위한 로직;
    상기 링 플라즈마 챔버에 있는 상기 프로세스 가스에 2차 전류를 유도하기 위한 로직;
    상기 2차 전류로 상기 링 플라즈마 챔버에 있는 상기 프로세스 가스에서 플라즈마를 발생시키기 위한 로직;
    상기 링 플라즈마 챔버를 프로세스 챔버에 연결하는 복수의 플라즈마 챔버 유출부들의 각각에서 플라즈마 제한부로 상기 링 플라즈마 챔버에 상기 플라즈마를 제한하기 위한 로직;
    상기 복수의 플라즈마 챔버 유출부들 각각의 상기 플라즈마 제한부를 바이어스하기 위한 로직으로서, 상기 바이어스하는 것은 이온들이 상기 복수의 플라즈마 챔버 유출부들 밖으로 그리고 상기 프로세스 챔버 내로 이동하도록 작용하는, 상기 플라즈마 제한부를 바이어스하기 위한 로직;
    적어도 하나의 프로세스 모니터링 센서로부터 프로세스 피드백 신호를 수신하기 위한 로직; 및
    적어도 하나의 세트 포인트를 조정하기 위한 로직을 포함하는, 플라즈마 프로세싱 시스템.
  20. 기판을 프로세싱하기 위한 플라즈마 시스템으로서,
    프로세스 챔버로서
    베이스;
    복수의 측벽들;
    상기 베이스에 인접한 기판 지지체; 및
    상기 프로세스 챔버를 감싸기 위해 상기 측벽들과 인터페이스된 챔버 상부를 갖는, 상기 프로세스 챔버;
    상기 챔버 상부 위에 배치되는 복수의 페라이트들로서, 상기 복수의 페라이트들은 상기 기판 지지체의 영역들 위에 분배되고, 상기 영역들은 적어도 상기 기판 지지체의 외부 부분과 상기 기판 지지체의 중심 부분 사이에 연장되는, 상기 복수의 페라이트들;
    링 플라즈마 챔버 내에 프로세스 가스를 수용하기 위한 복수의 가스 유입부 포트들과 상기 복수의 가스 유입부 포트들 각각과 수직으로 정렬된 복수의 가스 유출부 포트들을 가지는, 상기 링 플라즈마 챔버;
    상기 링 플라즈마 챔버를 상기 프로세스 챔버에 연결하는 복수의 플라즈마 챔버 유출부들로서, 상기 복수의 플라즈마 챔버 유출부들의 각각 하나는 각각의 플라즈마 제한부를 가지며, 상기 복수의 가스 유입부 포트들 각각 및 상기 복수의 가스 유출부 포트들 각각은 상기 복수의 플라즈마 챔버 유출부들 각각과 정렬되며, 상기 복수의 플라즈마 챔버 유출부들의 각각은 각각의 플라즈마 제한부를 갖는, 상기 복수의 플라즈마 챔버 유출부들; 및
    상기 복수의 플라즈마 챔버 유출부들 각각의 상기 플라즈마 제한부에 연결된 바이어스 공급부로서, 상기 바이어스 공급부는 이온들을 상기 복수의 플라즈마 챔버 유출부들 밖으로 추출하고 상기 프로세스 챔버 내로 인출하도록 작용하는, 상기 바이어스 공급부를 포함하는, 플라즈마 시스템.
  21. 제 20 항에 있어서,
    상기 복수의 페라이트들을 따라 전류를 제공하기 위한 전력 공급부를 더 포함하고, 상기 복수의 페라이트들은 상기 기판 지지체의 영역들 상에 전류를 집중시키는, 플라즈마 시스템.
KR1020137003086A 2010-08-06 2011-06-30 초크 유동 요소 추출을 위한 시스템, 방법 및 장치 KR101912474B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/852,375 2010-08-06
US12/852,375 US9449793B2 (en) 2010-08-06 2010-08-06 Systems, methods and apparatus for choked flow element extraction
PCT/US2011/001174 WO2012018365A2 (en) 2010-08-06 2011-06-30 Systems, methods and apparatus for choked flow element extraction

Publications (2)

Publication Number Publication Date
KR20130137126A KR20130137126A (ko) 2013-12-16
KR101912474B1 true KR101912474B1 (ko) 2018-10-26

Family

ID=45556727

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137003086A KR101912474B1 (ko) 2010-08-06 2011-06-30 초크 유동 요소 추출을 위한 시스템, 방법 및 장치

Country Status (5)

Country Link
US (2) US9449793B2 (ko)
JP (2) JP5989644B2 (ko)
KR (1) KR101912474B1 (ko)
TW (1) TWI641291B (ko)
WO (1) WO2012018365A2 (ko)

Families Citing this family (394)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9967965B2 (en) * 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10271416B2 (en) * 2011-10-28 2019-04-23 Applied Materials, Inc. High efficiency triple-coil inductively coupled plasma source with phase control
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US20140062285A1 (en) * 2012-08-29 2014-03-06 Mks Instruments, Inc. Method and Apparatus for a Large Area Inductive Plasma Source
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
EP2969134A1 (en) 2013-03-14 2016-01-20 MKS Instruments, Inc. Toroidal plasma abatement apparatus and method
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) * 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) * 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10141161B2 (en) * 2016-09-12 2018-11-27 Varian Semiconductor Equipment Associates, Inc. Angle control for radicals and reactive neutral ion beams
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR101881536B1 (ko) * 2017-02-24 2018-07-24 주식회사 뉴파워 프라즈마 출력전류 제어가 가능한 전력공급장치 및 이를 이용한 전력공급방법
KR101881535B1 (ko) * 2017-02-24 2018-07-24 주식회사 뉴파워 프라즈마 수동소자를 구비한 전력공급장치 및 이를 이용한 플라즈마 점화를 위한 전력제공방법
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030071035A1 (en) * 2001-10-16 2003-04-17 Brailove Adam Alexander Induction plasma reactor
JP2006332055A (ja) * 2005-05-23 2006-12-07 New Power Plasma Co Ltd プラズマ処理チャンバ、プラズマ反応器、大気圧プラズマ処理システム及びプラズマ処理システム
US20090233384A1 (en) * 2008-03-14 2009-09-17 Foad Majeed A Method for measuring dopant concentration during plasma ion implantation

Family Cites Families (162)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4276557A (en) 1978-12-29 1981-06-30 Bell Telephone Laboratories, Incorporated Integrated semiconductor circuit structure and method for making it
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
JPS61189642A (ja) 1985-02-18 1986-08-23 Mitsubishi Electric Corp プラズマ反応装置
KR960016218B1 (ko) * 1987-06-05 1996-12-07 가부시기가이샤 히다찌세이사꾸쇼 표면처리방법 및 그 장치
RU2094961C1 (ru) 1989-07-20 1997-10-27 Уланов Игорь Максимович Трансформаторный плазмотрон
RU2022917C1 (ru) 1989-09-27 1994-11-15 Уланов Игорь Максимович Способ получения окиси азота
JPH07110991B2 (ja) 1989-10-02 1995-11-29 株式会社日立製作所 プラズマ処理装置およびプラズマ処理方法
RU2056702C1 (ru) 1990-07-09 1996-03-20 Уланов Игорь Максимович Трансформаторный плазмотрон
US6444137B1 (en) 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US5183990A (en) 1991-04-12 1993-02-02 The Lincoln Electric Company Method and circuit for protecting plasma nozzle
US5353314A (en) * 1991-09-30 1994-10-04 The United States Of America As Represented By The United States Department Of Energy Electric field divertor plasma pump
US5302237A (en) 1992-02-13 1994-04-12 The United States Of America As Represented By The Secretary Of Commerce Localized plasma processing
US5505780A (en) * 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
US5349271A (en) 1993-03-24 1994-09-20 Diablo Research Corporation Electrodeless discharge lamp with spiral induction coil
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5630880A (en) 1996-03-07 1997-05-20 Eastlund; Bernard J. Method and apparatus for a large volume plasma processor that can utilize any feedstock material
EP0805475B1 (en) 1996-05-02 2003-02-19 Tokyo Electron Limited Plasma processing apparatus
US5846883A (en) 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
AUPO281896A0 (en) 1996-10-04 1996-10-31 Unisearch Limited Reactive ion etching of silica structures for integrated optics applications
US6190236B1 (en) 1996-10-16 2001-02-20 Vlsi Technology, Inc. Method and system for vacuum removal of chemical mechanical polishing by-products
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JP4043089B2 (ja) * 1997-02-24 2008-02-06 株式会社エフオーアイ プラズマ処理装置
US6924455B1 (en) 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
JPH11135297A (ja) * 1997-10-31 1999-05-21 Kumagai Hiromi プラズマ発生器
JPH11149998A (ja) 1997-11-14 1999-06-02 Foi:Kk プラズマ処理装置
JP3868620B2 (ja) * 1998-03-02 2007-01-17 株式会社エフオーアイ プラズマ発生装置
US6273022B1 (en) 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US5998933A (en) 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6300643B1 (en) 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6392351B1 (en) 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
TW477009B (en) 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
WO2001011650A1 (en) 1999-08-06 2001-02-15 Advanced Energy Industries, Inc. Inductively coupled ring-plasma source apparatus for processing gases and materials and method thereof
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
EP1252358A4 (en) 1999-11-19 2008-02-13 Nano Scale Surface Systems Inc SYSTEM AND METHOD FOR SEPARATING INORGANIC / ORGANIC DIELECTRIC FILMS
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
JP4212210B2 (ja) 1999-12-07 2009-01-21 株式会社小松製作所 表面処理装置
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6337460B2 (en) 2000-02-08 2002-01-08 Thermal Dynamics Corporation Plasma arc torch and method for cutting a workpiece
JP2001237226A (ja) 2000-02-23 2001-08-31 Kobe Steel Ltd プラズマ処理装置
JP2003529926A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US7234477B2 (en) 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
TW445540B (en) 2000-08-07 2001-07-11 Nano Architect Res Corp Bundle concentrating type multi-chamber plasma reacting system
US20020101167A1 (en) 2000-12-22 2002-08-01 Applied Materials, Inc. Capacitively coupled reactive ion etch plasma reactor with overhead high density plasma source for chamber dry cleaning
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US6755150B2 (en) 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
JP4666912B2 (ja) 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4039834B2 (ja) 2001-09-28 2008-01-30 株式会社荏原製作所 エッチング方法及びエッチング装置
US6761804B2 (en) * 2002-02-11 2004-07-13 Applied Materials, Inc. Inverted magnetron
US7056416B2 (en) 2002-02-15 2006-06-06 Matsushita Electric Industrial Co., Ltd. Atmospheric pressure plasma processing method and apparatus
US6962644B2 (en) 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
FR2838020B1 (fr) 2002-03-28 2004-07-02 Centre Nat Rech Scient Dispositif de confinement de plasma
US20030188685A1 (en) 2002-04-08 2003-10-09 Applied Materials, Inc. Laser drilled surfaces for substrate processing chambers
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US6936546B2 (en) 2002-04-26 2005-08-30 Accretech Usa, Inc. Apparatus for shaping thin films in the near-edge regions of in-process semiconductor substrates
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
JP2004014904A (ja) 2002-06-10 2004-01-15 Tokyo Ohka Kogyo Co Ltd 同時放電化装置
US6771026B2 (en) * 2002-06-12 2004-08-03 Tokyo Electron Limited Plasma generation by mode-conversion of RF-electromagnetic wave to electron cyclotron wave
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6902774B2 (en) 2002-07-25 2005-06-07 Inficon Gmbh Method of manufacturing a device
US7256132B2 (en) 2002-07-31 2007-08-14 Applied Materials, Inc. Substrate centering apparatus and method
US20040027781A1 (en) 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US20030015965A1 (en) 2002-08-15 2003-01-23 Valery Godyak Inductively coupled plasma reactor
US6887317B2 (en) 2002-09-10 2005-05-03 Applied Materials, Inc. Reduced friction lift pin
US7411352B2 (en) 2002-09-19 2008-08-12 Applied Process Technologies, Inc. Dual plasma beam sources and method
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7069937B2 (en) 2002-09-30 2006-07-04 Lam Research Corporation Vertical proximity processor
US7198055B2 (en) 2002-09-30 2007-04-03 Lam Research Corporation Meniscus, vacuum, IPA vapor, drying manifold
US7513262B2 (en) 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US6988327B2 (en) 2002-09-30 2006-01-24 Lam Research Corporation Methods and systems for processing a substrate using a dynamic liquid meniscus
US6837967B1 (en) 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
KR100488348B1 (ko) 2002-11-14 2005-05-10 최대규 플라즈마 프로세스 챔버 및 시스템
JP4087234B2 (ja) 2002-12-05 2008-05-21 株式会社アルバック プラズマ処理装置及びプラズマ処理方法
NL1022155C2 (nl) 2002-12-12 2004-06-22 Otb Group Bv Werkwijze, alsmede inrichting voor het behandelen van een oppervlak van ten minste één substraat.
US7163602B2 (en) 2003-03-07 2007-01-16 Ogle John S Apparatus for generating planar plasma using concentric coils and ferromagnetic cores
US7824520B2 (en) 2003-03-26 2010-11-02 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus
JP2004296729A (ja) 2003-03-26 2004-10-21 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
JP4460940B2 (ja) * 2003-05-07 2010-05-12 株式会社ニューパワープラズマ 多重放電管ブリッジを備えた誘導プラズマチャンバ
US8580076B2 (en) 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
WO2004107825A1 (ja) 2003-05-30 2004-12-09 Tokyo Electron Limited プラズマ源及びプラズマ処理装置
FR2857555B1 (fr) * 2003-07-09 2005-10-14 Snecma Moteurs Accelerateur a plasma a derive fermee d'electrons
JP4607517B2 (ja) 2003-09-03 2011-01-05 東京エレクトロン株式会社 プラズマ処理装置
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US20050103265A1 (en) 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
US20050103620A1 (en) 2003-11-19 2005-05-19 Zond, Inc. Plasma source with segmented magnetron cathode
US7464662B2 (en) 2004-01-28 2008-12-16 Tokyo Electron Limited Compact, distributed inductive element for large scale inductively-coupled plasma sources
JP4342984B2 (ja) 2004-03-10 2009-10-14 Okiセミコンダクタ株式会社 エッチング方法
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
JP2006114884A (ja) 2004-09-17 2006-04-27 Ebara Corp 基板洗浄処理装置及び基板処理ユニット
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060236931A1 (en) 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
KR100720989B1 (ko) * 2005-07-15 2007-05-28 주식회사 뉴파워 프라즈마 멀티 챔버 플라즈마 프로세스 시스템
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US7641762B2 (en) 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
CN101223624B (zh) * 2005-09-09 2011-06-15 株式会社爱发科 离子源和等离子体处理装置
US7895970B2 (en) 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
US8092638B2 (en) 2005-10-11 2012-01-10 Applied Materials Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US7397232B2 (en) 2005-10-21 2008-07-08 The University Of Akron Coulter counter having a plurality of channels
KR100663668B1 (ko) * 2005-12-07 2007-01-09 주식회사 뉴파워 프라즈마 복수의 기판을 병렬 배치 처리하기 위한 플라즈마 처리장치
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100785164B1 (ko) * 2006-02-04 2007-12-11 위순임 다중 출력 원격 플라즈마 발생기 및 이를 구비한 기판 처리시스템
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
KR100799175B1 (ko) * 2006-04-21 2008-02-01 주식회사 뉴파워 프라즈마 플라즈마 프로세싱 시스템 및 그 제어 방법
TWI398926B (zh) 2006-04-25 2013-06-11 Gen Co Ltd 具有與磁通通道耦合之電漿室的電漿反應器
US8231799B2 (en) 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
JP5069427B2 (ja) * 2006-06-13 2012-11-07 北陸成型工業株式会社 シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US7879184B2 (en) 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7837826B2 (en) 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
DE102006048816A1 (de) 2006-10-16 2008-04-17 Iplas Innovative Plasma Systems Gmbh Vorrichtung und Verfahren zur lokalen Erzeugung von Mikrowellenplasmen
US7780866B2 (en) 2006-11-15 2010-08-24 Applied Materials, Inc. Method of plasma confinement for enhancing magnetic control of plasma radial distribution
KR100978754B1 (ko) 2008-04-03 2010-08-30 주식회사 테스 플라즈마 처리 장치
WO2008089168A2 (en) 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
KR100868019B1 (ko) * 2007-01-30 2008-11-10 삼성전자주식회사 플라즈마 쉬쓰 제어기를 갖는 이온 빔 장치
US20080179290A1 (en) 2007-01-30 2008-07-31 Collins Kenneth S Temperature-switched process for wafer backside polymer removal and front side photoresist strip
US7897213B2 (en) 2007-02-08 2011-03-01 Lam Research Corporation Methods for contained chemical surface treatment
US7824519B2 (en) 2007-05-18 2010-11-02 Lam Research Corporation Variable volume plasma processing chamber and associated methods
US20080302652A1 (en) 2007-06-06 2008-12-11 Mks Instruments, Inc. Particle Reduction Through Gas and Plasma Source Control
KR101418438B1 (ko) * 2007-07-10 2014-07-14 삼성전자주식회사 플라즈마 발생장치
US20090025879A1 (en) 2007-07-26 2009-01-29 Shahid Rauf Plasma reactor with reduced electrical skew using a conductive baffle
US8343305B2 (en) 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
US8771483B2 (en) 2007-09-05 2014-07-08 Intermolecular, Inc. Combinatorial process system
US8039052B2 (en) 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US20090109595A1 (en) 2007-10-31 2009-04-30 Sokudo Co., Ltd. Method and system for performing electrostatic chuck clamping in track lithography tools
WO2009082763A2 (en) 2007-12-25 2009-07-02 Applied Materials, Inc. Method and apparatus for controlling plasma uniformity
US8129288B2 (en) 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
KR101625516B1 (ko) 2008-02-08 2016-05-30 램 리써치 코포레이션 플라즈마 프로세싱 장치 및 플라즈마 프로세싱 장치에서 반도체 기판을 처리하는 방법
WO2009099660A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
US8409459B2 (en) 2008-02-28 2013-04-02 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
US7558045B1 (en) 2008-03-20 2009-07-07 Novellus Systems, Inc. Electrostatic chuck assembly with capacitive sense feature, and related operating method
JP5294669B2 (ja) 2008-03-25 2013-09-18 東京エレクトロン株式会社 プラズマ処理装置
US20090275206A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
JP5524453B2 (ja) 2008-05-15 2014-06-18 Sumco Techxiv株式会社 シリコンウェーハのエッチング方法及びエッチング装置
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8206552B2 (en) 2008-06-25 2012-06-26 Applied Materials, Inc. RF power delivery system in a semiconductor apparatus
JP5144594B2 (ja) 2008-06-30 2013-02-13 ヤフー株式会社 サーバ装置、サーバ装置における予測方法及びプログラム
KR101046335B1 (ko) 2008-07-29 2011-07-05 피에스케이 주식회사 할로우 캐소드 플라즈마 발생방법 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리방법
US20110135843A1 (en) 2008-07-30 2011-06-09 Kyocera Corporation Deposited Film Forming Device and Deposited Film Forming Method
US20100024729A1 (en) 2008-08-04 2010-02-04 Xinmin Cao Methods and apparatuses for uniform plasma generation and uniform thin film deposition
KR20100031960A (ko) 2008-09-17 2010-03-25 삼성전자주식회사 플라즈마 발생장치
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US8099995B2 (en) 2008-12-16 2012-01-24 Agilent Technologies, Inc. Choked flow isolator for noise reduction in analytical systems
US7994724B2 (en) 2009-03-27 2011-08-09 Ecole Polytechnique Inductive plasma applicator
US8503151B2 (en) 2009-09-30 2013-08-06 Lam Research Corporation Plasma arrestor insert
WO2011022612A2 (en) 2009-08-21 2011-02-24 Mattson Technology, Inc. Inductive plasma source
SG178287A1 (en) 2009-08-31 2012-03-29 Lam Res Corp A local plasma confinement and pressure control arrangement and methods thereof
JP4855506B2 (ja) 2009-09-15 2012-01-18 住友精密工業株式会社 プラズマエッチング装置
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US20110278260A1 (en) * 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
JP5166595B2 (ja) 2011-12-16 2013-03-21 株式会社藤商事 遊技機

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030071035A1 (en) * 2001-10-16 2003-04-17 Brailove Adam Alexander Induction plasma reactor
JP2006332055A (ja) * 2005-05-23 2006-12-07 New Power Plasma Co Ltd プラズマ処理チャンバ、プラズマ反応器、大気圧プラズマ処理システム及びプラズマ処理システム
US20090233384A1 (en) * 2008-03-14 2009-09-17 Foad Majeed A Method for measuring dopant concentration during plasma ion implantation

Also Published As

Publication number Publication date
JP2017004966A (ja) 2017-01-05
KR20130137126A (ko) 2013-12-16
US20160358754A1 (en) 2016-12-08
US9449793B2 (en) 2016-09-20
JP5989644B2 (ja) 2016-09-07
WO2012018365A3 (en) 2013-07-25
TWI641291B (zh) 2018-11-11
JP6412902B2 (ja) 2018-10-24
US20120035766A1 (en) 2012-02-09
US10424460B2 (en) 2019-09-24
TW201223342A (en) 2012-06-01
JP2013541800A (ja) 2013-11-14
WO2012018365A2 (en) 2012-02-09

Similar Documents

Publication Publication Date Title
KR101912474B1 (ko) 초크 유동 요소 추출을 위한 시스템, 방법 및 장치
KR101889568B1 (ko) 분배형 멀티존 플라즈마 소스 시스템, 방법 및 장치
KR101868646B1 (ko) 분리된 플라즈마 소스 제어를 위한 시스템, 방법 및 장치
US20180228015A1 (en) Distributed, Non-Concentric Multi-Zone Plasma Source Systems, Methods and Apparatus
TWI519215B (zh) 分散式多區電漿源系統、方法及設備
US10283325B2 (en) Distributed multi-zone plasma source systems, methods and apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant