KR101868646B1 - 분리된 플라즈마 소스 제어를 위한 시스템, 방법 및 장치 - Google Patents

분리된 플라즈마 소스 제어를 위한 시스템, 방법 및 장치 Download PDF

Info

Publication number
KR101868646B1
KR101868646B1 KR1020137003085A KR20137003085A KR101868646B1 KR 101868646 B1 KR101868646 B1 KR 101868646B1 KR 1020137003085 A KR1020137003085 A KR 1020137003085A KR 20137003085 A KR20137003085 A KR 20137003085A KR 101868646 B1 KR101868646 B1 KR 101868646B1
Authority
KR
South Korea
Prior art keywords
ferrites
plasma
plasma chambers
chamber
ring
Prior art date
Application number
KR1020137003085A
Other languages
English (en)
Other versions
KR20130137589A (ko
Inventor
알리 샤지
리차드 고쵸
사우헤일 벤저룩
앤드류 카우
시드하르트 피 나가르카티
윌리엄 알 엔틀리
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20130137589A publication Critical patent/KR20130137589A/ko
Application granted granted Critical
Publication of KR101868646B1 publication Critical patent/KR101868646B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32669Particular magnets or magnet arrangements for controlling the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

플라즈마 소스는 다수의 링 플라즈마 챔버들, 다수의 1차 권선들, 다수의 페라이트들 및 제어 시스템을 포함한다. 1차 권선들 중 각각 하나는 링 플라즈마 챔버들 중 외부의 하나를 둘러싼다. 복수의 링 플라즈마 챔버들의 각각 하나는 복수의 페라이트들의 각각의 부분을 통과한다. 제어 시스템은 링 플라즈마 챔버들의 각각에 연결된다. 플라즈마 발생 및 이용 시스템 및 방법이 또한 설명된다.

Description

분리된 플라즈마 소스 제어를 위한 시스템, 방법 및 장치 {SYSTEMS, METHODS AND APPARATUS FOR SEPARATE PLASMA SOURCE CONTROL}
본 발명은 일반적으로 플라즈마 반응 챔버에 관한 것이고, 보다 상세하게는 웨이퍼 프로세싱 챔버로부터 분리된 플라즈마 반응 챔버를 위한 방법, 시스템 및 장치에 관한 것이다.
도 1a는 통상적인 평행판, 용량성 플라즈마 프로세싱 챔버 (100) 의 측면도이다. 도 1b는 통상적인 평행판, 용량성 플라즈마 프로세싱 챔버 (100) 에서 프로세싱되는 기판 (102) 의 평면도이다. 통상적인 플라즈마 프로세스 프로세싱 챔버 (100) 는 상부 전극 (104), 프로세싱될 기판 (102) 을 지지하기 위한 기판 지지체 (106) 를 포함한다. 기판 지지체 (106) 는 또한 하부 전극일 수 있다. 상부 전극 (104) 은 통상적으로 다수의 유입부 포트들 (109) 을 갖는 샤워헤드 타입 전극이다. 다수의 유입부 포트들 (109) 은 프로세싱 챔버 (100) 의 폭에 걸쳐 프로세스 가스들 (110) 을 안으로 허용한다.
통상적인 평행판, 용량성 플라즈마 반응기 (100) 는 둥근 평면형 기판들을 프로세싱하는데 사용된다. 공통적인 프로세스들은 유전체 식각 및 다른 식각 프로세스들이다. 그러한 플라즈마 반응기들은 통상적으로 내재적인 뉴트럴 종의 중심-대-에지간 (center-to-edge) 불균일성을 겪는다.
비록 이들 시스템들은 잘 작동하지만, 일부는 뉴트럴 종들의 중심-대-에지간 불균일성을 낳고 이 불균일성은, 에지에서 존재하는, 흐름 속도, 유효 가스 체류 시간 및 하나 이상의 가스 화학물과 비교하여 기판의 중심에서의 존재하는 흐름 속도, 유효 가스 체류 시간, 및 하나 이상의 가스 화학물들 중 하나 이상의 차이로부터 발생한다. 하나 이상의 가스 화학물들은 가스상 해리, 교환 및 재결합 반응들에 의해 야기될 수 있다.
예로서, 프로세스 가스들이 프로세싱 챔버의 폭에 걸쳐 도입됨에 따라, 플라즈마 (112) 는 상부 전극 (104) 과 하부 전극 (106) 사이에 형성되고 플라즈마가 형성된다. 플라즈마 부산물 (118) 은 플라즈마 (112) 에서의 라디칼 및 뉴트럴들과 기판 (102) 의 표면과의 반응에 의해 형성된다. 플라즈마 부산물들 (118) 은 기판의 측면들로 그리고 펌프들 (108) 속으로 인출된다. 플라즈마 부산물은 하나 이상의 해리 반응 (예를 들면, CF4 + e- → CF3 + F + e-) 및/또는 하나 이상의 이온화 (예를 들면, CF4 + e- → CF3 + + F) 및/또는 하나 이상의 여기 (예를 들면, Ar → Ar+ + e-) 및/또는 하나 이상의 어태치먼트 (attachment) (예를 들면, CF4 + e- → CF3 + F-) 및/또는 하나 이상의 이성분 반응 (binary reaction) (예를 들면, CF3 + H → CF2 + HF) 을 포함할 수 있다.
플라즈마 부산물 (118) 은 에천트, F, CFx, SiF2, SiF4, Co, CO2를 포함하는 식각 부산물들을 포함할 수 있다. 식각 부산물들은 또한 플라즈마 (112) 에서 해리할 수 있다.
재결합은 또한 플라즈마 프로세싱 동안 일어난다. 재결합은 재결합 산물 (120) 을 생성한다. 재결합은 통상적으로, 플라즈마 (112) 로부터 라디칼 및 뉴트럴들이 상부 전극 (104) 의 하부 표면과 같은 표면들에 충돌 (impact) 할 때 일어난다. 다음으로, 재결합 산물 (120) 은, 플라즈마 부산물 (118) 과 유사하게, 기판 (102) 의 측면으로부터 펌프들 (108) 속으로 인출된다. 플라즈마 재결합 산물들 (120) 은 하나 이상의 벽 또는 표면 반응들 (예를 들면, F + CF → CF2, 및/또는 H + H → H2, 및/또는 O + O → 02, 및/또는 N + N → N2) 을 포함할 수 있다. 플라즈마 재결합 산물 (120) 은 또한, CFx가 챔버 (100) 의 벽 또는 다른 내부 표면 상에 폴리머를 형성하는 성막을 포함할 수 있다.
도 1a에 도시된 바처럼, 오직 명료성 목적을 위해서, 플라즈마 부산물은 기판 (102) 의 일 측면으로부터 인출되고 재결합 산물 (120) 은 기판 (102) 의 반대 측면으로부터 인출된다는 것에 유의해야 한다. 실제 실시에서, 당업자는 재결합 산물 (120) 및 플라즈마 부산물 (118) 양자 모두가 섞이고 기판 (102) 의 양쪽 측면들로부터 펌프들 (108) 또는 다른 수단으로 인출된다는 것을 깨달을 것이다.
플라즈마 프로세싱이 일어남에 따라, 재결합 산물 (120) 및 플라즈마 부산물 (118) 의 농도는 기판 (102) 의 중심에서 에지까지 달라진다. 결과적으로, 플라즈마 (112) 에서 프로세스 가스들, 라디칼 및 뉴트럴 종의 농도는 또한 대응하여 달라진다. 따라서, 효과적인 플라즈마 프로세싱, 이 경우에서는 식각이 기판 (102) 의 중심에서 에지까지 달라진다. 하지만, 플라즈마를 감소 또는 제어하기 위해 구현될 수 있는 많은 챔버 구성 (chamber configuration) 및 구조들이 존재한다.
그러한 제어들로, 플라즈마 라디칼 및 뉴트럴 종은 기판 (102) 의 중심부 (102A) 상의 플라즈마 프로세싱 영역들 (114A 및 116A) 에 있는 기판 (102) 의 중심에서 가장 집중된다. 또한, 그 라디칼 및 뉴트럴 종의 농도는 기판 (102) 의 중간부 (102B) 상의 중간 플라즈마 프로세싱 영역들 (114B 및 116B) 에서 약간 덜 집중된다. 또한, 그 라디칼 및 뉴트럴 종의 농도는 기판 (102) 의 에지부 (102C) 상의 에지 플라즈마 프로세싱 영역들 (114C 및 116C) 에서 더 엷어지고 덜 집중된다.
따라서, 플라즈마 프로세싱은, 기판 (102) 의 중간부 (102B) 상의 중간 플라즈마 프로세싱 영역들 (114B 및 116B) 에서 약간 더 느리게 일어나고 기판의 에지부 (102C) 상의 에지 플라즈마 프로세싱 영역들 (114C 및 116C) 의 플라즈마 프로세싱에서 훨씬 더 느리게 일어나는 플라즈마 프로세싱과 비교하여 기판 (102) 의 중심부 (102A) 상의 중심 플라즈마 프로세싱 영역들 (114A 및 116A) 에서 가장 빠르게 일어난다. 이것은 기판 (102) 의 중심-대-에지간 불균일성을 초래한다.
이 중심-대-에지간 불균일성은 매우 큰 종횡비를 갖는 소체적 산물 플라즈마 프로세싱 챔버들에서 악화된다. 예를 들면, 매우 큰 종횡비는, 기판의 폭 (W) 이 플라즈마 프로세싱 영역의 높이 (H) 의 약 4배이상인 경우로 정의된다. 플라즈마 프로세싱 영역의 매우 큰 종횡비는 플라즈마 부산물 (118) 및 재결합 산물 (120) 을 플라즈마 프로세싱 영역들 (114A-116C) 에 더 집중시킨다.
비록 뉴트럴 종의 이 중심-대-에지간 불균일성은 중심-대-에지간 프로세스 균일성의 유일한 원인은 아니지만, 많은 유전체 식각 애플리케이션들에서 그것은 현저한 요인이다. 특히, 뉴트럴 의존 프로세스들 이를테면 게이트 또는 비트라인 마스크 오픈, 저-k 막 상의 포토레지스트 스트립, 고도 선택성 콘택트/셀 및 비아 식각은 특히 이들 효과에 민감할 수도 있다. 유사한 문제들이, 웨이퍼 유전체 식각에 사용되는 것들 이외에, 다른 평행판 플라즈마 반응기들에서 적용될 수도 있다.
앞서 말한 것을 고려할 때, 플라즈마 식각 프로세스들에서 중심-대-에지간 균일성을 향상시키기 위한 필요가 존재한다.
요약
넓게 말해서, 본 발명은 분배형 멀티존 플라즈마 소스를 제공하는 것에 의해 이들 필요를 충족시킨다. 본 발명은, 방법, 장치, 시스템, 컴퓨터 판독가능 매체 또는 디바이스를 포함하는 수많은 방식으로 구현될 수 있다는 점이 인식되야 한다. 본 발명의 몇몇 발명의 실시형태들이 아래에서 설명된다.
일 실시형태는 다수의 링 플라즈마 챔버들, 다수의 1차 권선들 및 다수의 페라이트들을 포함하는 플라즈마 소스를 제공한다. 제어 시스템이 또한 포함될 수 있다. 1차 권선들의 각각 하나는 링 플라즈마 챔버들의 외부의 하나를 둘러싼다. 복수의 링 플라즈마 챔버의 각각 하나는 복수의 페라이트들의 각각의 부분을 통과한다. 제어 시스템은 링 플라즈마 챔버들의 각각에 연결된다.
링 플라즈마 챔버들은 실질적으로 동심일 수 있다. 링 플라즈마 챔버들의 각각 하나는 링 플라즈마 챔버들의 적어도 다른 하나에 인접할 수 있다. 플라즈마 챔버들의 각각 하나는 플라즈마 챔버들의 각각 하나를 프로세스 챔버에 연결하는 대응하는 다수의 플라즈마 챔버 유출부들을 포함할 수 있다.
플라즈마 챔버들은 프로세스 챔버 상부 (process chamber top) 에 포함될 수 있다. 플라즈마 소스는 또한 프로세스 가스 소스를 플라즈마 챔버들의 각각 하나에 연결하는 적어도 하나의 프로세스 가스 유입부를 포함할 수 있다.
프로세스 챔버들의 각각 하나는, 프로세스 가스 소스 및 프로세스 가스 플리넘에 연결되는 적어도 하나의 프로세스 가스 유입부 및 프로세스 가스 플리넘과 플라즈마 챔버들의 각각의 하나 사이에 연결되는 다수의 유입부 포트들을 포함할 수 있다. 유입부 포트들은 플라즈마 챔버들의 각각 하나의 둘레 주위에 분배될 수 있다.
페라이트들은 링 플라즈마 챔버의 둘레 주위에 실질적으로 균등하게 분배될 수 있다. 다르게는, 페라이트들은 링 플라즈마 챔버들의 각각의 둘레 주위에 그룹들로 그룹화될 수 있다.
링 플라즈마 챔버는 실질적으로 둥근형, 실질적으로 삼각형, 실질적으로 직사각형 또는 실질적으로 다각형 형상으로 이루어지는 형상들의 그룹 중 하나일 수 있다.
다른 실시형태는 플라즈마 발생 (generating) 방법을 제공한다. 본 방법은 다수의 링 플라즈마 챔버들내에 프로세스 가스를 전달하는 단계, 링 플라즈마 챔버들의 각각 하나의 외부 주위에 감겨진 1차 권선에 1차 전류를 인가하는 단계를 포함한다. 자계가 1차 권선들에서 발생되고 자계는 다수의 페라이트들로 집중된다. 링 플라즈마 챔버들의 각각은 페라이트들의 대응하는 부분을 통과한다. 2차 전류가 링 플라즈마 챔버들의 각각 하나에 있는 프로세스 가스에서 유도된다. 2차 전류는 링 플라즈마 챔버들의 각각에 있는 프로세스 가스에서 플라즈마를 발생시킨다.
본 방법은 또한 링 플라즈마 챔버들의 각각 하나에서 다수의 유출부 포트들을 통하여 뉴트럴 종 및 라디칼 종 중 적어도 하나를 프로세스 챔버에 전달하는 단계를 포함할 수 있다. 유출부 포트들은 링 플라즈마 챔버들을 프로세스 챔버에 연결한다.
본 방법은 또한 프로세스 챔버 상부에서 유출부들을 통하여 프로세스 챔버로부터 플라즈마 부산물 및 재결합 산물 중 적어도 하나를 제거하는 단계를 포함할 수 있다. 유출부들중 적어도 하나는 프로세스 챔버 상부에서 실질적으로 중심 위치에 위치될 수 있다.
링 플라즈마 챔버들내에 프로세스 가스를 전달하는 단계는 프로세스 가스를 링 플라즈마 챔버들의 각각 하나에의 적어도 하나의 프로세스 가스 유입부로 투입하는 단계를 포함할 수 있다. 링 플라즈마 챔버들내에 프로세스 가스를 전달하는 단계는 또한 링 플라즈마 챔버들의 각각 하나의 플로우 레이트 (flow rate), 압력, 바이어스 및/또는 유출부 포트 직경 중 적어도 하나를 조절 (modulating) 하는 단계를 포함할 수 있다. 페라이트들은 복수의 링 플라즈마 챔버들의 각각 하나의 둘레 주위에 실질적으로 균등하게 분배될 수 있다.
본 방법은 또한 적어도 하나의 프로세스 모니터링 센서로부터 프로세스 피드백 신호를 수신하는 단계 및 복수의 링 플라즈마 챔버들의 각각 하나의 플로우 레이트, 압력, 바이어스 및/또는 유출부 포트 직경 중 적어도 하나의 세트 포인트를 조정하는 단계를 포함할 수 있다.
다른 실시형태는 플라즈마 프로세싱 시스템을 제공한다. 본 시스템은 다수의 링 플라즈마 챔버들, 링 플라즈마 챔버들의 외부의 각각 하나를 둘러싸는 1차 권선들 및 다수의 복수의 페라이트들을 포함한다. 링 플라즈마 챔버들의 각각 하나는 페라이트들의 각각의 부분을 통과한다. 본 시스템은 또한 링 플라즈마 챔버들의 각각에 연결되는 제어 시스템을 포함할 수 있다. 본 시스템은 또한 적어도 하나의 프로세스 모니터링 센서 및 링 플라즈마 챔버들의 각각 하나내에 프로세스 가스를 전달하기 위한 로직, 링 플라즈마 챔버들의 각각 하나의 대응하는 외부 주위의 각각의 1차 권선에 1차 전류를 인가하기 위한 로직, 1차 권선들의 각각에서 자계를 발생시키기 위한 로직, 페라이트들로 자계를 집중시키기 위한 로직으로서, 페라이트들이 링 플라즈마 챔버들의 각각의 둘레 주위에 그룹들로 그룹화되는, 상기 자계를 집중시키기 위한 로직, 복수의 링 플라즈마 챔버들의 각각에 있는 프로세스 가스에 2차 전류를 유도하기 위한 로직, 2차 전류로 링 플라즈마 챔버들의 각각에 있는 프로세스 가스에서 플라즈마를 발생시키기 위한 로직, 적어도 하나의 프로세스 모니터링 센서로부터 프로세스 피드백 신호를 수신하기 위한 로직 및 적어도 하나의 세트 포인트를 조정하기 위한 로직을 포함한다.
또 다른 실시형태는 기판을 프로세싱하기 위한 플라즈마 시스템을 제공한다. 본 플라즈마 시스템은, 베이스, 다수의 측벽들, 베이스에 인접한 기판 지지체, 프로세스 챔버를 감싸기 (enclose) 위해 측벽들과 인터페이스되는 챔버 상부, 다수의 페라이트들 및 다수의 1차 권선들을 갖는 프로세스 챔버를 포함한다. 페라이트들은 챔버 상부 위에 배치되어, 페라이트들이 기판 지지체의 영역들 위에 분배되고, 그 영역들은 적어도 기판 지지체의 외부 부분과 기판 지지체의 중심 부분 사이에 연장된다. 페라이트들은 하나 이상의 페라이트들의 다수의 그룹들로 그룹화된다. 1차 권선들의 각각 하나는 페라이트들의 그룹들의 외부의 하나를 둘러싼다. 본 플라즈마 시스템은 또한, 1차 권선들을 따라 전류를 제공하기 위한 전력 공급부를 포함할 수 있고, 페라이트들은 기판 지지체의 영역들 상에 전류를 집중시킨다.
본 발명의 다른 양태들 및 이점들은, 본 발명의 원리들을 예로서 예시하는, 첨부도면들과 함께 취해지는, 다음의 상세한 설명으로부터 분명해질 것이다.
본 발명은 첨부 도면들과 함께 다음의 상세한 설명에 의해 손쉽게 이해될 것이다.
도 1a는 통상적인 평행판, 용량성 플라즈마 프로세싱 챔버의 측면도이다.
도 1b는 통상적인 평행판, 용량성 플라즈마 프로세싱 챔버에서 프로세싱되는 기판의 평면도이다.
도 2a는 본 발명의 실시형태들에 따른 플라즈마 소스의 투시도이다.
도 2b는 본 발명의 실시형태들에 따른 플라즈마 소스의 평면도이다.
도 2c는 본 발명의 실시형태들에 따른 플라즈마 소스의 2C-2C 단면도이다.
도 2d는 본 발명의 실시형태들에 따른 플라즈마 소스의 투시 단면도이다.
도 2e는 본 발명의 실시형태들에 따른 프로세스 챔버 상에 장착된 플라즈마 소스의 투시도이다.
도 2f 및 도 2g는 본 발명의 실시형태들에 따른 프로세스 챔버 상에 장착된 플라즈마 소스 (200) 의 추가 투시도이다.
도 2h는 본 발명의 실시형태들에 따른 프로세스 챔버 (230) 상에 장착된 플라즈마 소스의 다른 투시도이다.
도 2i는 본 발명의 실시형태들에 따른 플라즈마 챔버 유출부들의 다수의 단면도이다.
도 2j는 본 발명의 실시형태들에 따른 다수의 플라즈마 챔버 유출부들의 프로세스 챔버도이다.
도 3a는 본 발명의 실시형태들에 따른 다른 플라즈마 소스의 투시도이다.
도 3b는 본 발명의 실시형태들에 따른 멀티존 플라즈마 소스의 상부 투시도이다.
도 3c는 본 발명의 실시형태들에 따른 멀티존 플라즈마 소스의 하부 투시도이다.
도 3d는 본 발명의 실시형태들에 따른 다른 멀티존 플라즈마 소스의 상부 투시도이다.
도 3e는 본 발명의 실시형태들에 따른 멀티존 플라즈마 소스의 하부 투시도이다.
도 4a 및 도 4b는 본 발명의 실시형태들에 따른 멀티존 플라즈마 소스의 간략화된 구성도이다.
도 5는 본 발명의 실시형태들에 따른 선택적인 플라즈마 제한부의 다양한 크기들에 대한 흐름 및 압력 그래프이다.
도 6a는 본 발명의 실시형태들에 따른 예시적인 변압기의 구성도이다.
도 6b는 본 발명의 실시형태들에 따른 플라즈마 소스에서 단일 링의 페라이트 및 플라즈마 챔버의 구성도이다.
도 7은 본 발명의 실시형태들에 따른 멀티존 플라즈마 소스에서 단일 링의 페라이트들 및 플라즈마 챔버의 전기 구성도이다.
도 8은 본 발명의 실시형태들에 따른 전력 공급부의 전기 구성도이다.
도 9a - 도 9c는 본 발명의 실시형태들에 따른 플라즈마 소스로부터 흐름의 흐름도이다.
도 10은 본 발명의 일 실시형태에 따른, 본원에 기재된 플라즈마 소스들의 동작에서 실시되는 방법 동작들을 예시하는 플로우차트도이다.
도 11은 본 발명의 실시형태에 따른, 본원에 기재된 하나 이상의 플라즈마 소스들을 포함하는 통합 시스템의 블록도이다.
분배형 멀티존 플라즈마 소스 시스템, 방법 및 장치를 위한 몇개의 예시적인 실시형태들을 이제 설명한다. 본 발명은 여기에 제시된 특정 상세들의 일부 또는 전부가 없이 실시될 수도 있음은 당업자에게 자명할 것이다.
도 2a는 본 발명의 실시형태들에 따른 플라즈마 소스 (200) 의 투시도이다. 플라즈마 소스 (200) 는 프로세스 가스 유입부 (206), 다수의 페라이트 (204), 플라즈마 소스 상부 (208), 및 챔버 상부 (202) 를 포함한다. 플라즈마 소스 (200) 의 엘리먼트들 (202-208) 의 특정 배열은 도시된 것으로부터 수정될 수도 있다는 것이 이해되야 한다. 예를 들면, 챔버 상부 (202) 및 플라즈마 소스 상부 (208) 는 프로세스 챔버 (230) 의 단일 커버로 결합될 수 있다.
도 2b는 본 발명의 실시형태들에 따른 플라즈마 소스 (200) 의 평면도이다. 도 2c는 본 발명의 실시형태들에 따른 플라즈마 소스 (200) 의 2C-2C 단면도이다. 도 2d는 본 발명의 실시형태들에 따른 플라즈마 소스 (200) 의 투시 단면도이다. 도 2e는 본 발명의 실시형태들에 따른 프로세스 챔버 (230) 상에 장착된 플라즈마 소스 (200) 의 투시도이다. 프로세스 가스 플리넘 (212) 은 프로세스 가스 유입부 (206) 로부터 공급되는 프로세스 가스를 위한 분배 플리넘 (distributing plenum) 으로 도시된다.
프로세스 가스 (110) 는 프로세스 가스 플리넘 (212) 에의 유입부 포트 (206) 로 유입된다. 프로세스 가스 플리넘 (212) 은 유입부 포트들 (212A) 로 프로세스 가스 (110) 를 분배한다. 유입부 포트들 (212A) 은 프로세스 가스 (110) 를 플라즈마 챔버 (210) 로 보낸다. 프로세스 가수 유입부 포트들 (212A) 은 프로세스 챔버 유출부 (220) 과 정렬되거나 또는 프로세스 챔버 유출부 (220) 로부터 오프셋될 수 있다. 프로세스 가스 유입부 포트들 (212A) 및/또는 플라즈마 챔버 유출부들 (220) 은 페라이트들 (204) 사이에 위치되거나 페라이트들과 정렬되거나 또는 그들의 조합일 수 있다.
페라이트들 (204) 은 선택된 간격들로 플라즈마 챔버 (210) 를 둘러싼다. 페라이트들 (204) 은 각 페라이트의 중심에 인접한 전계가 플라즈마 챔버 (210) 에서 대응하는 지점의 플라즈마를 지속시키기 (support) 에 충분히 강하게 되도록 한다.
아래에 도시된 바처럼, 페라이트들 (204) 은 실질적으로 정사각형인 것으로 도시되어 있지만, 페라이트들은 다른 형상일 수 있다. 페라이트들 (204) 은 다수의 부분들 (224A, 224B, 224C, 224D) 로 만들어지는 것으로 도시되어 있지만, 페라이트들은 하나 이상의 부분들로 만들어질 수 있다. 다수의 페라이트 부분들 (224A, 224B, 224C, 224D) 은 각 페라이트 (204) 의 중심에 인접하게 전계를 집중시키기 위하여 요구되는 바대로 실질적으로 서로 가까울 수 있다. 페라이트들 (204) 은 챔버 상부 (202) 주위에 분배되는 것으로 도시되어 있다. 프로세스 챔버 (230) 는 측벽들 (230') 및 베이스 (230") 를 갖는다. 기판 지지체 (106) 는 베이스 (230") 상에 있거나, 베이스 (230") 근처에 있거나 또는 베이스 (230") 에 인접해 있다.
플라즈마 챔버 유출부들 (220) 은 플라즈마 챔버 (210) 를 챔버 상부 (202) 아래의 프로세스 챔버 (230) 에 연결하는 것으로 도시되어 있다. 플라즈마 챔버 유출부들 (220) 은 플라즈마 및/또는 라디칼 및/또는 뉴트럴 종들을 플라즈마 챔버 (210) 로부터 그리고 프로세스 챔버 (230) 내에 전달한다.
선택적인 플라즈마 제한부 (214) 가 또한 도시되어 있다. 선택적인 플라즈마 제한부 (214) 는 플라즈마 챔버 (210) 와 프로세스 챔버 (230) 사이에 원하는 압력차 (pressure differential) 를 제공하기 위하여 사용될 수 있다. 선택적인 플라즈마 제한부 (214) 는, 플라즈마가 플라즈마 챔버 (210) 로부터 프로세스 챔버 (230) 로 보내지는 것이 실질적으로 방지되도록, 충분히 작거나 및/또는 바이어스될 수 있다. 또한, 플라즈마 제한부는 플라즈마 챔버 (210) 로부터 이온들을 추출하고 그 이온들을 프로세스 챔버내로 그 다음 웨이퍼 상으로 인출하기 하기 위해 바이어스될 수 있다. 예로서, 선택적인 플라즈마 제한부 (214) 는 플라즈마 시스 (sheath) 두께의 2배 이하인 직경을 가질 수 있고 이렇게 하여 플라즈마 시스는 플라즈마가 선택적인 플라즈마 제한부를 통과하는 것을 방지할 수 있다. 선택적인 플라즈마 제한부 (214) 는 약 0.1 mm 와 약 2.0 mm 사이에서 선택된 직경 (예를 들면, 0.1 mm, 0.2 mm, 0.5 mm, 1.0 mm, 2.0 mm) 을 가질 수 있다. 선택적인 플라즈마 제한부 (214) 의 종횡비는 플라즈마 제한부의 유효성을 조정하기 위하여 사용될 수 있다는 점에 유의해야 한다. 예로서, 더 높은 종횡비 (즉, 길이/폭) 플라즈마 제한부 (214) 는 실질적으로 플라즈마를 제한할 수 있는 한편, 뉴트럴 또는 라디컬 종 수송에 최소 영향을 미친다. 또한 더 큰 직경 유출부 오리피스가 사용될 수 있다는 점도 이해되야 한다. 예로서, 선택적인 플라즈마 제한부 (214) 가 생략될 수 있고 유효 제한부는 플라즈마 챔버 유출부들 (220) 의 폭이다. 플라즈마 챔버 유출부들 (220) 의 폭은 플라즈마 챔버 (210) 와 프로세스 챔버 (230) 양자 모두에서 실질적으로 등압을 허용하기에 충분히 실질적으로 넓을 수 있다.
도 2i는 본 발명의 실시형태들에 따른 플라즈마 챔버 유출부들 (220) 의 다수의 단면도들을 나타낸다. 도 2j는 본 발명의 실시형태들에 따른 다수의 플라즈마 챔버 유출부들 (220) 의 프로세스 챔버도이다. 플라즈마 챔버 유출부들 (220) 은, 원하는 폭의 실질적으로 직사각형, 단면 형상을 갖는, 스트레이트 스루 (straight through), 실질적으로 실린더형일 수 있다. 플라즈마 챔버 유출부들 (220) 은 선택적인 원뿔형 형상 (220A) 을 포함할 수 있다. 선택적인 원뿔형 형상 (220A) 은 플라즈마 챔버 유출부들 (220) 로부터 흐름 평활화 (flow smoothing) 및/또는 흐름 분배 (flow distribution) 를 제공할 수 있다. 플라즈마 챔버 유출부들 (220) 은 또한 다른 선택적인 형상들을 포함할 수 있다. 예로서, 플라즈마 챔버 유출부들 (220) 은 더 큰 폭의 같은 형상 (220B) 또는 더 작은 폭의 같은 형상 (220F) 을 포함할 수 있다. 플라즈마 챔버 유출부들 (220) 은 선택적인 곡형 또는 보울형 (bowl shaped) 유출부 (220C, 220E) 를 포함할 수 있다. 선택적인 곡형 또는 보울형 유출부 (220C, 220E) 는 유출부 (220C) 와 같은 가장 넓은 지점에서 또는 유출부 (220E) 와 같은 가장 넓은 지점보다 작은 더 좁은 지점에서 개구를 가질 수 있다. 선택적인 원뿔형 형상은 원뿔대 (truncated conical) 형상 (220D) 일 수 있다.
선택적인 플라즈마 제한부는 선택적인 플라즈마 제한부 (214) 와 같은 유출부 포트 (220) 의 길이를 따라 실질적으로 중심에 위치될 수 있다. 다르게는, 선택적인 플라즈마 제한부는 실질적으로, 선택적인 플라즈마 제한부 (214') 와 같은 유출부 포트 (220) 의 플라즈마 챔버 (210) 단부에 위치될 수 있다. 다르게는, 선택적인 플라즈마 제한부는 실질적으로, 선택적인 플라즈마 제한부 (214") 와 같은 유출부 포트 (220) 의 프로세스 챔버 (230) 단부에 위치될 수 있다. 선택적인 플라즈마 제한부 (214) 는 유출부 포트 (220) 의 플라즈마 챔버 (210) 단부와 프로세스 챔버 (230) 단부 사이의 유출부 포트 (220) 의 길이를 따라 임의의 곳에 위치될 수 있다는 점이 이해되야 한다.
도 2j에 도시된 바처럼, 플라즈마 챔버 유출부 (220) 는 임의의 적합한 형상일 수 있다. 예로서, 실질적으로 둥근형 (220), 실질적으로 타원형 (220H), 실질적으로 직사각형 (220I, 220J) 또는 다른 기하학적 형상들 (예를 들면, 삼각형 (220K), 임의의 수의 변을 갖는 다각형 (220L)) 이다. 플라즈마 챔버 유출부 (220) 는 실질적으로 날카로운 에지 (220I, 220K, 220L) 또는 실질적으로 곡형 에지 및/또는 변들 (220J, 220M, 220N) 을 포함할 수 있다. 형상들의 조합이 또한, 플라즈마 챔버 유출부 (220) 에 포함될 수 있다. 예로서 선택적인 원뿔형 형상 (220A) 은 실질적으로 둥근 형상 (220A) 보다 오히려 더 타원형 형상 (220A') 을 가질 수 있다.
챔버 상부 (202) 는 하나 이상의 유출부 (234) 들을 포함할 수 있다. 유출부들 (234) 은 저압 소스 (lower pressure source) (예를 들면, 진공 펌프) 에 연결된다. 유출부들 (234) 은 저압 소스가 플라즈마 챔버 (230) 의 중심 근처로부터 플라즈마 부산물 (118) 및 재결합 산물 (120) 을 빼내는 것을 허용한다. 결과적으로, 플라즈마 부산물 (118) 및 재결합 산물 (120) 은 플라즈마 (410) 및 프로세스 챔버에서 플라즈마에 의해 발생되는 뉴트럴 종 (412) 과 간섭을 일으키지 않는다.
프로세스 챔버 (230) 는 로드 포트들 (232) 및 프로세싱될 기판 (102) 을 지지하기 위한 기판 지지체 (106) 를 포함한다. 또한, 당해 기술분야에서 잘 알려져 있는 바처럼 다른 피쳐들이 프로세스 챔버에 포함될 수도 있다.
도 2f 및 도 2g는 본 발명의 실시형태들에 따른 프로세스 챔버 (230) 상에 장착된 플라즈마 소스 (200) 의 추가 투시도이다. 플라즈마 소스 상부 (208) 은 추가 상세들을 설명하기 위해 리프트된 상태 (도 2f) 와 제거된 상태 (도 2g) 로 도시되어 있다. 플라즈마 챔버 (210) 는 플라즈마 소스 상부 (208) 또는 프로세스 챔버 (230) 와는 상이한 재료로 구성될 수 있다. 예로서, 플라즈마 챔버 (210) 는 세라믹일 수 있고 플라즈마 소스 상부 (208) 또는 프로세스 챔버 (230) 는 세라믹, 금속 (예를 들면, 알루미늄, 강, 스테인레스 강 등) 일 수 있다. 슬롯들 (226A 및 226B) 은 페라이트들 (204) 의 설치 및 지지를 위해 제공된다.
도 2g에 도시된 바처럼, 페라이트들 (204) 은 플라즈마 챔버 (210) 의 외부를 둘러싸는 것으로 도시되어 있다. 플라즈마 챔버 (210) 는, 유전체 이를테면 세라믹 또는 다른 유전체 재료 (예를 들면, 석영, 실리카 (SiO2), 알루미나 (Al2O3), 사파이어 (Al2O3), 알루미늄 니트라이드 (AlN), 이트륨 옥사이드 (Y2O3) 및/또는 유사한 재료들 및 이들의 조합) 으로 형성될 수 있다.
도 2h는 본 발명의 실시형태들에 따른 프로세스 챔버 (230) 상에 장착된 플라즈마 소스 (200) 의 다른 투시도이다. 도 2h에 도시된 바처럼, 1차 도체 (240) 가 플라즈마 챔버 (210) 를 둘러싸는 것으로 도시되어 있다. 1차 도체 (240) 는 아래의 도 7에서 더 자세하게 설명되는 바처럼 유도성 엘리먼트의 1차 권선이다. 1차 도체 (240) 는 플라즈마 챔버 (210) 주위의 하나 이상의 턴 (turn) 들을 갖는다. 여기에 도시된 바처럼, 1차 도체 (240) 는 플라즈마 챔버 (210) 주위에 2개의 턴을 갖지만, 2개 보다 많은 턴들이 또한 사용될 수 있다.
도 3a는 본 발명의 실시형태에 따른 다른 플라즈마 소스 (300) 의 투시도이다. 플라즈마 소스 (300) 는 선택된 간격들에서 플라즈마 챔버를 둘러싸는 다수의 페라이트 엘리먼트들 (204) 을 갖는 플라즈마 챔버 (210) 를 포함한다. 이 경우에 페라이트 엘리먼트들 (204) 은 실질적인 등간격으로 플라즈마 챔버를 둘러싸지만 그것들은 상이한 간격일 수 있다.
플라즈마 챔버 (210) 는 대략 원형 또는 이 경우에 다섯 개의 변을 갖는 것과 같은 기하학적 형상일 수 있다. 유사하게, 플라즈마 챔버 (210) 는 원형 또는 3개 이상의 변을 갖는 기하학적 형상일 수 있다. 또한 플라즈마 챔버 (210) 는 대략 직사각형 또는 대략 원형 또는 둥근 단면 형상을 가질 수 있다는 점에 유의해야 한다. 플라즈마 챔버 (210) 의 내부 표면들은 평활화되고 어떠한 날카로운 (예를 들면, 약 직각 또는 더 예각의) 에지들 또는 코너들도 갖지 않을 수 있다. 예로서, 내부 코너들은 상대적으로 큰 반경 (예를 들면, 플라즈마 챔버의 단면 반경의 약 1/2과 약 2배 사이) 을 갖는 둥근 윤곽 (rounded contour) 을 가질 수 있다. 또한, 단일 프로세스 가스 유입부 (206) 가 플라즈마 챔버 (210) 에 연결되는 것으로 도시되지만, 2개 이상의 프로세스 가스 유입부들이 플라즈마 챔버에 프로세스 가스를 공급하기 위하여 사용될 수 있다는 점에 유의해야 한다.
도 3b는 본 발명의 실시형태들에 따른 멀티존 플라즈마 소스 (320) 의 상부 투시도이다. 멀티존 플라즈마 소스 (320) 는, 예를 들면 내포 링 (nested ring) 들을 이루는 다수의 개별 동심 플라즈마 챔버들 (310A-310D) 을 포함한다. 동심 플라즈마 챔버들 (310A-310D) 의 각각은 대응하는 세트의 페라이트들 (204A-204D) 을 가진다.
도 3c는 본 발명의 실시형태들에 따른 멀티존 플라즈마 소스 (320) 의 하부 투시도이다. 챔버 상부 (202) 는 다수의 프로세스 유출부 포트들 (304A-304E) 및 다수의 플라즈마 유출부 포트들 (220A-220D) 을 가진다. 다수의 플라즈마 유출부 포트들 (220A-220D) 은 대응하는 플라즈마 챔버들 (310A-310D) 에 연결된다.
도 3d는 본 발명의 실시형태들에 따른 다른 멀티존 플라즈마 소스 (330) 의 상부 투시도이다. 도 3e는 본 발명의 실시형태들에 따른 멀티존 플라즈마 소스 (330) 의 하부 투시도이다. 멀티존 플라즈마 소스 (330) 는 다수의 동심 플라즈마 챔버들 (310A-310E) 을 포함한다. 동심 플라즈마 챔버들 (310A-310E) 의 각각은 대응하는 세트의 페라이트들 (204A-204E) 을 가진다.
도시된 바처럼, 인접하는 플라즈마 챔버들 (310A-310E) 의 페라이트들 (204A-204E) 이 영역 (332A-332D) 들에 나타낸 바처럼 약간 오버랩될 수 있다. 예로서, 페라이트 (204B) 의 내부 에지들은 영역 (332A) 에서 페라이트들 (204A) 의 외부 에지들에 오버랩된다. 유사하게, 페라이트 (204B) 의 외부 에지들은 영역 (332B) 에서 페라이트들 (204C) 의 내부 에지들에 오버랩된다. 오버랩되는 페라이트들 (204A-204E) 은 동심 플라즈마 챔버들 (310A-310E) 이 멀티존 플라즈마 소스 (330) 에서 더 조밀하게 충전되는 것을 허용한다. 따라서 오직 4개 동심 링들 (310A-310D) 을 갖는 도 3b 및 도 3c에 도시된 오버랩되지 않는 페라이트 실시형태와 같은 직경에 더 많은 동심 링들 (310A-310E) (예를 들면, 5개 동심 링들) 이 포함되는 것을 허용한다. 후술되는 바처럼, 각 링 (310A-310E) 은 바이어스, 가스 흐름, 농도, RF 전력 등에서 개별적으로 제어될 수 있다. 따라서, 더 많은 수의 동심 링들 (310A-310E) 이 프로세스 챔버 (230) 에서 기판 (102) 의 직경에 걸쳐 프로세스의 더 미세한 튜닝 제어 (fine tuning control) 를 제공한다.
페라이트들 (204A-204E) 은 선택적으로 멀티존 플라즈마 소스 (330) 의 다수의 반지름 방향 세그먼트 (radial segment) 들 (즉, 파이 슬라이스 형상들) (334A-334L) 로 배열될 수 있다. 후술되는 바처럼, 각 반지름 방향 세그먼트 (334A-334L) 는 바이어스, 가스 흐름, 농도 등에서 개별적으로 제어될 수 있다. 따라서, 반지름 방향 세그먼트들 (334A-334L) 은 프로세스 챔버 (230) 에서 기판 (102) 에 걸쳐 반지름 방향으로 프로세스의 또 다른 미세 튜닝 제어를 제공한다.
도 4a 및 도 4b는 본 발명의 실시형태들에 따른 멀티존 플라즈마 소스 (300, 320) 의 간략화된 구성도이다. 챔버 상부 (202) 는 멀티존 플라즈마 소스들 (300, 320) 을 포함한다. 프로세스 챔버 (230) 는 측벽들 (230') 및 베이스 (230") 를 갖는다. 기판 지지체 (106) 는 베이스 (230") 상에 있거나, 베이스 (230") 근처에 있거나 또는 베이스 (230") 에 인접해 있다. 프로세스 유출부 포트들 (304A-304E) 은 기판 (102) 의 폭 W 에 걸쳐 실질적으로 동등하게 플라즈마 부산물 (118) 및 재결합 산물 (120) 을 빼낸다. 결과적으로, 플라즈마 부산물 (18) 및 재결합 산물 (120) 은 플라즈마 (410) 및 플라즈마에 의해 발생되는 뉴트럴 종 (412) 과 간섭을 일으키지 않는다. 그러므로 뉴트럴 종 (412) 은 기판 (102) 의 폭에 걸쳐 실질적으로 균등하게 분배된다. 뉴트럴 종 (412) 은 기판 (102) 의 표면과 반응한다. 뉴트럴 종 (412) 이 기판 (102) 의 폭에 걸쳐 실질적으로 균등하게 분배됨에 따라, 프로세싱 챔버 (230) 에서 적용된 플라즈마 프로세스들 (예를 들면, 식각, 스트립 또는 다른 플라즈마 프로세스들) 의 중심-대-에지간 불균일성이 또한 실질적으로 제거된다.
제어기 (420) 는 각 링 (310A-310E) 에 대해 대응하는 제어들 (422A-422E) (예를 들면, 소프트웨어, 로직, 세트 포인트, 레시피 등) 을 포함한다. 프로세스 모니터링 센서들 (424, 426) 은 또한 프로세스 피드백을 제공하기 위하여 제어기 (420) 에 연결될 수 있다. 제어들 (422A-422E) 은 각 링 (310A-310E) 이를테면 바이어스 신호, 전력, 주파수, 프로세스 가스 (110) 압력, 플로우 레이트 및 농도를 개별적으로 제어할 수 있다. 따라서, 프로세스 챔버 (230) 에서 기판 (102) 의 직경에 걸쳐 해리된 가스의 반지름 방향 프로파일 제어를 제공한다.
다수의 플라즈마 챔버들 (310A-310E) 의 각각은 독립적으로 프로세싱 챔버 (230) 의 대응하는 영역에서 프로세스들을 조작하도록 제어될 수 있다.
유사하게, 다수의 반지름 방향 세그먼트들 (334A-334L) 의 각각은, 다수의 플라즈마 챔버들 (310A-310E) 의 각 반지름 방향 세그먼트가 독립적으로 프로세싱 챔버 (230) 의 대응하는 영역에서 프로세스들을 조작하도록 제어되는 것을 허용한다. 예로서, 플라즈마 챔버 (310B) 에서 프로세스 가스 (110) 의 플로우 레이트 및 압력에 대한 프로세스 변수 세트 포인트는 대응하는 제어 (422B) 에 입력된다. 프로세스 모니터링 센서들 (424, 426) 의 적어도 하나는 대응하는 제어 (422B) 에 프로세스 측정 입력을 제공한다. 프로세스 모니터링 센서들 (424, 426) 및 로직 및 소프트웨어로부터 프로세스 측정 입력에 기초하여, 대응하는 제어 (422B) 는 페라이트 (310B) 에의 RF 전력 및 플라즈마 챔버 (310B) 에서 프로세스 가스 (110) 의 플로우 레이트 및 압력을 위한 변경된 세트포인트들을 출력한다.
유사하게, 프로세스들은 멀티존 플라즈마 소스 (200, 300, 310, 320, 330) 의 동심 링 플라즈마 챔버들 (310A-E), 및/또는 페라이트들 (204A-E), 및/또는 반지름 방향 세그먼트들 (334A-334L) 중 하나 이상 또는 조합에 의해 정의되는 각각의 영역들의 각각에서 모니터링 및/또는 제어될 수 있다. 또한, 존들의 각각은, 멀티존 플라즈마 소스들 (200, 300, 310, 320, 330) 이 유효하게 단일 존 플라즈마 소스가 되도록 같은 방식 및 세트포인트들에서 동작될 수 있다는 점이 이해되야 한다. 또한, 멀티존 플라즈마 소스들 (200, 300, 310, 320, 330) 의 존들 중 일부는, 멀티존 플라즈마 소스들이 더 적은 존들을 갖도록 같은 방식 및 세트포인트들에서 동작될 수 있다.
도 5는 본 발명의 실시형태들에 따른 선택적인 플라즈마 제한부 (214) 의 다양한 크기들에 대한 흐름 및 압력 그래프이다. 그래프 (510) 는 0.2 mm의 직경을 갖는 선택적인 플라즈마 제한부 (214) 에 대한 SCCM (standard cubic centimeters per minute) 단위의 플로우 레이트이다. 그래프 (520) 는 0.5 mm의 직경을 갖는 선택적인 플라즈마 제한부 (214) 에 대한 플로우 레이트이다. 그래프 (530) 는 1.0 mm의 직경을 갖는 선택적인 플라즈마 제한부 (214) 에 대한 플로우 레이트이다. 알 수 있는 바처럼, 선택적인 플라즈마 제한부 (214) 의 다양한 크기들은 플라즈마 챔버 (210) 와 프로세스 챔버 (230) 사이의 압력 강하 (pressure drop) 를 결정할 수 있다. 압력 강하가 플라즈마 제한부 (214) 에 걸쳐 초크 흐름 (choked flow) 이 일어날 정도이면, 플라즈마 챔버 (210) 로의 질량 유량은 플라즈마 챔버 (210) 에서 압력이 일정할 때 플라즈마 챔버의 감소에 따라 증가하지 않을 것이다.
플라즈마 챔버 (210) 에서의 압력을 증가시키는 것은 플라즈마 챔버에서 플라즈마를 지속시키기에 충분한 프로세스 가스 (110) 의 밀도를 제공한다. 고정된 RF 전압에 대해, 프로세스 가스 (110) 로 유도될 필요가 있는 전류는 프로세스 가스 압력에 반비례한다. 그러므로, 플라즈마 챔버 (210) 에서 프로세스 가스 (110) 압력을 증가시키는 것은 플라즈마를 생성하는데 필요한 전류를 감소시킨다. 또한, 플라즈마는 플라즈마를 지속시키기 위하여 프로세스 가스 압력을 필요로 하므로, 플라즈마는 플라즈마 챔버 (210) 에 들어있게 되고 플라즈마 챔버로부터 프로세스 챔버 (230) 로 흐르지 않을 것이다. 결과적으로, 플라즈마 제한부 (214) 는 플라즈마를 플라즈마 챔버 (210) 로 제한할 수 있다.
변압기는 1차 권선 및 2차 권선을 갖는다. 1차 권선을 통한 1차 전류는 자계를 발생시킨다. 자계가 2차 권선을 통과함에 따라, 대응하는 2차 전류가 2차 권선에 유도된다. 페라이트 코어를 갖는 변압기는 자계를 더 작고, 더 밀한 자계로 집중 (즉, 집속) 시키고 따라서 2차 전류를 2차 권선에 더 효율적으로 유도한다. 이것은 매우 효율적인 저 주파수 (예를 들면, 약 13 MHz 미만 그리고 더 상세하게는 10 kHz 과 약 5MHz 미만 사이 그리고 더 상세하게는 약 10 kHz와 약 1 MHz 미만 사이의) 동작을 허용한다. 저 주파수 동작은 또한 통상적인 고주파수 (예를 들면, 약 13.56MHz 및 더 높은 주파수) RF 플라즈마 시스템들에 비해 현저히 더 낮은 비용을 제공한다.
저 주파수 페라이트 연결 플라즈마 시스템의 추가 이점은 그의 이온 충격 에너지가 낮다는 것이고, 이는 고주파수 RF 시스템에 비해 더 적은 플라즈마 이로젼 및 더 적은 온 웨이퍼 퍼티큘레이트 (on-wafer particulate) 를 초래한다. 더 적은 플라즈마 이로젼은 플라즈마 챔버 (210) 표면들 및 컴포넌트들 상에서 더 적은 마모 (wear) 및 인열 (tear) 을 초래한다.
도 6a는 본 발명의 실시형태들에 따른 예시적인 변압기 (600) 의 구성도이다. 1차 전류 Ip가 전력 공급부로부터 1차 권선 (620) 에 인가된다. 1차 권선 (620) 을 통한 1차 전류 Ip의 흐름은 자계 (622) 를 페라이트 (204) 내에 생성한다. 자계 (622) 는 2차 권선 (630) 의 중심에 있는 페라이트로부터 나오고 2차 권선에서 2차 전류 Is를 유도한다.
도 6b는 본 발명의 실시형태들에 따른 플라즈마 소스 (200, 300, 310, 320, 330) 에서 단일 링의 페라이트 (204) 및 플라즈마 챔버 (210) 의 구성도이다. 도 7은 본 발명의 실시형태들에 따른 플라즈마 소스 (200, 300, 310, 320, 330) 에서 단일 링의 페라이트들 (204) 및 플라즈마 챔버 (210) 의 전기 구성도 (electrical schematic; 700) 이다. 여기에 기재된, 플라즈마 소스 (200, 300, 310, 320, 330) 에서, 1차 권선 (240) 은 각 플라즈마 챔버 (210) 주위에 그리고 페라이트들 (204) 의 각각의 세트 (204A-E) 각각 내부에 싸여진다. 2차 권선은 플라즈마 챔버 (210) 내부의 프로세스 가스 (110) 이다.
1차 전류 Ip가 전력 공급부 (702) 로부터 1차 권선 (240) 에 인가된다. 전력은 RF (예를 들면, 약 10 kHz 내지 약 1 MHz 이상 또는 약 10 kHz 내지 약 5 MHz 또는 약 10 kHz 내지 약 13 MHz 미만 사이의) 일 수 있다. 1차 권선 (240) 을 통한 1차 전류 Ip의 흐름은 자계 (622) 를 페라이트 (204) 에 생성한다. 자계 (622) 는 플라즈마 챔버 (210) 내부의 프로세스 가스 (110) 에서 2차 전류 Is를 유도한다. 결과적으로, 프로세스 가스는 플라즈마 (410) 를 형성하기에 충분히 여기된다.
도 8은 본 발명의 실시형태들에 따른 전력 공급부 (702) 의 전기 구성도이다. 전력 공급부 (702) 는 전원 (802) 으로부터 AC 전력을 DC 전력으로 전환하기 위한 정류기 (804) 를 포함한다. 필터 (808) 은 정류기 (804) 의 출력을 필터링한다. 필터링된 DC는 필터 (808) 로부터 인버터 (810) 로 전달된다. 인버터 (810) 는 필터링된 DC 를 원하는 주파수, 전압 및 전류의 AC 신호로 전환한다. 공진 회로 (812) 는 공진에서 로드에 원하는 AC 신호를 효율적으로 전달하도록 플라즈마 챔버 로드 (814) 와의 공진을 매치 (match) 시킨다.
제어기 (820) 는 전력 공급부 (702) 를 제어한다. 제어기 (820) 는, 시스템 제어기 또는 더 큰 영역 제어 시스템 (미도시) 에의 링크 (예를 들면, 네트워크) 를 포함할 수도 있는 사용자 인터페이스 (822) 를 포함한다. 제어기 (820) 는 컴포넌트들 (804, 808, 810, 812) 에 직접 그리고 센서들 (806A, 806B, 806C) 을 통하여, 그의 동작을 모니터링 및 제어하기 위해, 연결된다. 예로서, 제어기 (820) 는 전력 공급부 (702) 내의 전력 신호들의 전압, 전류, 전력, 주파수 및 위상 (phase) 중 하나 이상을 모니터링한다.
도 9a - 도 9c는 본 발명의 실시형태들에 따른 플라즈마 소스 (300, 310, 320, 330) 로부터 흐름의 흐름도이다. 라디칼 및 뉴트럴 흐름 (902) 은 플라즈마 챔버 (304A-F) 로부터 기판 (102) 을 향해 대략 부채 형상 (approximant fan shape) 으로 흐르는 것으로 도시되어 있다. 부채 형상은 유출부 포트들 (220) 에서 시작하고 그것이 웨이퍼 (102) 에 접근함에 따라 확대된다. 플라즈마 챔버 (304A-F) 를 통해 흐르는 가스는 플로우 레이트 Q 및 압력 Ps를 갖는다. 압력 Pc는 프로세스 챔버 (230) 에서의 압력이다. Ps와 Pc사이의 차이는 라디칼 및 뉴트럴 흐름 (902) 이 웨이퍼 (102) 쪽으로 확대되는 것을 허용한다.
이제 도 9b를 참조하면, 라디칼 및 뉴트럴 흐름 (902) 의 농도 (920) 는 유출부 포트들 (220) 간의 거리 L과 프로세스 챔버 (230) 의 높이 H의 함수이다. 유출부 포트들 (220) 간의 거리 L이 너무 크면, 라디컬 및 뉴트럴 흐름 (902) 의 농도 (920) 가 웨이퍼 (102) 의 표면과 반응하기에 불충분한 영역들 (904) 이 존재하게 된다. 유사하게, 프로세스 챔버 (230) 의 높이 H가 너무 작으면, 라디칼 및 뉴트럴 흐름 (902) 의 농도 (920) 가 웨이퍼 (102) 의 표면과 반응하기에 불충분한 영역들 (904) 이 존재하게 된다. 도 9c는 다음과 같은 높이 H와 거리 L 의 이상적인 관계식을 나타낸다:
Figure 112013010944286-pct00001
거리 L이 대략 높이 H/2와 같으면, 웨이퍼의 표면에 걸친 라디칼 및 뉴트럴들의 농도의 변화는 최소화될 수 있다. 다르게는, 거리 L과 높이 H의 관계를 증가 또는 감소시키는 것은 웨이퍼의 표면에 걸친 라디칼 및 뉴트럴들의 농도의 변화를 허용할 수 있다.
도 10은 본 발명의 일 실시형태에 따른, 플라즈마 소스 (200, 300, 310, 320, 330) 의 동작에서 실시되는 방법 동작들을 예시하는 플로우차트도이다. 여기에 예시된 동작들은, 일부 동작들이 서브 동작들을 가질 수도 있고 다른 경우들에서 여기에 기재된 어떤 동작들은 예시된 동작들에 포함되지 않을 수도 있다는 것이 이해되야 하는 바와 같이, 예시로서 주어진다. 이를 감안하면서, 방법 및 동작들 (1000) 을 이제 설명한다.
동작 (1005) 에서, 프로세스 가스 (110) 는 플라즈마 챔버 (210) 에 전달된다. 동작 (1010) 에서, 프로세스 가스 (110) 는 플라즈마 챔버 (210) 에서 제 1 압력으로 유지된다. 제 1 압력은 플라즈마 챔버의 유출부 포트들 (220) 의 세트에 연결되는 프로세스 챔버 (230) 의 압력의 2배 이상과 같거나 또는 2배 이상까지일 수 있다.
동작 (1015) 에서, 1차 전류 Ip가 플라즈마 챔버 (210) 의 외부 둘레에 둘러싸여진 1차 권선 (240) 에 인가된다. 동작 (1020) 에서, 1차 전류 Ip는 자계를 발생시킨다. 동작 (1025) 에서, 하나 이상의 페라이트들 (204) 은 플라즈마 챔버 (210) 의 대략 중심부에 자계를 집중시킨다. 페라이트들 (204) 은 플라즈마 챔버 (230) 주위에 형성된다.
동작 (1030) 에서, 자계는 플라즈마 챔버 (210) 에 있는 프로세스 가스 (110) 에서 2차 전류 Is를 유도한다. 동작 (1035) 에서, 2차 전류 Is는 플라즈마 챔버 (210) 에 있는 프로세스 가스 (110) 에서 플라즈마를 발생시킨다. 동작 (1040) 에서, 플라즈마 및 플라즈마에 의해 발생된 라디칼 및 뉴트럴들의 일부가 플라즈마 챔버 (210) 로부터 플라즈마 챔버 유출부 (220) 들을 통해 그리고 프로세스 챔버 (230) 속으로 보내진다.
동작 (1045) 에서, 라디칼 및 뉴트럴들은 기판 (102) 및 프로세싱 챔버 (230) 와 상호작용하여 플라즈마 부산물 (118) 및 재결합 산물 (120) 을 생성한다. 동작 (1050) 에서, 플라즈마 부산물 (118) 및 재결합 산물 (120) 은 하나 이상의 프로세스 유출부 포트들 (304A-304E) 을 통해 프로세싱 챔버로부터 인출된다. 하나 이상의 프로세스 유출부 포트들 (304A-304E) 은 프로세스 챔버 상부 (202) 의 표면에 걸쳐 또는 기판 지지체 (106) 의 에지들을 따라 또는 기판 지지체 아래, 이를테면 프로세스 챔버의 베이스에 또는 이들의 조합으로 분배되고 그 방법 동작들은 종료될 수 있다.
도 11은 본 발명의 실시형태에 따른, 플라즈마 소스들 (200, 300, 320) 을 포함하는 통합 시스템 (1100) 의 블록도이다. 통합 시스템 (1100) 은 플라즈마 소스들 (200, 300, 320), 및 플라즈마 소스들에 연결된 통합 시스템 제어기 (1110) 를 포함한다. 통합 시스템 제어기 (1110) 는 사용자 인터페이스 (1114) 를 포함하거나 또는 (유선 또는 무선 네트워크 (1112) 를 통하여) 사용자 인터페이스 (1114) 에 연결된다. 사용자 인터페이스 (14) 는 사용자 판독가능 출력들 및 표시들을 제공하고 사용자 입력들을 수신할 수 있고 통합 시스템 제어기 (1110) 에의 사용자 액세스를 제공한다.
통합 시스템 제어기 (1110) 는 전용 컴퓨터 또는 범용 컴퓨터를 포함할 수 있다. 통합 시스템 제어기 (1110) 는 컴퓨터 프로그램들 (1116) 을 실행하여 플라즈마 소스들 (200, 300, 320) 을 위한 데이터 (1118) (예를 들면, 성능 히스토리, 성능 또는 결함의 분석, 오퍼레이터 로그, 및 히스토리 등) 을 모니터링, 제어 및 수집 및 저장할 수 있다. 예로서, 통합 시스템 제어기 (1110) 는, 플라즈마 소스들 (200, 300, 320) 및/또는 거기의 컴포넌트들 (예를 들면, 동심 링 플라즈마 챔버들 (310A-E) 또는 페라이트들 (204, 204A-E) 중 하나 등) 의 동작들을, 수집된 데이터가 그의 동작의 조정을 지시하면, 조정할 수 있다.
위의 실시형태들을 감안하면서, 본 발명은 컴퓨터 시스템들에 저장된 데이터를 수반하는 다양한 컴퓨터 구현된 동작들을 채용할 수도 있다는 점이 이해되야 한다. 이들 동작들은 물리적인 양의 물리적인 조작을 필요로 하는 것들이다. 보통, 꼭 그렇지는 않더라도, 이들 양들은, 저장, 전송, 결합, 비교 및 그렇지 않으면 조작될 수 있는 전기 또는 자기 신호들의 형태를 취할 수도 있다. 또한, 수행되는 조작들은 종종, 생성, 식별, 결정 또는 비교와 같은 용어들로 지칭된다.
본 발명의 부분을 형성하는 여기에 기재된 동작들 중 임의의 것은 유용한 기계 동작들이다. 본 발명은 또한, 이들 동작들을 수행하기 위한 디바이스 또는 장치에 관한 것이다. 장치는 필요한 목적을 위해 특별히 구성될 수도 있거나, 또는 그것은 컴퓨터에 저장된 컴퓨터 프로그램에 의해 선택적으로 활성화되거나 또는 구성되는 범용 컴퓨터일 수도 있다. 특히, 다양한 범용 머신들이 본원의 교시에 따라 작성된 컴퓨터 프로그램들로 사용될 수도 있거나, 필요한 동작들을 수행하기 위하여 더 특수화된 장치를 구성하는 것이 더 편리할 수도 있다.
본 발명은 또한 컴퓨터 판독가능 매체 상에 컴퓨터 판독가능 코드 및/또는 로직으로서 수록 (embody) 될 수도 있다. 컴퓨터 판독가능 매체는, 나중에 컴퓨터 시스템에 의해 판독될 수 있는 데이터를 저장할 수 있는 임의의 데이터 저장 디바이스이다. 컴퓨터 판독가능 매체의 예들은 하드 드라이브, NAS (network attached storage), 로직 회로, 판독 전용 메모리, 랜덤 액세스 메모리, CD-ROM, CD-R, CD-RW, 자기 테이프, 및 다른 광학 및 비광학 데이터 저장 디바이스를 포함한다. 컴퓨터 판독가능 매체는 또한, 컴퓨터 판독가능 코드가 분배된 방식으로 저장되고 실행되도록 네트워크 연결된 컴퓨터 시스템 상에 분배될 수 있다.
또한, 위의 도면들에서의 동작들에 의해 표현된 명령들은 예시된 순서대로 수행될 필요는 없고 그 동작들에 의해 표현된 모든 프로세싱은 본 발명을 실시하기 위해 필요하지 않을 수도 있다는 점이 인식될 것이다. 또한, 위의 도면들 중 어느 것에 설명된 프로세스들은 또한, RAM, ROM 또는 하드 디스크 드라이브 중 어느 하나 또는 조합에 저장된 소프트웨어에서 구현될 수 있다.
이전의 본 발명은 이해를 명확하게 하기 위하여 어느정도 자세히 설명되었지만, 어떠한 변화 및 변경들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 분명할 것이다. 따라서, 본 발명의 실시형태들은 제한적인 것이 아니라 예시적인 것으로 고려되야 하고, 본 발명은 여기에 주어진 상세들에 한정되는 것이 아니라 첨부된 청구항의 범위 및 균등물 내에서 변경될 수도 있다.

Claims (22)

  1. 플라즈마 소스로서,
    복수의 링 플라즈마 챔버들;
    복수의 1차 권선들로서, 상기 복수의 1차 권선들의 각각 하나는 상기 복수의 링 플라즈마 챔버들의 외부 둘레를 둘러싸는, 상기 복수의 1차 권선들; 및
    복수의 페라이트들을 포함하고,
    상기 복수의 링 플라즈마 챔버의 각각 하나는 상기 복수의 페라이트들 각각을 통과하고, 상기 복수의 페라이트들은 제 1 복수의 페라이트들과 제 2 복수의 페라이트들을 포함하고, 상기 제 1 복수의 페라이트들의 에지들은 상기 제 2 복수의 페라이트들의 에지들과 오버랩되는, 플라즈마 소스.
  2. 제 1 항에 있어서,
    상기 복수의 링 플라즈마 챔버들의 각각에 연결되는 (coupled) 제어 시스템을 더 포함하는, 플라즈마 소스.
  3. 제 1 항에 있어서,
    상기 복수의 링 플라즈마 챔버들은 실질적으로 동심인, 플라즈마 소스.
  4. 제 1 항에 있어서,
    상기 복수의 링 플라즈마 챔버들의 각각 하나는 상기 복수의 링 플라즈마 챔버들의 적어도 다른 하나에 인접한, 플라즈마 소스.
  5. 제 1 항에 있어서,
    상기 복수의 플라즈마 챔버들의 각각 하나는 상기 복수의 플라즈마 챔버들의 각각 하나를 프로세스 챔버에 연결하는 대응하는 복수의 플라즈마 챔버 유출부들 (outlet) 을 포함하는, 플라즈마 소스.
  6. 제 1 항에 있어서,
    상기 복수의 플라즈마 챔버들은 프로세스 챔버 상부에 포함되는, 플라즈마 소스.
  7. 제 1 항에 있어서,
    프로세스 가스 소스를 상기 복수의 플라즈마 챔버들의 각각 하나에 연결하는 적어도 하나의 프로세스 가스 유입부 (inlet) 를 더 포함하는, 플라즈마 소스.
  8. 제 1 항에 있어서,
    상기 복수의 플라즈마 챔버들의 각각 하나는,
    프로세스 가스 소스 및 프로세스 가스 플리넘에 연결되는 적어도 하나의 프로세스 가스 유입부; 및
    상기 프로세스 가스 플리넘과 상기 복수의 플라즈마 챔버들의 각각의 하나 사이에 연결되는 복수의 유입부 포트들을 포함하는, 플라즈마 소스.
  9. 제 8 항에 있어서,
    상기 복수의 유입부 포트들은 상기 복수의 플라즈마 챔버들의 각각 하나의 둘레 주위에 분배된, 플라즈마 소스.
  10. 제 1 항에 있어서,
    상기 페라이트들은 상기 링 플라즈마 챔버의 둘레 주위에 실질적으로 균등하게 분배된, 플라즈마 소스.
  11. 제 1 항에 있어서,
    상기 페라이트들은 상기 복수의 링 플라즈마 챔버들의 각각의 둘레 주위에 복수의 그룹들을 이루는, 플라즈마 소스.
  12. 제 1 항에 있어서,
    상기 링 플라즈마 챔버는 실질적으로 둥근형, 실질적으로 삼각형, 실질적으로 직사각형 또는 실질적으로 다각형 형상으로 이루어지는 형상들의 그룹 중 하나인, 플라즈마 소스.
  13. 플라즈마 발생 (generating) 방법으로서,
    복수의 링 플라즈마 챔버들내에 프로세스 가스를 전달하는 단계;
    복수의 1차 권선들에 1차 전류를 인가하는 단계로서, 상기 복수의 1차 권선들의 각각 하나는 상기 복수의 링 플라즈마 챔버들의 각각 하나의 외부 둘레 주위에 감겨진, 상기 1차 전류를 인가하는 단계;
    상기 1차 권선들에 자계를 발생시키는 단계;
    복수의 페라이트들로 상기 자계를 집중시키는 단계로서, 상기 복수의 링 플라즈마 챔버의 각각 하나는 상기 복수의 페라이트들의 대응하는 부분을 통과하고, 상기 복수의 페라이트들은 제 1 복수의 페라이트들과 제 2 복수의 페라이트들을 포함하고, 상기 제 1 복수의 페라이트들의 에지들은 상기 제 2 복수의 페라이트들의 에지들과 오버랩되는, 상기 자계를 집중시키는 단계;
    상기 복수의 링 플라즈마 챔버들의 각각 하나에 있는 상기 프로세스 가스에 2차 전류를 유도하는 단계; 및
    상기 2차 전류로 상기 복수의 링 플라즈마 챔버에 있는 상기 프로세스 가스에서 플라즈마를 발생시키는 단계를 포함하는, 플라즈마 발생 방법.
  14. 제 13 항에 있어서,
    상기 복수의 링 플라즈마 챔버들의 각각 하나에서 복수의 유출부 포트들을 통해 뉴트럴 종 및 라디칼 종 중 적어도 하나를 프로세스 챔버에 전달하는 단계를 더 포함하고, 상기 복수의 유출부 포트들은 상기 복수의 링 플라즈마 챔버들을 상기 프로세스 챔버에 연결하는, 플라즈마 발생 방법.
  15. 제 13 항에 있어서,
    플라즈마 부산물 및 재결합 산물 중 적어도 하나를 프로세스 챔버로부터 프로세스 챔버 상부에 있는 복수의 유출부들을 통해 제거하는 단계를 더 포함하고, 상기 복수의 유출부들 중 적어도 하나는 상기 프로세스 챔버 상부에서 실질적으로 중심 위치에 위치된, 플라즈마 발생 방법.
  16. 제 13 항에 있어서,
    상기 복수의 링 플라즈마 챔버들내에 프로세스 가스를 전달하는 단계는 상기 프로세스 가스를 상기 복수의 링 플라즈마 챔버들의 각각 하나에의 적어도 하나의 프로세스 가스 유입부로 투입하는 단계를 포함하는, 플라즈마 발생 방법.
  17. 제 16 항에 있어서,
    상기 복수의 링 플라즈마 챔버들내에 프로세스 가스를 전달하는 단계는 상기 복수의 링 플라즈마 챔버들의 각각 하나의 플로우 레이트 (flow rate), 압력, 바이어스 및 유출부 포트 직경 중 적어도 하나를 조절하는 단계를 포함하는, 플라즈마 발생 방법.
  18. 제 13 항에 있어서,
    상기 페라이트들은 상기 복수의 링 플라즈마 챔버들의 각각 하나의 둘레 주위에 실질적으로 균등하게 분배된, 플라즈마 발생 방법.
  19. 제 13 항에 있어서,
    적어도 하나의 프로세스 모니터링 센서로부터 프로세스 피드백 신호를 수신하는 단계 및 상기 복수의 링 플라즈마 챔버들의 각각 하나의 플로우 레이트, 압력, 바이어스 및 유출부 포트 직경 중 적어도 하나의 세트 포인트를 조정하는 단계를 더 포함하는, 플라즈마 발생 방법.
  20. 플라즈마 프로세싱 시스템으로서,
    복수의 링 플라즈마 챔버들;
    복수의 1차 권선들로서, 상기 복수의 1차 권선들의 각각 하나는 상기 복수의 링 플라즈마 챔버들의 외부 둘레를 둘러싸는, 상기 복수의 1차 권선들;
    복수의 페라이트들, 상기 복수의 링 플라즈마 챔버의 각각 하나는 상기 복수의 페라이트들 각각을 통과하는, 상기 복수의 페라이트들; 및
    상기 복수의 링 플라즈마 챔버들의 각각에 연결되는 제어 시스템을 포함하고,
    상기 제어 시스템은,
    적어도 하나의 프로세스 모니터링 센서; 및
    상기 복수의 링 플라즈마 챔버들의 각각 하나내에 프로세스 가스를 전달하기 위한 로직;
    상기 복수의 링 플라즈마 챔버의 각각 하나의 대응하는 외부 주위의 각각의 1차 권선에 1차 전류를 인가하기 위한 로직;
    상기 1차 권선들의 각각에 자계를 발생시키기 위한 로직;
    상기 복수의 페라이트들로 상기 자계를 집중시키기 위한 로직으로서, 상기 페라이트들은 상기 복수의 링 플라즈마 챔버들의 각각의 둘레 주위에 복수의 그룹들을 이루고, 상기 복수의 페라이트들은 제 1 복수의 페라이트들과 제 2 복수의 페라이트들을 포함하고, 상기 제 1 복수의 페라이트들의 에지들은 상기 제 2 복수의 페라이트들의 에지들과 오버랩되는, 상기 자계를 집중시키기 위한 로직;
    상기 복수의 링 플라즈마 챔버들의 각각에 있는 상기 프로세스 가스에 2차 전류를 유도하기 위한 로직;
    상기 2차 전류로 상기 복수의 링 플라즈마 챔버들의 각각에 있는 상기 프로세스 가스에서 플라즈마를 발생시키기 위한 로직;
    상기 적어도 하나의 프로세스 모니터링 센서로부터 프로세스 피드백 신호를 수신하기 위한 로직; 및
    적어도 하나의 세트 포인트를 조정하기 위한 로직을 포함하는, 플라즈마 프로세싱 시스템.
  21. 기판을 프로세싱하기 위한 플라즈마 시스템으로서,
    프로세스 챔버로서,
    베이스; 기판을 프로세싱하기 위한 플라즈마 시스템으로서,
    프로세스 챔버로서,
    베이스;
    복수의 측벽들;
    상기 베이스에 인접한 기판 지지체; 및
    상기 프로세스 챔버를 감싸기 위해 상기 측벽들과 인터페이스된 챔버 상부를 갖는, 상기 프로세스 챔버;
    복수의 링 플라즈마 챔버들;
    상기 챔버 상부 위에 배치되는 복수의 페라이트들로서, 상기 복수의 페라이트들은 상기 기판 지지체의 영역들 위에 분배되고, 상기 영역들은 적어도 상기 기판 지지체의 외부 부분과 상기 기판 지지체의 중심 부분 사이에 연장되고 상기 복수의 페라이트들은 하나 이상의 페라이트들의 복수의 그룹들로 그룹화되는, 상기 복수의 페라이트들; 및
    복수의 1차 권선들을 포함하고, 상기 복수의 1차 권선들의 각각 하나는 상기 복수의 링 플라즈마 챔버들의 외부 둘레를 둘러싸고, 상기 복수의 링 플라즈마 챔버의 각각 하나는 상기 복수의 페라이트들 각각을 통과하고, 상기 복수의 페라이트들은 제 1 복수의 페라이트들과 제 2 복수의 페라이트들을 포함하고, 상기 제 1 복수의 페라이트들의 에지들은 상기 제 2 복수의 페라이트들의 에지들과 오버랩되는, 플라즈마 시스템.
  22. 제 21 항에 있어서,
    상기 복수의 1차 권선들을 따라 전류를 제공하기 위한 전력 공급부를 더 포함하고, 상기 복수의 페라이트들은 상기 기판 지지체의 영역들 상에 전류를 집중시키는, 플라즈마 시스템.
KR1020137003085A 2010-08-06 2011-06-30 분리된 플라즈마 소스 제어를 위한 시스템, 방법 및 장치 KR101868646B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/852,364 US8999104B2 (en) 2010-08-06 2010-08-06 Systems, methods and apparatus for separate plasma source control
US12/852,364 2010-08-06
PCT/US2011/001175 WO2012018366A1 (en) 2010-08-06 2011-06-30 Systems, methods and apparatus for separate plasma source control

Publications (2)

Publication Number Publication Date
KR20130137589A KR20130137589A (ko) 2013-12-17
KR101868646B1 true KR101868646B1 (ko) 2018-06-18

Family

ID=45555335

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137003085A KR101868646B1 (ko) 2010-08-06 2011-06-30 분리된 플라즈마 소스 제어를 위한 시스템, 방법 및 장치

Country Status (5)

Country Link
US (1) US8999104B2 (ko)
JP (1) JP6019023B2 (ko)
KR (1) KR101868646B1 (ko)
TW (1) TWI550708B (ko)
WO (1) WO2012018366A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9967965B2 (en) * 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9685297B2 (en) * 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US20140062285A1 (en) * 2012-08-29 2014-03-06 Mks Instruments, Inc. Method and Apparatus for a Large Area Inductive Plasma Source
KR102009513B1 (ko) 2013-03-14 2019-08-09 엠케이에스 인스트루먼츠, 인코포레이티드 토로이달 플라즈마 저감 장치 및 방법
US10553403B1 (en) 2019-05-08 2020-02-04 Mks Instruments, Inc. Polygonal toroidal plasma source

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001203201A (ja) * 1999-11-01 2001-07-27 Applied Materials Inc Hdp−cvdを適用したバリヤ層の堆積
JP2002507823A (ja) * 1998-03-14 2002-03-12 アプライド マテリアルズ インコーポレイテッド 分配型誘導結合プラズマソース
US20100140223A1 (en) * 2002-04-19 2010-06-10 Nordson Corporation Plasma Treatment System

Family Cites Families (134)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4276557A (en) 1978-12-29 1981-06-30 Bell Telephone Laboratories, Incorporated Integrated semiconductor circuit structure and method for making it
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
JPS61189642A (ja) 1985-02-18 1986-08-23 Mitsubishi Electric Corp プラズマ反応装置
KR960016218B1 (ko) 1987-06-05 1996-12-07 가부시기가이샤 히다찌세이사꾸쇼 표면처리방법 및 그 장치
RU2094961C1 (ru) 1989-07-20 1997-10-27 Уланов Игорь Максимович Трансформаторный плазмотрон
RU2022917C1 (ru) 1989-09-27 1994-11-15 Уланов Игорь Максимович Способ получения окиси азота
RU2056702C1 (ru) 1990-07-09 1996-03-20 Уланов Игорь Максимович Трансформаторный плазмотрон
US6444137B1 (en) 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US5183990A (en) 1991-04-12 1993-02-02 The Lincoln Electric Company Method and circuit for protecting plasma nozzle
US5302237A (en) 1992-02-13 1994-04-12 The United States Of America As Represented By The Secretary Of Commerce Localized plasma processing
US5505780A (en) 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
US5349271A (en) 1993-03-24 1994-09-20 Diablo Research Corporation Electrodeless discharge lamp with spiral induction coil
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
EP0805475B1 (en) 1996-05-02 2003-02-19 Tokyo Electron Limited Plasma processing apparatus
US5846883A (en) 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
AUPO281896A0 (en) 1996-10-04 1996-10-31 Unisearch Limited Reactive ion etching of silica structures for integrated optics applications
US6190236B1 (en) 1996-10-16 2001-02-20 Vlsi Technology, Inc. Method and system for vacuum removal of chemical mechanical polishing by-products
JP4043089B2 (ja) * 1997-02-24 2008-02-06 株式会社エフオーアイ プラズマ処理装置
US6924455B1 (en) 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
JPH11149998A (ja) * 1997-11-14 1999-06-02 Foi:Kk プラズマ処理装置
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US5998933A (en) 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6300643B1 (en) 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6392351B1 (en) 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
JP3384795B2 (ja) 1999-05-26 2003-03-10 忠弘 大見 プラズマプロセス装置
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP2003506888A (ja) * 1999-08-06 2003-02-18 アドバンスト・エナジー・インダストリーズ・インコーポレイテッド ガスおよび材料を処理する誘導結合環状プラズマ源装置およびその方法
US6318384B1 (en) 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
EP1252358A4 (en) 1999-11-19 2008-02-13 Nano Scale Surface Systems Inc SYSTEM AND METHOD FOR SEPARATING INORGANIC / ORGANIC DIELECTRIC FILMS
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6337460B2 (en) 2000-02-08 2002-01-08 Thermal Dynamics Corporation Plasma arc torch and method for cutting a workpiece
JP2003529926A (ja) 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US7234477B2 (en) 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
TW445540B (en) 2000-08-07 2001-07-11 Nano Architect Res Corp Bundle concentrating type multi-chamber plasma reacting system
US20020101167A1 (en) * 2000-12-22 2002-08-01 Applied Materials, Inc. Capacitively coupled reactive ion etch plasma reactor with overhead high density plasma source for chamber dry cleaning
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US6755150B2 (en) 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6855906B2 (en) * 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
US6761804B2 (en) 2002-02-11 2004-07-13 Applied Materials, Inc. Inverted magnetron
US6962644B2 (en) 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
FR2838020B1 (fr) 2002-03-28 2004-07-02 Centre Nat Rech Scient Dispositif de confinement de plasma
US20030188685A1 (en) 2002-04-08 2003-10-09 Applied Materials, Inc. Laser drilled surfaces for substrate processing chambers
US6936546B2 (en) 2002-04-26 2005-08-30 Accretech Usa, Inc. Apparatus for shaping thin films in the near-edge regions of in-process semiconductor substrates
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
JP2004014904A (ja) 2002-06-10 2004-01-15 Tokyo Ohka Kogyo Co Ltd 同時放電化装置
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6902774B2 (en) 2002-07-25 2005-06-07 Inficon Gmbh Method of manufacturing a device
US7256132B2 (en) 2002-07-31 2007-08-14 Applied Materials, Inc. Substrate centering apparatus and method
US20040027781A1 (en) 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US20030015965A1 (en) 2002-08-15 2003-01-23 Valery Godyak Inductively coupled plasma reactor
US6887317B2 (en) 2002-09-10 2005-05-03 Applied Materials, Inc. Reduced friction lift pin
US7411352B2 (en) 2002-09-19 2008-08-12 Applied Process Technologies, Inc. Dual plasma beam sources and method
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7513262B2 (en) 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US7240679B2 (en) 2002-09-30 2007-07-10 Lam Research Corporation System for substrate processing with meniscus, vacuum, IPA vapor, drying manifold
US6988327B2 (en) 2002-09-30 2006-01-24 Lam Research Corporation Methods and systems for processing a substrate using a dynamic liquid meniscus
US7069937B2 (en) 2002-09-30 2006-07-04 Lam Research Corporation Vertical proximity processor
KR100488348B1 (ko) * 2002-11-14 2005-05-10 최대규 플라즈마 프로세스 챔버 및 시스템
NL1022155C2 (nl) 2002-12-12 2004-06-22 Otb Group Bv Werkwijze, alsmede inrichting voor het behandelen van een oppervlak van ten minste één substraat.
US7163602B2 (en) 2003-03-07 2007-01-16 Ogle John S Apparatus for generating planar plasma using concentric coils and ferromagnetic cores
JP2004296729A (ja) 2003-03-26 2004-10-21 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
US7824520B2 (en) 2003-03-26 2010-11-02 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus
US8409400B2 (en) 2003-05-07 2013-04-02 Gen Co., Ltd. Inductive plasma chamber having multi discharge tube bridge
US8580076B2 (en) 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
JP4607517B2 (ja) 2003-09-03 2011-01-05 東京エレクトロン株式会社 プラズマ処理装置
US20050103620A1 (en) 2003-11-19 2005-05-19 Zond, Inc. Plasma source with segmented magnetron cathode
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US7464662B2 (en) 2004-01-28 2008-12-16 Tokyo Electron Limited Compact, distributed inductive element for large scale inductively-coupled plasma sources
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
JP2006114884A (ja) 2004-09-17 2006-04-27 Ebara Corp 基板洗浄処理装置及び基板処理ユニット
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060236931A1 (en) 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
ATE543199T1 (de) 2005-05-23 2012-02-15 New Power Plasma Co Ltd Plasmakammer mit entladung induzierender brücke
KR100689848B1 (ko) * 2005-07-22 2007-03-08 삼성전자주식회사 기판처리장치
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US7641762B2 (en) 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
CN101223624B (zh) 2005-09-09 2011-06-15 株式会社爱发科 离子源和等离子体处理装置
US7895970B2 (en) 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
US8092638B2 (en) 2005-10-11 2012-01-10 Applied Materials Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US7397232B2 (en) 2005-10-21 2008-07-08 The University Of Akron Coulter counter having a plurality of channels
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100785163B1 (ko) * 2006-02-03 2007-12-11 위순임 다중 원격 플라즈마 발생기를 구비하는 기판 처리 시스템
KR100785164B1 (ko) * 2006-02-04 2007-12-11 위순임 다중 출력 원격 플라즈마 발생기 및 이를 구비한 기판 처리시스템
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US8231799B2 (en) 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
JP5069427B2 (ja) 2006-06-13 2012-11-07 北陸成型工業株式会社 シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US7879184B2 (en) 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7837826B2 (en) 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
DE102006048816A1 (de) 2006-10-16 2008-04-17 Iplas Innovative Plasma Systems Gmbh Vorrichtung und Verfahren zur lokalen Erzeugung von Mikrowellenplasmen
US7780866B2 (en) 2006-11-15 2010-08-24 Applied Materials, Inc. Method of plasma confinement for enhancing magnetic control of plasma radial distribution
KR100978754B1 (ko) 2008-04-03 2010-08-30 주식회사 테스 플라즈마 처리 장치
WO2008089168A2 (en) 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
KR100868019B1 (ko) 2007-01-30 2008-11-10 삼성전자주식회사 플라즈마 쉬쓰 제어기를 갖는 이온 빔 장치
US20080179007A1 (en) 2007-01-30 2008-07-31 Collins Kenneth S Reactor for wafer backside polymer removal using plasma products in a lower process zone and purge gases in an upper process zone
US7897213B2 (en) 2007-02-08 2011-03-01 Lam Research Corporation Methods for contained chemical surface treatment
US7824519B2 (en) 2007-05-18 2010-11-02 Lam Research Corporation Variable volume plasma processing chamber and associated methods
WO2008154222A1 (en) 2007-06-06 2008-12-18 Mks Instruments, Inc. Particle reduction through gas and plasma source control
KR101418438B1 (ko) 2007-07-10 2014-07-14 삼성전자주식회사 플라즈마 발생장치
US20090025879A1 (en) 2007-07-26 2009-01-29 Shahid Rauf Plasma reactor with reduced electrical skew using a conductive baffle
US8343305B2 (en) 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
US8771483B2 (en) 2007-09-05 2014-07-08 Intermolecular, Inc. Combinatorial process system
US8039052B2 (en) 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US20090109595A1 (en) 2007-10-31 2009-04-30 Sokudo Co., Ltd. Method and system for performing electrostatic chuck clamping in track lithography tools
US8129288B2 (en) 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
KR101659095B1 (ko) 2008-02-08 2016-09-22 램 리써치 코포레이션 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
WO2009099661A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation A protective coating for a plasma processing chamber part and a method of use
US8409459B2 (en) 2008-02-28 2013-04-02 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
US7713757B2 (en) 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
US7558045B1 (en) 2008-03-20 2009-07-07 Novellus Systems, Inc. Electrostatic chuck assembly with capacitive sense feature, and related operating method
JP5294669B2 (ja) 2008-03-25 2013-09-18 東京エレクトロン株式会社 プラズマ処理装置
US20090275206A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
JP5524453B2 (ja) 2008-05-15 2014-06-18 Sumco Techxiv株式会社 シリコンウェーハのエッチング方法及びエッチング装置
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8206552B2 (en) 2008-06-25 2012-06-26 Applied Materials, Inc. RF power delivery system in a semiconductor apparatus
JP5144594B2 (ja) 2008-06-30 2013-02-13 ヤフー株式会社 サーバ装置、サーバ装置における予測方法及びプログラム
US20100024729A1 (en) 2008-08-04 2010-02-04 Xinmin Cao Methods and apparatuses for uniform plasma generation and uniform thin film deposition
KR20100031960A (ko) * 2008-09-17 2010-03-25 삼성전자주식회사 플라즈마 발생장치
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US8099995B2 (en) 2008-12-16 2012-01-24 Agilent Technologies, Inc. Choked flow isolator for noise reduction in analytical systems
US8503151B2 (en) 2009-09-30 2013-08-06 Lam Research Corporation Plasma arrestor insert
KR101723253B1 (ko) 2009-08-31 2017-04-04 램 리써치 코포레이션 국부 플라즈마 한정 및 압력 제어 장치 및 방법
JP5166595B2 (ja) 2011-12-16 2013-03-21 株式会社藤商事 遊技機

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002507823A (ja) * 1998-03-14 2002-03-12 アプライド マテリアルズ インコーポレイテッド 分配型誘導結合プラズマソース
JP2001203201A (ja) * 1999-11-01 2001-07-27 Applied Materials Inc Hdp−cvdを適用したバリヤ層の堆積
US20100140223A1 (en) * 2002-04-19 2010-06-10 Nordson Corporation Plasma Treatment System

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
일본 공표특허공보 특표2002-507823호(2002.03.12.) 1부. *

Also Published As

Publication number Publication date
US8999104B2 (en) 2015-04-07
JP6019023B2 (ja) 2016-11-02
US20120031876A1 (en) 2012-02-09
TWI550708B (zh) 2016-09-21
WO2012018366A1 (en) 2012-02-09
KR20130137589A (ko) 2013-12-17
TW201222660A (en) 2012-06-01
JP2013539587A (ja) 2013-10-24

Similar Documents

Publication Publication Date Title
KR101912474B1 (ko) 초크 유동 요소 추출을 위한 시스템, 방법 및 장치
KR101889568B1 (ko) 분배형 멀티존 플라즈마 소스 시스템, 방법 및 장치
KR101868646B1 (ko) 분리된 플라즈마 소스 제어를 위한 시스템, 방법 및 장치
US20180228015A1 (en) Distributed, Non-Concentric Multi-Zone Plasma Source Systems, Methods and Apparatus
TWI519215B (zh) 分散式多區電漿源系統、方法及設備
US10283325B2 (en) Distributed multi-zone plasma source systems, methods and apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant