JP2001203201A - Hdp−cvdを適用したバリヤ層の堆積 - Google Patents

Hdp−cvdを適用したバリヤ層の堆積

Info

Publication number
JP2001203201A
JP2001203201A JP2000334853A JP2000334853A JP2001203201A JP 2001203201 A JP2001203201 A JP 2001203201A JP 2000334853 A JP2000334853 A JP 2000334853A JP 2000334853 A JP2000334853 A JP 2000334853A JP 2001203201 A JP2001203201 A JP 2001203201A
Authority
JP
Japan
Prior art keywords
substrate
plasma
gas
barrier layer
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000334853A
Other languages
English (en)
Other versions
JP4659202B2 (ja
Inventor
Saad Hichem M
ムサード ヒッケム
Seon-Mee Cho
チョー セオン−メー
Dana Tribula
トリビューラ ダナ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001203201A publication Critical patent/JP2001203201A/ja
Application granted granted Critical
Publication of JP4659202B2 publication Critical patent/JP4659202B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/931Silicon carbide semiconductor

Abstract

(57)【要約】 【課題】 HDP−CVDを適用したバリヤ層の堆積す
ること。 【解決手段】 炭化水素含有ガスと珪素含有ガスを含む
ガス状混合物を用い基板上にバリヤ層の様な膜を堆積さ
せる方法。適切な各炭化水素含有ガスはメタン(C
4)のようなアルカン、エタン(C26)、ブタン
(C38)、プロパン(C410)等を含む。適切な各
珪素含有ガスはモノシラン(SiH4)のようなシラン
を含む。当方法は通常、基板処理チャンバへ適切なガス
状混合物を供給するステップと、ガス状混合物からプラ
ズマを発生するステップと、プラズマを用い基板上に膜
を堆積するステップとから成る。好ましい実施例にあっ
ては、膜は高密度プラズマ薬品蒸気堆積(HDP−CV
D)システムで堆積させる。ガス状混合物は一般的には
アルカンのような珪素含有ガスと、シランのような炭化
水素含有ガスを含む。本発明の方法の各実施例では約
4.0又はそれ以下の総合誘電率を有する各スタック構
造を集積できる。このような構造は4.5又はそれ以下
の誘電率を有するバリヤ層を含めることができる。

Description

【発明の詳細な説明】
【0001】
【発明の背景】本発明は集積回路の製造、特にデュアル
・ダマシーン(dual damas−cene)工程
に対するバリヤ層の形成をする方法に関するものであ
る。
【0002】従来の集積回路製造においては、あるパタ
ーンのギャップをアルミニウムのような金属の層にエッ
チングすることによって回路素子が形成される。次い
で、各ギャップには二酸化珪素のような誘電体が充填さ
れる。銅は従来のアルミニウム合金と比べ抵抗が小さい
ため総てのタイプの集積回路に適したチップ上主導体と
して十分肩代わりをする。残念ながら、銅をエッチング
することは難しく、それ故に銅を基材とした集積回路の
製造のためにダマシーン工程が発達してきた。ダマシー
ン工程では、幾つかの誘電体層を堆積させて集積スタッ
クを形成し、次いでエッチングして幾つかのギャップを
形成し、その後、ギャップには銅が充填される。
【0003】フルオロシリケート(フルオロ珪酸塩)ガ
ラス(FSG)としても知られたHDP−CVDフッ素
ドープ処理した酸化珪素はダマシーン構造に用いる金属
間(電子)誘電体として従来の二酸化珪素に取って代わ
るという問題解決上、魅力的なものである。アルミニウ
ム相互接続部における非ドープ処理珪酸塩ガラス(US
G)及びFSG誘電体に広く採用されてきた従来のHD
P−CVDシステムでFSGは堆積させることができ
る。FSGには信頼性、安定性及びスループットに関し
た工程計画上、優れたものでる。集積回路の電気的性能
はFSGの誘電率がより低い(従来の酸化珪素の場合の
約4.1〜〜4.3と比較して約3.3〜3.6)のた
め注目に値する程に改善できる。誘電率がより低けれ
ば、同一層内の金属配管間のキャパシタンスが減少し、
個々の層に亘る漏話が軽減される。
【0004】ダマシーン構造における銅の各層を分離す
る誘電体層は屡々金属間誘電体(IMD)層と称され
る。IMD層には一般的にバリヤ層が含まれ、FSGの
ような隣接する誘電体層の内部に銅が拡散するのを防止
する。ダマシーン工程に用いられる若干の集積スタック
にも膜の選択的なエッチングをするために腐食止め又は
ハードマスクとして公知の層が利用される。窒化珪素
(Sixy)はダマシーンアを用いる応用法で、例えば
金属配管を含む層間に連絡路を形成するときにバリヤ層
又は腐食止めとして一般に使用される。残念ながら、酸
化珪素の誘電率kが4.0〜4.2あるいはFSGの誘
電率kが3.3〜3.6であるの比べ、窒化珪素は誘電
率が比較的大きく約7.0〜7.5である。従って、窒
化珪素含有誘電体層は好ましからざる程に大きなフリン
ジ・キャパシタンスをもつようになる。
【0005】一般に、FSGの厚さに比べ窒化珪素層の
厚さが大きければ大きいほど、集積スタックの総合誘電
率は大きくなる。集積スタックの実効誘電率は集積スタ
ック内各層の厚さと誘電率で決まる。膜全体の誘電率は
バリヤ層の厚さを減じることによって、あるいは誘電率
の小さなバリヤ層材を使用するこによって低減できる。
現在の堆積工程では厚さが数百オングストローム又はそ
れ以上という薄さで適切なSixy膜を堆積できる。既
存の技術では通常、目標とする均一性と膜の総合的な品
質を維持しながら、更に薄い膜を堆積することはできな
い。BLOKTM(Barrier Low K)のよう
な珪素・炭素・水素をベースにした低k値バリヤ層が開
発されている。BLOKTMはカルフォルニヤ州、サンタ
クララに所在するApplied Material
s,Inc.の登録商標である。このような低k値バリ
ヤ層は一般的にはトリメチルシラン(TMS)を使用し
たプラズマ強化薬品蒸気堆積(PECVD)によって堆
積する。TMSを用いて堆積したBLOKは数多くの工
程に適した最終的な問題解決になるが、しかし、工程の
集積度を高めるにはこれに代わる応用法の適用が望まし
い。
【0006】従って、誘電体層を堆積させて容易に集積
できる低誘電率バリヤ層を含む集積スタックを堆積する
経費が安価な方法をもたらすことが必要となる。
【0007】
【発明の概要】従来技術に関わる不利な点は、珪素含有
ガスと炭化水素ガスを含むガス状混合物を使用し基板上
にバリヤ層のような膜を堆積する方法によって克服され
る。その方法は通常、チャンバにそのガス状混合物を供
給するステップと、ガス状混合物からプラズマを発生さ
せるステップと、そのプラズマを用い基板上に膜を堆積
するステップとから構成される。適切な各炭化水素ガス
には一般化学式Cx2x+ 2で表されるアルカンが含まれ
る。適切なアルカンにはメタン(CH4)、エタン(C2
6)、ブタン(C38)、プロパン(C410)等が含
まれる。適切な珪素含有ガスには一般化学式Siy
2y+2で表されるシランが含まれる。そのガス状混合物に
は、任意ではあるがガスの解離を促進するためアルゴン
(Ar)の流れを含めてもよい。好ましい実施例にあっ
ては、プラズマは高密度プラズマである。ガス状混合物
は1:1より高い炭素:珪素比を持つことが望ましく、
約3:1及び8:1の間であればさらに望ましい。その
結果得られる膜はC:Si比が55:45〜65:35
の範囲になる。本発明の方法の各実施例では総合誘電率
が約4.0又はそれ以下の集積スタックを堆積できる。
このようなスタックには誘電率が3.0又はそれ以下の
バリヤ層を含めてもよい。本発明により堆積した集積ス
タックとバリヤ層はデュアル・ダマシーン構造に用いる
金属間誘電体層に使用ができる。
【0008】本発明による方法は、基板処理システムの
運転を管理するためコンピュータが読み取るプログラム
を内部に包含させたコンピュータ読み取り記憶媒体内で
実施することができる。このようなシステムには処理チ
ャンバと、プラズマ発生システムと、基板ホルダと、処
理チャンバの内部にガスを導入する構造のガス分配シス
テムを含めてもよい。コンピュータ読み取りプログラム
には上述した方法の各実施例に従い処理チャンバ内に配
した基板上に膜を形成すべく基板処理システムを操作す
るための指令が含まれる。
【0009】本発明の利点と特徴はもとより、本発明の
これら実施例と他の実施例を以下の本文と付属図面に関
し一層詳細に解説する。
【0010】
【特定実施例の説明】I. イントロダクション 本発明は炭化水素ガスと珪素含有ガスを含むガス状混合
物を用いたプラズマCVD工程で低k値バリヤ層を堆積
する。ガス状混合物にはガスの解離を促すためアルゴン
(Ar)のような不活性ガスも含めてよい。各炭化水素
ガスには通常、類別化学式Cxm中に存在する炭素
(C)と水素(H)のみが含まれるが、ここでxとmは
整数である。このような各炭化水素化合物には一般化学
式Cx2x+2を有するアルカンが含まれる。代表的なア
ルカンにはメタン(CH4)、エタン(C26)、ブタ
ン(C38)、プロパン(C410)、ペンタン(C5
12)、ヘキサン(C614)等が含まれる。これらの化
合物は安価で、高い純度(例えば電子工学的等級又はC
MOS等級で)で容易に入手でき、所有権者が保持され
ておらず、周囲温度と周囲圧力において、通常はガスで
ある。あるいは、アルケン又はアセチレン(C22)の
ようなアルキンを含む他の炭化水素は、炭化水素含有ガ
スとして使用してもよい。本明細書に使用した炭化水素
という用語では各種アルカン、アルケン、アルキン及び
他の炭化水素の異性体総ても含まれる。更に、炭化水素
含有ガスは二つ又はそれ以上の異なるタイプの炭化水素
化合物を含む混合物でよい。
【0011】本発明の方法では、珪素含有ガスは通常、
一般化学式Siymをもつ珪素の水素化物であるが、こ
こでyとmは整数である。珪素の水素化物には一般化学
式Siy2y+2を有するシランが含まれる。このような
シランにはモノシラン(SiH4)、ジシラン(Si2
6)、トリシラン(Si38)、テトラシラン(Si4
10)が含まれる。これに代わる珪素含有ガスには四フッ
化珪素(SiF4)のようなハロゲン化物とシラザンが
含まれる。珪素含有ガスは二つつ又はそれ以上の異なる
タイプのシリコン水素化物又は他のシリコン化合物を含
む混合物でもよい。
【0012】プラズマ中にあって、炭化水素含有ガスと
珪素含有ガスは解離し、反応して基板の表面にSi−C
−Hの低k値バリヤ層を形成する。一つの特定実施例に
おいて、プラズマは各反応器ガスを容易に解離する高密
度プララズマ(HDP)である。HDP−CVD方式で
はFSGのような他の層のスタックを堆積できるため、
金属間誘電体(IMD)層を含む集積スタックを形成す
るときHDP−CVD工程はバリヤ層の堆積に望まし
い。低k値バリヤ層は一般的にスタック中のFSG層の
上部、又は下に位置するため、低k値バリヤ層とFSG
双方をHDP−CVD方式で堆積すると工程の集積度が
強化される。従って、バリヤ層の誘電率と他の特性はバ
リヤ層中にある炭素の相対含有量によって決まる。一般
に、膜中の炭素含有量が多ければ多いほど、k値は小さ
くなる。以下に説明するように、ガス状混合物中の珪素
に対する炭素の比を適切に調整することは堆積した膜の
炭素含有量を制御することになる。珪素に対する炭素の
原子比(C:Si比)は55:45と65:35の間で
あることが望ましい。
【0013】更に、比較的低い水素含有量、反応の結果
形成されるSi−C−H膜中にあっては50%未満であ
ることが望ましい。TMSを使用し堆積するBLOKの
ようなSi−C−H膜は一般的に約45%の原子水素を
含む。TMSは各炭素原子に対し3水素原子を含むた
め、これは驚くに当たらない。ある一定の応用法におい
ては、バリヤ層中の水素含有量が大きいと、好ましから
ざる漏れ電流の発生に至ることがある。一部の応用例に
おいては、ガス状混合物中の水素含有量を制御すること
が望ましい。本発明の方法では、例えば1炭素原子につ
いて水素原子の数がより少ない炭化水素を使用すること
によって水素の量を低減することができる。例えば、メ
タン(CH4)には1炭素原子について4水素原子があ
るが、エタン(C26)は1炭素原子について3水素原
子がある。あるいは、アセチレン(C22)には1水素
原子について炭素原子の数は僅かに1個である。珪素含
有ガスは同じように1珪素原子について水素原子の数が
より少なくなるよう選択してもよい。
【0014】II. 例示的な基板処理システム 本発明に従い誘電体層が堆積できる高密度プラズマ薬品
蒸気堆積(HDP−CVD)システム10の一実施例を
図1Aに示す。システム10にはチャンバ13と、真空
システム70と、ソース・プラズマ・システム80A
と、バイアス・プラズマ・システム80Bと、ガス分配
システム33と、遠隔プラズマ・クリーニング・システ
ム50が含まれる。
【0015】チャンバ13の上部には酸化アルミニウム
又は窒化アルミニウムのようなセラミック誘電体材料で
製作したドーム14が含まれる。ドーム14はプラズマ
処理領域16の上部境界を確立する。プラズマ処理領域
16はその底部で基板17の上面と基板支持部材18と
境界をなしている。
【0016】ヒータ・プレート23と低温プレート24
はドーム14の上部に装着され、ドームに熱的に結合さ
れている。ヒータ・プレート23と低温プレート24は
ドーム温度を約100〜200℃の範囲で約±10℃以
内に制御できるようにする。これによってドーム温度を
各種の工程に最適化できるようになる。例えば、ドーム
はクリーニング工程やエッチング工程においては堆積工
程においてよりも高温に維持することが望ましいことが
ある。ドーム温度を正確に制御するとチャンバ内部での
フレーク(薄片)と粒子の数も減じられ、堆積した層と
基板との接着性が改善される。
【0017】チャンバ13の下部にはチャンバを真空シ
ステムに接続する本体部材22が含まれる。基板支持部
材18の基部21は本体部材22上に装着され、本体部
材と連続した内面を形成する。各基板はチャンバ13の
側面にある挿入/取り出し開口部(図には示さず)から
ロボット・ブレード(図には示さず)によってチャンバ
13の内外に転送される。引き上げピン(図には示さ
ず)はモータ(図には示さず)の制御のもとで上昇し、
次いで下降し基板を上部装填位置57にあるロボット・
ブレードから基板支持部材18の基板受け部分19に基
板がセットされる下部処理位置56まで移動する。基板
受け部分19には基板処理時に基板を基板支持部材18
に固定する静電チャック20が含まれる。好ましい実施
例にあっては、基板支持部材18は酸化アルミニウム又
はアルミニウム・セラミック材で製作される。
【0018】真空システム70にはツインブレード型ス
ロットル・バルブ26を収容し、ゲート・バルブ27と
ターボ分子ポンプ28に取り付けられるスロットル・ボ
デー25が含まれる。1995年12月12日に提出さ
れ、本明細書に引用して援用される、本明細書とともに
保留となっており、本明細書とともに番号が割り当てら
れた米国特許出願書第08/574,839号に解説さ
れているように、スロットル・ボデー25はガス流に対
し最小の障害となって対称的ポンプ動作を可能にしてい
ることに注目すべきである。ゲート・バルブ27はポン
プ28をスロットル・ボデー25から隔離でき、スロッ
トル・バルブ26が全開になると排気流容量を抑制する
ことによってチャンバ圧力の制御もできる。スロットル
・バルブ、ゲート・バルブ及びターボ分子ポンプを配す
ることで約1ミリトルから約2トルの間でチャンバ圧力
を正確に、かつ安定して制御できるようになる。
【0019】ソース・プラズマ・システム80Aにはド
ーム14に取り付けた上部コイル29と側部コイル30
が含まれる。対称的接地シールド(図には示さず)はこ
れらコイル相互の電気的な結合を弱める。上部コイル2
9は上部ソースRF(SRF)発電機31Aによって電
力が供給されるが、一方、側部コイル30は側部SRF
発電機31Bから電力の供給を受け、この各コイルにそ
れぞれ独立した電力レベルとコイル動作周波数が許容さ
れる。この二重コイル・システムによってチャンバ13
内部の放射イオン濃度の制御ができるようになり、それ
によってプラズマの均一性が改善される。側部コイル3
0と上部コイル29は一般的には相補形電極を必要とせ
ずに、誘導されるように駆動される。特定の実施例にあ
っては、上部ソースRF発電機31Aは公称2MHzの
周波数で2,500ワットまでのRF電力を供給し、側
部ソースRF発電機31Bは1.8MHzと2.2MH
zの間の周波数、公称では2MHzで5,000ワット
までの電力を供給する。上部RF発電機と側部RF発電
機の運転周波数はプラズマ発生高率を改善するため公称
の運転周波数からずらしても差し支えない(例えばそれ
ぞれ1.7〜1.9MHzと1.9〜2.1MHz
へ)。
【0020】バイアス・プラズマ・システム80Bには
バイアスRF(BRF)発電機31Cとバイアス整合回
路網32Cが含まれる。バイアス・プラズマ・システム
80Bはそれぞれ相補形電極として機能する本体部材2
2に基板部分17を容量結合する。バイアス・プラズマ
・システム80Bはソース・プラズマ・システム80A
が形成する種類(イオン)のプラズマの基板表面への搬
送を強化する働きをする。BRF発電機31Cは約1〜
100の範囲に亘る周波数でRF電力を供給する。特定
の実施例にあっては、BRF発電機31Cは13.56
MHzで5,000ワットまでのRF電力を供給する。
【0021】RF発電機31A,31Bはディジタル制
御のシンセサイザを含み、約1.8〜2.2MHzの間
の周波数範囲に亘り動作する。当技術に通常の熟練度を
持つ者であれば理解されるように、各発電機にはチャン
バとコイルから発電機に戻ってくる反射電力を測定し、
動作周波数を調整して最小反射電流を得るRF制御回路
(図には示さず)が含まれる。各RF発電機は一般的に
は特性インピーダンスが50ohmの負荷条件で運転さ
れる設計である。RF電力は特性インピーダンスが発電
機のものとは異なる負荷から反射されることがある。こ
れで負荷に伝送される電力が低減される可能性がある。
その上、負荷から発電機に反射されて戻る電力は発電機
に過負荷をかけ、発電機を破損することがある。プラズ
マのインピーダンスは他の要因の中でもプラズマ・イオ
ン密度によって5ohm以下から900ohm以上の範
囲に亘ることがあり、かつ反射される電力は周波数の関
数になることがあるため、反射電力によって発電機周波
数を調整するとRF発電機からプラズマに伝送される電
力が増強され、発電機の保護となる。反射電力を減じ、
効率を改善する別の方法は整合回路網を伴うものであ
る。
【0022】整合回路網32A、32Bは発電機31
A、31Bの出力インピーダンスを発電機それぞれのコ
イル29、30に整合させる。RF制御回路は各整合回
路内のキャパシタ値を変化させることによって両整合回
路を同調させることができ、負荷が変化するにつれて発
電機を負荷に整合させる。RF制御回路は負荷から反射
して発電機に戻る電力がある限界を超過すると整合回路
網を同調させることができる。定常的な整合を行い、効
果的にRF制御回路が整合回路網を同調することが出来
ないようにする一つの方法は反射電力の限界をその期待
値以上に設定することである。これは整合回路網をその
最も最近の状態に保持することによって一部の条件下で
プラズマを安定させる上での一助になる。
【0023】他の方策でもプラズマの安定に寄与するこ
とがある。例えば、RF制御回路は負荷(プラズマ)に
伝送する電力の決定に利用することができ、層の堆積間
に伝送する電力を実質的に一定に維持するため、発電機
が出力する電力を増強又は減少させることができる。
【0024】ガス分配システム33は、ガス分配ライン
38(その内の幾つかのみが示される)を介し基板の処
理のために、幾つかの供給源である34A〜34Fのチ
ャンバからガスを供給する。当技術に熟練した者であれ
ば理解されるであろうが、ソース34A〜34F対し用
いる実際のソースとチャンバ13へのガス分配ライン3
8の接続はチャンバ13の内部で行われる堆積工程とク
リーニング工程によって変化する。ガスはガス・リング
37と、又は上部ノズル45からチャンバ13の内部に
導入される。図1Bに記載するのはチャンバ13の単純
化した部分断面図であって、ガス・リング37の更なる
詳細を示す。
【0025】一実施例にあっては、第一、第二ガスソー
ス34A,34Bと、第一、第二ガス流量制御装置35
A′、35B′はガス分配ライン38(その内の幾つか
のみが示される)からガス・リング37内部のリングプ
レナム36にガスを供給する。ガス・リング37には基
板上に均一なガス流を供給する複数の第一ソース・ガス
・ノズル39(説明の便宜上、その内の一つのみを示
す)がある。ノズル長さとノズル角度は個々のチャンバ
内部での特定な工程についてガス流の均一性分布とガス
利用効率を調整できるように変更できる。好ましい実施
例にあっては、ガス・リング37には酸化アルミニウム
・セラミックで製作した12本のソース・ガス・ノズル
がある。
【0026】ガス・リング37には好ましい実施例にあ
っては第一ソール・ガス・ノズル3と同一線上に位置
し、第一ソース・ガス・ノズルより短く、一つの実施例
では本体充気空間41からガスを受ける複数の第二ソー
ス・ガス・ノズル40(その内の一つのみが示される)
がある。若干の実施例では、チャンバ13の内部にガス
を噴射する前に異なるタイプのソース・ガスを混合しな
いことが望ましい。他の各実施例にあっては、本体充気
空間41とガス・リング充気空間36との間に幾つかの
開口部(図には示さず)を設けることによってガスをチ
ャンバ13内部へ噴射する前にそれぞれのソース・ガス
を混合してもよい。一実施例にあっては、第三、第四ガ
ス・ソース34C、34Dと、第三、第四ガス流量制御
装置35C、35D′はガス分配ライン38から本体充
気空間にガスを供給する。窒素ソース34Fは窒素プラ
ズマを利用する工程について、窒素ガス(N2)をチャ
ンバへのガス・リングの第二ソース・ガス・ノズル40
に供給する。あるいは、窒素ガスはガス流量制御装置3
5F′によって上部ノズル45のような他の又は付加的
な各入り口からチャンバに供給される可能性があろう。
43B(図には記載しない他のバルブ)のような付加的
なバルブは流量制御装置からチャンバへのガスを遮断す
ることができる。
【0027】可燃性、毒性または腐食性ガスが使用され
る実施例にあっては、堆積の終了後にガス供給配管内に
残留するガスを排除することが望ましい。このガス排除
はチャンバ13を分配ライン38Aから隔離し、分配ラ
イン38Aを例えば真空前部配管44に通気するバルブ
43Bのような三方向バルブを用いて実行できる。図1
Aに記載するように、43A、43Cのような他の類似
したバルブを他のガス供給配管に組み入れてもよい。こ
のような三方向バルブはできる限りチャンバ13の近傍
に配し通気されぬガス供給配管(三方向バルブとチャン
バとの間)の体積を最小化することができる。更に、二
方向(オン/オフ)バルブ(図には示さず)は大流量制
御装置(“MFC”)とチャンバとの間、あるいはガス
・ソースとMFC相互間に配してもよい。
【0028】再度図1Aを参照するが、チャンバ13に
は上部ノズル45と上部通気孔46がある。上部ノズル
45と上部通気孔46はガスの上部流量と側部流量のそ
れぞれ独立した制御をできるようにしており、それは膜
の均一性を改善し、かつ膜堆積とドーピングの各パラメ
ータの微調整を可能にする。上部通気孔46は上部ノズ
ル45の周辺にある環状開口部である。一実施例にあっ
ては、第一ガス・ソース34Aはソース・ガスをノズル
39と上部ノズル45に供給する。ソース・ノズルMF
C35A′はソース・ガス・ノズル39に供給されるガ
スの量を制御し、上部ノズルMFC35Aは上部ガス・
ノズル45に供給されるガスの量を制御する。同様に、
二つのMFC35B、35B′を使用してソース34B
のような一つのソースから上部通気孔46と第二ソース
・ガス・ノズル40双方へのガスの流量を制御してもよ
い。上部ノズル45と上部通気孔46へ供給されるガス
はこれらをチャンバ13の内部へ流す前に分離しておい
てもよく、あるいはこれらのガスはチャンバ13へ流す
前に上部充気空間48の内部で混合してもよい。同一ガ
スの個別ソースを用いてチャンバの様々な部分へガスを
供給してもよい。
【0029】堆積残留物をチャンバ構成部品から定期的
にクリーニング除去するため遠隔マイクロ波発生プラズ
マ・クリーニング・システム50が設備される。このク
リーニング・システムには、クリーニング・ガス・ソー
ス34E(例えば分子フッ素、三フッ化窒素、他のフル
オロカーボン又は同等の物)からプラズマを反応器空洞
部53の中に形成する遠隔マイクロ波発生装置51が含
まれる。このプラズマを形成することの結果として反応
器空洞部の中で反応して得られる種類のプラズマは、ア
プリケータ・チューブ55を介しクリーニング・ガス供
給ポート54からチャンバ13へ搬送される。クリーニ
ング用プラズマの収容に用いる用具(例えば反応器空洞
部53とアプリケータ・チューブ55)はプラズマの激
しい作用に耐性を示さねばならない。反応器空洞部53
と供給ポート54相互間の距離はできる限り短く維持す
べきであるが、それは望ましい種類のプラズマの濃度は
反応器空洞部53からの距離と共に低下するためであ
る。遠隔空洞部内でクリーニング用プラズマを発生させ
ると効率的なマイクロ波発生装置の使用ができるように
なり、チャンバ構成部品は固定された位置で形成される
プラズマ中に存在することがあるグロー放電の温度、輻
射線又は衝撃を受けることがなくなる。その結果、静電
チャックのような比較的過敏な構成部品は、位置固定プ
ラズマ・クリーニング工程では必要とされるようにダミ
ー・ウエーハで被覆したり、別のやり方で保護する必要
はない。
【0030】システム・コントローラ60はシステム1
0の運転を制御する。好ましい実施例にあっては、コン
トローラ60にはハード・ディスク・ドライブのような
記憶装置62と、フロッピイ・ディスク・ドライブ(図
には示さず)と、プロセッサ61に結合したカード・ラ
ック(図には示さず)が含まれる。カード・ラックには
単一ボード・コンピュータ(SBC)(図には示さず)
と、アナログ−ディジタル入/出力ボード(図には示さ
ず)と、インタフェース・ボード(図には示さず)と、
ステッパ・モータ・コントローラ・ボード(図には示さ
ず)を収容することができる。システム・コントローラ
は、ボード、カード収容ケース及びコンネクタ寸法とタ
イプを定義するベルサ・モジュラ・ユーロピアン(Ve
rsaModular European:VME)規
格に適合する。VME規格は16ビット・データ・バス
と24ビット・アドレス・バスを有するバス構造をも定
義する。システム・コントローラ31はハード・ディス
ク・ドライブに記憶したコンピュータ・プログラムによ
る制御に基づき、あるいは取り出し自在ディスクに記憶
したプログラムのような他のコンピュータ・プログラム
を介して動作する。コンピュータ・プログラムは、例え
ば特定工程のタイミング、ガスの混合物、RF電力レベ
ル及び他のパラメータを指令する。ユーザとシステム・
コントローラ相互間のインタフェースは図1Cに記載す
る陰極線管(CRT)65とライト・ペン66のような
モニタを介している。
【0031】図1Cは図1Aの例示的なCVD処理チャ
ンバと共に用いられる例示的なシステム−ユーザ・イン
タフェースの一部を示す。システム・コントローラ60
にはコンピュータ読み取り記憶装置62に結合したプロ
セッサ61が含まれる。好ましくは、記憶装置62はハ
ード・ディスク・ドライブにしてもよいが、記憶装置6
2はROM、PROM等の別種の記憶装置にしてもよ
い。
【0032】システム・コントローラ60は記憶装置6
2の内部にコンピュータ読み取りフォーマットで記憶し
たコンピュータ・プログラム63の制御のもとで動作す
る。コンピュータ・プログラムは特定工程のタイミン
グ、温度、ガス流量、RF電力レベル及び他のパラメー
タを指令する。ユーザとシステム・コントローラ相互間
のインタフェースは図1Cに記載するようにCRTモニ
タ65とライト・ペン66を介している。好ましい実施
例にあっては、二基のモニタ65、65Aと二本のライ
ト・ペン66、66Aが使用され、一方はオペレータの
ためにクリーン・ルーム壁(65)に設備し、他はサー
ビス技術者用で壁の裏側(65A)に取り付けられる。
両モニタは同一情報を同時に表示するが、一方のペン
(例えば66)のみが機能できる。特定の画面ディスプ
レイ又は特定機能を指定するには、オペレータは表示ス
クリーンの一つの領域に触れ、ペンのボタン(図には示
さず)を押す。オペレータが触れた領域は、例えば表示
カラーが変化し、あるいは他らしいメニューが表示され
ることでライト・ペンで選択されていることを確かにし
ます。
【0033】コンピュータ・プログラム・コードは68
000アッセンブリ言語、C、C++、フォートラン、
パスカル又は他の言語のような従来のコンピュータ読み
取りプログラミング言語で書くことができる。適切なプ
ログラム・コードは従来のテキストエディタを使用して
単一又は多数のファイルに書き込んで記憶するか、コン
ピュータのメモリ・システムのようなコンピュータで使
用可能な媒体中に具体化される。書き込んだコード・テ
キストが高レベル言語で作成されていれば、コードをコ
ンパイルし、次いで、その結果得られるコンパイラ・コ
ードは予備コンパイルしたウインドーズ・ライブラリ・
ルーチンの目的コードに結合する。結合させたコンパイ
ル済み目的コードを実行するにはシステム・ユーザは目
的コードを呼び出しコンピュータ・システムにそのコー
ドを記憶装置にローディングさせる。 CPUは記憶装
置からコードを読み取り、プログラム中に識別される個
々のタスクを行うためにそのコードを実行する。
【0034】図1Dにコンピュータ・プログラム90の
階層制御構造を解説する構成図を記載する。ユーザはラ
イト・ペン・インタフェースを使用しCRTモニタ上に
表示されたメニュー又は画面ディスプレイに応じて工程
セレクタ・サブルーチン73に工程設定番号と処理チャ
ンバ番号を入力する。工程設定は各指定したの工程の実
施に必要な工程パラメータの予め決めた設定であり、予
め定義した設定番号によって識別される。工程セレクタ
・サブルーチン73は(i)マルチチャンバ・システム
における目標とする処理チャンバを識別し、(ii)目
標とする工程の実行に備え処理チャンバの運転に必要な
工程パラメータの目標とする設定を識別する。特定の工
程を実行するための工程パラメータは工程ガスの組成と
ガスの流量のような条件、基板温度、圧力、RF電力レ
ベルのようなプラズマ条件及びチャンバ・ドーム温度に
関係し、処方の形式でユーザに与えられる。レシピによ
って指定される各パラメータはライト・ペン/CRTモ
ニタ・インタフェースを使用して入力する。
【0035】工程をモニタする各信号はシステム・コン
トローラ60のアナログ−ディジタル入力ボードから入
力され、工程を制御する各信号はシステム・コントロー
ラ60のアナログ−ディジタル・出力ボード上に出力さ
れる。
【0036】工程シーケンサ・サブルーチン75は工程
セレクタ・サブルーチン73からの識別された工程番号
と工程パラメータの設定を受け入れ、各種処理チャンバ
の運転を制御するプログラム・コードから構成される。
多数のユーザが工程設定番号と処理チャンバ番号を入力
することができ、あるいは一人のユーザが多数の工程設
定番号と処理チャンバ番号を入力することができ、シー
ケンサ・サブルーチン75は指定された個々の工程を目
標とするシーケンスでスケジューリングする。好ましく
は、シーケンサ・サブルーチン75には(i)各チャン
バが使用されているかを決定するためそれぞれの処理チ
ャンバの運転をモニタするステップと、(ii)使用さ
れている各チャンバ内でいかなる工程が実行されている
かを決定するステップと、(iii)処理チャンバの使
用状況と、実施しようとする工程のタイプに準拠して目
標とする工程を実行するステップを行うプログラム・コ
ードが含まれる。各処理チャンバをモニタするポーリン
グのような従来の方法を採用することができる。いずれ
の工程を実行すべきかをスケジューリングするとき、シ
ーケンサ・サブルーチン75はユーザが入力した各特定
のリクエストの経過時間(“age”)又は指定した工
程に対する目標とする工程条件と対比した使用中の処理
チャンバの現在における状態、あるいはシステム・プロ
グラマがスケジューリングの優先順位を決定するため含
めることを望む他の関連する要因を考慮に入れる設計に
できる。
【0037】いずれの処理チャンバ/工程設定番号の組
合せが次ぎに実行されようとしているかをシーケンサ・
サブルーチン75が決定した後、シーケンサ・サブルー
チン75が伝送した工程設定に従いチャンバ13と、恐
らくは他の各チャンバ(図には示さず)における多数の
処理タスクを制御するチャンバ・マネージャ・サブルー
チン77a−cに特定の工程設定パラメータを送ること
によってシーケンサ・サブルーチン75は工程設定の実
行をスタートさせる。
【0038】各チャンバ構成部品サブルーチンの例には
基板位置決めサブルーチン80、工程ガス制御サブルー
チン83、圧力制御サブルーチン85及びプラズマ制御
サブルーチン87がある。当技術に通常の熟練度をもつ
者であればチャンバ13の内部でいかなる工程が実行さ
れべく指定されるかによって他のチャンバ制御サブルー
チンを含め得ることは認識されよう。運転時、チャンバ
・マネージャ・サブルーチン77aは実行中の特定の工
程設定に従い各処理チャンバ構成部品サブルーチンを選
択的にスケジューリングするか、呼び出す。チャンバ・
マネージャ・サブルーチン77aはシーケンサ・サブル
ーチン75が処理チャンバと、実行させる工程設定をス
ケジューリングするのと同じ方法で処理チャンバ構成部
品サブルーチンをスケジューリングする。一般的に、チ
ャンバ・マネージャ・サブルーチン77aには各種のチ
ャンバ構成部品をモニタするステップと、実行しようと
する工程設定に対する工程パラメータに準拠し、いずれ
の構成部品を動作せる必要があるかを決定するステップ
と、そのモニタするステップと決定するステップに応じ
処理チャンバ構成部品サブルーチンを実行させるステッ
プが含まれる。
【0039】特定チャンバ構成部品サブルーチンのオペ
レーションを図1Aと図1Dに関しこれから解説する。
基板位置決めサブルーチン140は基板を基板支持部材
18上へ装填するために使用する各チャンバ構成部品を
制御するプログラム・コードから構成される。基板位置
決めサブルーチン140は他の処理を完了させた後、マ
ルチチャンバ・システムにおける例えばPECVD反応
器又は他の反応器から基板をチャンバ13内部に転送す
る制御もできる。
【0040】工程ガス制御サブルーチン83は工程ガス
の組成とガスの流量を制御するプログラム・コードを有
する。サブルーチン83は安全遮断バルブの開/閉位置
を制御し、目標とするガス流量を得るため大流量制御装
置の流量増大/減少傾斜設定操作もできる。工程ガス制
御サブルーチン83を含む総てのチャンバ構成部品サブ
ルーチンはチャンバ・マネージャ・サブルーチン77a
により呼び出される。サブルーチン83はチャンバ・マ
ネージャ・サブルーチン77aから目標とするガス流量
に関する工程パラメータを受ける。
【0041】一般的に、工程ガス制御サブルーチン83
はガス供給配管を開放し、繰り返して(i)必要な各大
流量制御装置の指示値を読み取り、(ii)読み取り値
をチャンバ・マネージャ・サブルーチン77aからの目
標とする流量値と比較し、(iii)ガス供給配管の流
量を必要に応じ調整する。更に、工程ガス制御サブルー
チン83には安全ではない比率に関しガス流量をモニタ
し、かつ安全でない状態が検出されたとき安全遮断バル
ブを作動させるステップを含めてもよい。
【0042】若干の工程では、反応工程ガスを導入する
前にチャンバ13内部の圧力を安定させるためアルゴン
のような不活性ガスをチャンバに流入させる。これら工
程に備え、工程ガス制御サブルーチン83はチャンバ1
3内部の圧力の安定化に要する時間に亘り不活性ガスを
チャンバの内部に流すステップを含めるようプログラミ
ングする。次いで、上に述べた各ステップが実行でき
る。
【0043】更に、工程ガスを液体先駆物質、例えばテ
トラエチルオルソシラン(TEOS)から気化させよう
とするとき、工程ガス制御サブルーチン83には発泡ア
ッセンブリ内部の液体先駆物質中にヘリウムのような搬
送ガスを発泡させるステップ又はヘリウムを液体噴射バ
ルブに導くステップを含めることができる。この種の工
程では、工程ガス制御サブルーチン83は目標とする工
程ガス流量を得るため、搬送ガスの流量、発泡装置内の
圧力及び発泡装置温度を調整する。上述したように、目
標とする工程ガス流量値が工程パラメータとして工程ガ
ス制御サブルーチン83に転送される。
【0044】更に、工程ガス制御サブルーチン83には
記憶装置に内蔵し、所定の工程ガス流量の必要値を記載
する表にアクセスすることによって目標とする工程ガス
流量に対する必要な搬送ガスの流量、発泡装置圧力及び
発泡装置温度を求めるステップが含まれる。一度、必要
値が得られると、搬送ガスの流量、発泡装置圧力及び発
泡装置温度がモニタされ、必要値と比較され、その結果
に応じ調整される。
【0045】工程ガス制御サブルーチン83は独自のヘ
リウム制御(IHC)サブルーチン(図には示さず)と
共にウエーハ・チャック内部の内側と外側の通路を通過
するヘリウム(He)のような熱伝達ガスの流量を制御
することもできる。ガスの流れは基板をチャックに熱結
合させる。代表的な工程にあって、ウエーハはプラズマ
と、層を形成する化学反応によって加熱され、水冷にす
ることができるチャックを介しヘリウム(He)が基板
を冷却する。この冷却で基板上に先在する機能的構造を
損傷することが考えられる温度以下に基板を維持する。
【0046】圧力制御サブルーチン85にはチャンバ1
3の排気部分にあるスロットル・バルブ26の開口部の
サイズを調整することによってチャンバ内部の圧力を制
御するプログラム・コードが含まれる。スロットル・バ
ルブによってチャンバ圧力を制御する少なくとも二つの
基本的な方法がある。第一の方法はチャンバ圧力がとり
わけ工程ガス総流量、処理チャンバのサイズ及びポンプ
運転容量に関係するように、チャンバ圧力を特徴づける
ことに準拠する。第一の方法にあってスロットル・バル
ブ26は固定位置に設定される。スロットル・バルブ2
6を固定位置に設定すると、結局は定常状態の圧力形成
に至ることが可能になる。
【0047】あるいは、チャンバ圧力は例えばマノメー
タで測定してもよく、スロットル・バルブ26の位置は
制御点がガス流量と排気容量によって設定されるそれぞ
れの境界の範囲内にあると仮定し、圧力制御サブルーチ
ン85に従って制御できる。後者の方法に関連する測定
値、比較結果及び計算値は呼び出されぬため、前者の方
法では結果的にチャンバ圧力の変更が素早く行われる。
前者の方法はチャンバ圧力の正確な制御が必要とされぬ
場合に望ましいであろうが、これに対し後者の方法は層
の堆積が行われる間のように正確で、反復ができ、安定
した圧力を目標とする場合に望ましいと言えよう。
【0048】圧力制御サブルーチン85が呼び出される
と、チャンバ・マネージメント・サブルーチン77aか
らパラメータとして必要とされるある目標とする圧力レ
ベル値が受けられる。圧力制御サブルーチン85はチャ
ンバ13に接続した一つ又それ以上の従来型圧力マノメ
ータを読み取ることでチャンバ内の圧力を測定し、測定
値と標的設定した圧力を比較し、記憶装置に内蔵した表
から標的設定した圧力に対応する比例(P)値、積分
(I)値及び微分(P)値を求め、圧力表から得たPI
D値に従いスロットル・バルブ26を調整する。あるい
は、圧力制御サブルーチン85はチャンバ13内部の圧
力を目標とする圧力又は圧力範囲に調整するためスロッ
トル・バルブ26を特定の開放サイズに開放したり、閉
じることができる。
【0049】プラズマ制御サブルーチン87はRF発電
機31A、31Bの周波数設定値と電力出力設定値を制
御し、整合回路網32A、32Bを同調させるプログラ
ム・コードから構成される。プラズマ制御サブルーチン
87は上に説明したチャンバ構成部品サブルーチンと同
様、チャンバ・マネージャ・サブルーチン77aによっ
て呼び出される。
【0050】上述したサブシステムとルーチンの幾つか
又は全数を組み入れることができるシステムの例にはカ
リフォルニア州、サンタ クララに所在するAppli
edMaterials、 Inc.が製作し、本発明
を実施する構成であるULTIMATMが挙げられよう。
このようなシステムの更なる詳細は1996年7月15
日に提出され、“対称回転自在型、誘導結合HDP−C
VD反応器”なる表題を有し、Fred C. Red
eker、Farhad Moghadam、Hiro
gi Hanawa、Tetsuya Ishikaw
a、DanMaydan、Shijian Li、Br
ian Lue、 RobertSteger、Yax
in Wang及びAshok Sinhaを共同発明
者とし、開示内容が本明細書に引用して援用される米国
特許出願書第08/679,927号に開示されてい
る。本明細書に解説したシステムは例示することを唯一
目的としたものである。本発明の実施に適切な従来型の
基板処理システム/コンピュータ制御システムが選択さ
れることは当技術に熟練した者にとっては定石的な問題
であろう。
【0051】III. 例示的な構造 図2は集積回路200の簡略化した断面を示すもので、
本発明の利用の仕方に応じて任意に作成できる。図に記
載するように、集積回路200には珪素の局部酸化(L
OCOS)又は他の技法に従い形成される電界酸化領域
220によって相互を分離し、電気的に隔離したNMO
Sトランジスタ203とPMOSトランジスタ206が
含まれる。あるいは、トランジスタ203、206はこ
れらが共にNMOSトランジスタ又はPMOSトランジ
スタであればグルーブトレンチ隔離方式(図には示さ
ず)によって相互を分離し、電気的に隔離することがで
きる。各トランジスタ203、206はそれぞれソース
領域212と、ドレン領域215と、ゲート領域218
から構成される。
【0052】先駆金属プレメタル誘電体(PMD)層2
21は金属層240とトランジスタ203、206相互
間に接点224によって接続部を形成しておいて、金属
層240からこれらのトランジスタを分離する。金属層
240は集積回路200に含まれる四つの金属層24
0、242、244、246の一つである。各金属層2
40、242、244、246はそれぞれ金属間誘電体
(IMD)層227、228又は229によって隣接す
る金属層から分離される。隣接する金属層は連絡路(v
ia)226によって指定した開口部で接続される。金
属層246上には平面化処理したパッシベーション層2
30が堆積されている。
【0053】簡略化した集積回路200は解説すること
を唯一目的としたものであることを理解すべきである。
当技術に通常の熟練度を持つ者であればマイクロプロセ
ッサ、応用法を特定した集積回路(ASIC)、記憶装
置等のような他の集積回路の製造との関連で本発明の利
用を実施できよう。更に、本発明はPMOS、NMO
S、CMOS、バイポーラ又はBiCMOSデバイスに
応用することができる。
【0054】IV. 例示的バリヤ層の堆積 本発明はバリヤ層として特に有用である低誘電率層の堆
積に備えるものである。本発明の方法は図3(a)、図
3(b)、図4(a)及び図4(b)を参照して最もよ
く理解される。図3(a)、図3(b)には本発明によ
る方法の第一、第二実施例のフローチャートが記載され
ている。図4(a)、図4(b)は図3(a)、図3
(b)において実施した方法に従い堆積した集積スタッ
クの代替的実施例を示す。
【0055】当方法の第一実施例は図3(a)、図4
(a)を同時に参照することで最もよく理解される。図
3(a)は低k値バリヤ層404を備えた膜400を堆
積させる方法300のフローチャートを示す。方法30
0はステップ302からスタートする。ステップ304
では、炭化水素含有ガスと珪素含有ガスを含むガス状混
合物を含む流れがチャンバ13のような処理チャンバに
導入される。一般的に、炭化水素はアルカンであり、珪
素含有ガスはシランである。ガス状混合物には任意では
あるが不活性ガスを含めてもよい。チャンバ圧力は定形
的には5〜10ミリトルの間に維持される。チャンバ壁
温度は一般的には120〜160℃の間に維持される。
【0056】誘電率kをもつようなバリヤ層404の目
標とする特性は層内における珪素原子に対する炭素原子
の比によって決まる。上述したように、珪素に対する炭
素の原子比(C:Si比)が約55:45と約65:3
5の間であるバリヤ層404を堆積させることが好まし
い。バリヤ層内のC:Si比が小さすぎると、誘電率は
許容されぬ程大きくなり、例えばC:Si比が約50:
50では誘電率は約7.0になる。その上、バリヤ層内
のC:Si比が減少するにつれて、その層の漏れ電流は
増大する傾向がある。今度は、バリヤ層内のC:Si原
子比はガス状混合物のC:Si原子比によって決まる。
通常、ガス状混合物のC:Si比は1:1を超えるが、
約3:1と約8:1の間が望ましい。一般に、ガス状混
合物のC:Si比が所定の値であれば、基板温度が高け
れば高いほど、堆積層内のC:Si比は小さくなる。従っ
て、バリヤ層を高い基板温度で堆積させるときガス状混
合物のC;Si比が比較的大きいことが望ましいと言え
よう。
【0057】ガス状混合物の炭素:珪素比は炭化水素ガ
ス:珪素含有ガス流量比と、関係するガスの化学量によ
って決まる。通常、流量Aで供給される炭化水素含有ガ
スC xnと、流量Sで供給される珪素含有ガスSiym
では、炭素:珪素比は下式によって与えられる。
【0058】C:Si=AxSy 特定の実施例にあっては、アルカンはメタン(CH4
であり、シランはモノシラン(SiH4)である。Ar
のような不活性ガスも供給される。 CH4(x=1)と
SiH4(y=1)に例えば約1:1なるC:Si比が望
ましいとき、CH 4:SiH4流量比は約1:1になろ
う。あるいは、エタン(C26、y=2)とSiH4から
成るガス状混合物に約1:1のC:Si比を得るには、
26:SiH4流量比は約1:2になろう。図1Aに
記載のチャンバの場合、SiH4では堆積ガスの流量は
毎分10〜30標準立方センチメートル(sccm)の
範囲、CH4では60〜100sccmの範囲、Arで
は0〜100sccmの範囲である。
【0059】ガス状混合物はステップ306で励起され
珪素のような基板402上にバリヤ層404を堆積させ
るためプラズマが形成される。好ましい実施例では、プ
ラズマは高密度プラズマ(HDP)、即ちイオン密度が
約1011イオン/cm3又はそれ以上のプラズマであ
る。あるいは、PECVD工程には低密度、例えば10
8〜109イオン/cm3のプラズマが使用できる。プラ
ズマは何らかの適切なプラズマ発生システム、例えば図
1Aに記載のソース・プラズマ・システム80Aと、又
はバイアス・プラズマ・システム80Bによって発生さ
せることができる。例えばチャンバ13のようなHDP
チャンバ内部では上部コイル29に1500〜4800
ワットの間のRF電力が、側部コイル30には3000
〜4800ワットの間のRF電力が印加される。
【0060】通常、プラズマ発生、膜の堆積及び基板温
度の制御にはソースRF電力のみを使用する。バイアス
電力は通常、二つの理由から堆積工程には使用しない。
先ずプラズマには、アルカン・ガスとシラン・ガスから
容易に解離され、HDPのようなプラズマ中でイオン化
される水素が含まれる。イオン化の結果、形成されてく
る水素イオンはウエーハ・バイアスと、ウェーハの激し
い衝撃によって励起し得る。十分に激しい衝撃があれ
ば、水素をウエーハに注入し得る。ウエーハ内部への水
素イオンの注入は通常では望ましくないが、それは注入
した水素が基板上に形成した集積回路デバイス構造の素
子、例えば集積回路200のゲート領域218の内部に
容易に拡散する可能性があり、デバイスに“ホット・キ
ャリヤ”劣化を発生させることがあり得るためである。
第二に、プラズマ中にアルゴンが存在し、ウエーハにバ
イアスがかけられているとき、アルゴン・イオンは激し
くウエーハを衝撃する傾向がある。激しい衝撃はウエー
ハから材料をスパッタリングさせ、スパッタリングした
材料はチャンバの壁面に堆積しようとする傾向がある。
その結果、非バイアス工程とは対照的にバイアス工程で
は一層高い頻度でチャンバをクリーニングする必要があ
る。アルゴンのスパッタリングも堆積させている層内の
Si−Cの結び付きの様な結び付きを破壊する可能性が
ある。結び付きの破壊によって堆積した層は水分吸収の
影響を一層受けやすくなる傾向がある。
【0061】基板温度は一般的にバリヤ堆積の間、35
0〜450℃の間に維持する。工程温度の制御とターゲ
ット設定のために裏面のHe冷却は任意的に適用しても
よい。時には500ワットまでの低バイアスRF電力を
採用し、裏面輻射率ウエーハ温度制御をした閉ループを
用い堆積温度制御をすることができる。例えば、エピタ
キシャル珪素ウエーハは抵抗率が比較的低く、正規の珪
素ウエーハの1〜80Ω・cmと比べるとエピ・ウエー
ハでは一般的には0.01〜0.02Ω・mである。そ
の結果、エピ・ウエーハは単一のソースRF電力のみで
は加熱ができず、ウエーハを目標温度まで加熱する一助
にバイアスRF電力が必要となる。例えば、当工程の
間、エピ・ウエーハを目標温度まで加熱するために低バ
イアス電力(200mmウエーハでは最大400W又は
〜1.3W/cm2)を使用することがある。このよう
なレベルの電力はウエーハの加熱には十分大きなエネル
ギーであるが、他に関しては十分小さいため、上に述べ
たスパッタリングと水素イオン注入の問題は本質的に縮
小される。スパッタリングが望ましいギャップを充填す
る応用法にあっては対照的に、ウエーハ・バイアスは3
500ワット程度(200mmウエーハでは〜23W/
cm2)又はそれ以上になることがある。
【0062】バリヤ層404に類似するバリヤ層は図4
(b)に記載するように数層の材料から構成される集積
スタックの一部にすることができる。別の実施例にあっ
ては、バリヤ層は第一誘電体層の上面に堆積される。第
二誘電体層が次いでバリヤ層404の上面に堆積され
る。膜の総合厚さに比べ、バリヤ層は厚さをあまり大き
くする必要はない。例示的な実施例において、バリヤ層
は膜400の総合厚さ約10,000Åの内の約500
〜1000Åを占める厚さにしてもよい。第一、第二誘
電体層はプラズマ強化薬品堆積(PECVD)と高密度
プラズマ薬品蒸気堆積(HDP−CVD)を含む何らか
の従来手段によって堆積できる。しかし、工程の集積度
の観点から、バリヤ層堆積に用いる同一チャンバ内で総
ての層を堆積させると一層便利である。それ故、例え
ば、HDP−CVDチャンバ内でバリヤ層が堆積される
とき、第一、第二誘電体層を同一のチャンバ内でHDP
−CVDによって堆積すると便利である。
【0063】本発明の第二実施例に従い図4(b)に示
す多層集積スタックを形成するには図3(a)の方法を
図3(b)に記載するように変更することができる。集
積スタック401は通常、第一層の材料403と、バリ
ヤ層405と、第二層の材料407から成る。第一、第
二層403、407は誘電体材料から成る層として上に
説明したが、層403、407は誘電体材料、半導体材
料及び金属を含む何らかのタイプの材料で構成してもよ
い。層403、407は特定の応用法によっては同一タ
イプ又は異なるタイプの材料にしてもよい。更に、集積
スタック401にはいかなる数の異なる層を含めてもよ
い。
【0064】第二実施例の例示的な方式において、スタ
ック401は半導体ウエーハのような基板409上に堆
積する。方法301の第二実施例はステップ303でス
タートする。第一FSG層403はステップ305で基
板409上に堆積される。第一材料層403は物理的蒸
気堆積(PVD)、薬品蒸気堆積(CVD)、準大気圧
CVD(SACVD)、大気圧CVD(APCVD)、
プラズマ補強CVD(PACVD),PECVD及びH
DP−CVDを含む従来手段によって堆積してもよい。
誘電体層403の堆積後、CH4、SiH4及びArの流
を含むガス状混合物はステップ307で基板を収容した
処理チャンバに導入される。堆積ガスの流量はC4では
60〜100sccm、SiH4では10〜30scc
m、Arでは0〜100sccmの範囲である。
【0065】ガス状混合物は第一誘電体層403上への
バリヤ層405の堆積に備えプラズマを形成すべくステ
ップ309で励起する。第一実施例におけるように、工
程の集積度の観点から同一チャンバ内で誘電体層403
とバリヤ層405双方を堆積することが望ましい。好ま
しくは、プラズマは高密度プラズマ(HDP)である。
ソースRF電力、バイアスRF電力、チャンバ圧力、チ
ャンバ温度及び基板温度は通常、第一実施例に関し上に
述べたそれぞれの範囲内に維持する。バリヤ層405は
厚さをスタック401の総合目標厚さと比べあまり大き
くする必要はない。一実施例にあっては、バリヤ層40
5は総合厚さが約10,000Åであるスタック401
の内で、約500〜1000Åの間値を占める厚さにな
る。バリヤ層405の堆積に次いで、ステップ311で
第二誘電体層407を任意に堆積させてよい。あるい
は、金属、半導体材料又は他の材料から成る層を堆積さ
せてもよい。いかような従来の堆積技法でも採用できる
が、工程の集積度という理由から第二誘電体層407を
同一チャンバ内で第一誘電体層403とバリヤ層405
と同じように堆積することが望ましい。例示的種類の第
二実施例では、第一層403と第二層407はSi
4、4フッ化珪素(SiF4)、酸素(O2)及びAr
を使用したHDP−CVDによって堆積させたフッ化シ
リケート・ガラス(FSG)から成る層である。
【0066】V. 例示的なダマシーン工程 図3(a)〜図4(b)に関し上に解説したバリヤ層と
集積スタックの堆積はダマシーン工程に利用してもよ
い。ダマシーン構造はIMD層において上に述べたタイ
プである低k値バリヤ層を例えば10〜12層程度含め
てもよく、あるいは腐食止め層として含めることができ
る。IMD層の形成において説明した低k値バリヤ層の
堆積を利用するデュアル・ダマシーン工程集積計画の例
が図5(a)〜図5(h)に説明されている。デュアル
・ダマシーン工程は図5(a)に示すように珪素基板5
00の上面に酸化物層502を堆積させることでスター
トする。第一Si−C−H低k値バリヤ層504は上に
説明したアルカン/シラン堆積工程を適用し、例えばS
iH4とCH4を使用するHDP−CVD方式に従い酸化
物層502上に堆積する。一部の用途では、層504は
ハードマスク又は腐食止め層として機能する。第一FS
G層506は図5(b)に示すように第一写真平版工程
の間に堆積し、パターン化したホトレジスト層508で
被覆する。第一FSG層506は工程の集積度を強化す
る場合のように同一チャンバ内で堆積してもよい。図5
(c)では、第一エッチングが、先ず第一FSG層に、
さらにハードマスク層に、ギャップの第一セットを形成
する。
【0067】第一エッチングの後、ホトレジスト層50
8は酸化環境内で例えば灰化処理によって剥離除去す
る。次いでギャップ510と第一FSG層506をアル
ミニウムまたは銅のような金属層で被覆する。銅の場
合、シード層512(図5(c))をギャップ510と
第一FSG層506上に堆積させる。第一バルク銅層5
14を図5(d)に示すように堆積しギャップ510を
充填する。幾つかの応用法にあっては、バリヤ層(図に
は示さず)はシード層512の堆積前に第一FSG層5
16とギャップ510上に堆積する。バリヤ層は銅とF
SGの内部拡散を防止する。銅層514は例えばCMP
によって平面化処理をする。銅層514の平面化処理で
は例えば相互接続構造内に第一セットの金属配管515
が形成される。
【0068】銅層514の平面化処理後、図5(e)に
示すように、第二バリヤ層516、第二FSG層51
8、第三バリヤ層520、第三FSG層522を堆積し
てIMD層521を形成する。層518、520、52
2はIMD層521を形成するため工程の集積度を強化
すべく例えばDHP−CVDによって同一チャンバ内で
堆積させてもよい。第二平板印刷/エッチング工程では
図5(f)に示すように層516、518、520、5
22の内部を貫通し、下方の銅層514に至る連絡路5
24が形成される。図5(g)においては、第三平板印
刷/エッチング工程で第二セットのギャップ526が形
成される。ギャップ526は第二セットの金属配管を確
立し、連絡路524は第二セットの金属配管と、ギャッ
プ510と銅層514によって確立される第一セットの
金属配管相互間に一組の相互接続部を確立する。次い
で、連絡路524とギャップ526は第二バルク銅層に
よって充填し、その結果形成される構造は図5(h)に
示すようにアニーリングし、平面化処理する。ギャップ
526は第二セットの金属配管528を確立し、連絡賂
524は第二セットの金属配管528と第一セットの金
属配管515相互間に一組の相互接続部525を確立す
る。
【0069】ダマシーン工程は銅の相互接続部を使用す
るデバイスに用いられるが、それは現在、銅をエッチン
グする容認され得る方法がないためである。ダマシーン
工程によって形成される構造はギャップを充填する誘電
体を必要とせず、通常、アルミニウム、タングステン、
チタン又は他の金属の金属配管を使用して形成する類似
した構造よりも小さなRC遅延を規定する。その上、ギ
ャップの充填が問題にはならぬため、ダマシーン工程で
はより大きな堆積速度を採用できる。バリヤ層506、
516、520のいずれも図2、図3(a)、図3
(b)、図4(a)、図4(b)に関し上に述べたアル
カン・シラン・バリヤ層堆積によって堆積できる。ある
いは、窒化珪素層のように、一層又はそれ以上のバリヤ
層506、516、520を堆積させることが望ましい
ことがある。これはFSG層とバリヤ層のように、窒化
珪素はHDP−CVD方式によって堆積できるため、工
程の集積度の観点から有利であろう。
【0070】VI. 実験の結果 実験の結果には低k値バリヤ層がCH4とSiH4と共に
HDP−CVD方式を採用し上述した方法に従い達成可
能であることが証明された。バリヤ層の厚さは約500
〜1000Åの範囲であった。低k値バリヤ層は以下の
ような四種の異なるタイプの誘電体層上に堆積させた。
【0071】1)HDP−CVDによって堆積したFS
G 2)TEOSを用いたPECVDによって堆積したFS
G 3)HDP−CVDによって堆積したUSG 4)TEOSを用いたPECVDによって堆積したUS
G サンプル・バリヤ層をApplied Materia
ls社が製作したアルチマ(Ultima)・チャンバ
内で200mm珪素ウエーハとエピ(epi)・ウエー
ハ上に堆積させた。バリヤ層堆積実験の図案を図6に纏
めている。図6にはアルゴン流量の各関数としての層厚
さの非均一性、堆積速度、屈折率(RI)及び誘電率
と、CH4/SiH4比、上部ソースRF電力(TOSR
F)及びデュアル・ゾーン・システムにおける外部ヘリ
ューム圧力に対する内部ヘリューム圧力の比(He(i
n/out))をプロットする合体されたグラフが記載
されている。k値、RI及び堆積速度、総ては大きくC
4/SiH4比によって決まることに注目してほしい。
一般に、k値とRIはCH4/SiH4比が大きくなるに
つれて増加するが、堆積速度は低減する。図7はCH4
/SiH4比の関数としてのRIの一層詳細なグラフを
記載する。 RI値はCH4/SiH4比が約1以上に増
加すると急速に低下する。CH4/SiH4の比が約5以
上では、RIの低下は著しく緩やかな勾配である。これ
ら実験の結果にはk値が約4.1〜4.3の間にあり、
RI値が約1.7〜1.8の範囲にあるバリヤ層は本発
明の方法の各実施例を採用して達成できることが示され
ている。
【0072】バリヤ層を含むウエーハを繰り返しアニー
リング熱処理することでHDP−CVD低k値バリヤ層
の付着力をテストした。各ウエーハは約30分の加熱サ
イクルで約410℃まで加熱した。ウエーハ毎にこのよ
うな加熱サイクルを六回実施した。ウエーハ全数の目視
検査では層剥離や気泡は認められなかった。共焦点顕微
鏡下での綿密なウエーハ検査でも気泡又は層剥離は認め
られなかった。
【0073】本発明の二、三実施例を詳しく解説してき
たので、本発明による低誘電率の酸化物層を堆積する本
発明に相当する他の多くの方法又は代替的方法について
は当技術に詳しい者にとっては明らかであろう。
【0074】これらの本発明の代替的方法と本発明に相
当する方法は本発明の範囲内に含めるつもりである。
【図面の簡単な説明】
【図1A】図1Aは本発明による高密度薬品蒸気堆積シ
ステムの一実施例の簡略化した図である。
【図1B】図1Bは図1Aの例示的なCVD処理チャン
バに組み合わせて使用できるガス・リングの簡略化した
断面である。
【図1C】図1Cは図1Aの例示的なCVD処理チャン
バと共に使用できるモニタとライト・ペンの簡略化した
図である。
【図1D】図1Dは図1Aの例示的なCVD処理チャン
バの制御に用いる例示的な工程制御コンピュータ・プロ
グラム作品のフローチャートである。
【図2】図2は本発明の方法により製作した半導体デバ
イスの簡略化した断面図である。
【図3A】図3(a)は本発明の方法の第一実施例の流
れ線図である。
【図3B】図3(b)は本発明の方法の第二実施例の流
れ線図である。
【図4A】図4Aは、本発明の方法の第一実施例によっ
て堆積させた集積スタックの断面図である。
【図4B】図4Bは、本発明の方法の第二実施例によっ
て堆積させた集積スタックの断面図である。
【図5】図5(a)〜図5(h)は部分形成した集積回
路の断面で、本発明の実施例による集積デュアル・ダマ
シーン工程を経ることになる。
【図6】図6は本発明の方法の各実施例に対する実験の
図案を図解する複合グラフを記載する。
【図7】図7は本発明の各実施例によって堆積させた各
膜に対するCH4/SiH4比の関数としての屈折率のグ
ラフである。
【符号の説明】
10−高密度プラズマ薬品蒸気堆積(HDP−CVD)
システム、13−処理チャンバ、14−ドーム、16−
プラズマ処理領域、17−基板、18−基板支持部材、
19−基板受け部分、20−静電チャック、21−ベー
ス部分、22−本体部材、23−ヒータ・プレート、2
4−低温プレート、25−スロットル・ボデー、26−
スロットル・バルブ、27−ゲート・バルブ、28−タ
ーボ分子ポンプ、29−上部コイル、30−側部コイ
ル、31C−バイアスRF発電機、32C−バイアス整
合回路網、33−ガス供給システム、34A〜34F−
ガス・ソース、35A’、35B’−ガス流制御装置、
37−ガス・リング、38−ガス供給配管、39−第一
ソース・ガス・ノズル、40−第二ソース・ガス・ノズ
ル、50−遠隔プラズマ・クリーニング・システム、5
1−遠隔マイクロ波発生装置、60−システム・コント
ローラ、61−プロセッサ、62−記憶装置 70−真空システム、73:プロセッサ・セレクタ、7
5:プロセッサ・シーケンサ、77a:CVD/スパッ
タリング・チャンバに対するチャンバ・マネージャ、7
7b:チャンバ・マネージャ、77c:チャンバ・マネ
ージャ、80:基板の位置決め、80A−ソース・プラ
ズマ・システム、83:工程ガス制御、85:圧力制
御、87:プラズマ制御200−集積回路、203−N
MOSトランジスタ、206−PMOSトランジスタ、
212−ソース領域、215−ドレン領域、218−ゲ
ート領域、220−電界酸化領域、221−先駆金属誘
電体層、224−接点、226−連絡路(バイヤ:vi
a)、227−金属間誘電体(IMD)層、228−金
属間誘電体層、229−金属間誘電体層、240−金属
層、242−金属層、244−金属層、246−金属
層。
フロントページの続き (72)発明者 ヒッケム ムサード アメリカ合衆国, カリフォルニア州, サンタ クララ, グラナダ アヴェニュ ー 3500 ナンバー364 (72)発明者 セオン−メー チョー アメリカ合衆国, カリフォルニア州, サンタ クララ, サラトガ アベニュー 121 アパートメントナンバー4116 (72)発明者 ダナ トリビューラ アメリカ合衆国, カリフォルニア州, パロ アルト, グインダ ストリート 544

Claims (26)

    【特許請求の範囲】
  1. 【請求項1】 処理チャンバの内部に配した基板上に膜
    を堆積する方法であって、 (a)珪素含有ガスと炭化水素含有ガスから成るガス状
    混合物をチャンバに流すステップと、 (b)ガス状混合物からプラズマを発生し、プラズマを
    用いて基板上に膜を堆積するステップとを含む方法。
  2. 【請求項2】 膜がダマシーン構造における金属間誘電
    体層の一部である、請求項1に記載の方法。
  3. 【請求項3】 プラズマが高密度プラズマである、請求
    項1に記載の方法。
  4. 【請求項4】 珪素含有ガスがシランを含む、請求項1
    に記載の方法。
  5. 【請求項5】 シランがモノシラン(SiH4)、ジシ
    ラン(Si26)、トリシラン(Si38)又はテトラ
    シラン(Si410)である、請求項4に記載の方法。
  6. 【請求項6】 炭化水素含有ガスがアルカンを含む、請
    求項1に記載の方法。
  7. 【請求項7】 アルカンがメタン、エタン、ブタン、プ
    ロパン、ペンタン又はヘキサンである、請求項6に記載
    の方法。
  8. 【請求項8】 ガス状混合物が本質的には一種又はそれ
    以上の炭化水素ガスと、珪素含有ガスと、不活性ガスと
    から成る、請求項1に記載の方法。
  9. 【請求項9】 ガス状混合物が1:1以上の炭素:珪素
    比を有する、請求項1に記載の方法。
  10. 【請求項10】 炭素:珪素比が約3:1と約5:1の
    間にある、請求項1に記載の方法。
  11. 【請求項11】 膜が珪素(Si)と、炭素(C)と、
    水素(H)とを含む、請求項1に記載の方法。
  12. 【請求項12】 炭素と珪素が約55/45と約65/
    35の間のC/Si原子比で膜に組み入れられる、請求
    項11に記載の方法。
  13. 【請求項13】 水素が約50原子%又はそれ以下の濃
    度で膜に組み入れられる、請求項11に記載の方法。
  14. 【請求項14】 膜が約4.0又はそれ以下の総合誘電
    率を有する、請求項1に記載の方法。
  15. 【請求項15】 膜がバリヤ層を含む、請求項1に記載
    の方法。
  16. 【請求項16】 バリヤ層が約4.5又はそれ以下の誘
    電率を有する、請求項15に記載の方法。
  17. 【請求項17】 バリヤ層が約500Åと1000Åの
    間の厚さを有する、請求項15に記載の方法。
  18. 【請求項18】 バリヤ層が基板上の第一層の材料上に
    堆積され、層が約3.5又はそれ以下の誘電率を有す
    る、請求項15に記載の方法。
  19. 【請求項19】更に、バリヤ層上に一層の材料を堆積す
    るステップを含み、層の材料が約3.5又はそれ以下の
    誘電率を有する請求項15に記載の方法。
  20. 【請求項20】 処理チャンバ内の基板上にバリヤ層を
    堆積する方法であって、 (a)シラン(SiH4)とメタン(CH4)の流れを含
    むガス状混合物をチャンバの内部に流すステップと、 (b)ガス状混合物から高密度プラズマを発生するステ
    ップと、 (c)プラズマを用い基板上にバリヤ層を堆積するステ
    ップとを含む方法。
  21. 【請求項21】 シランとメタンの流れが約3:1と
    8:1の間のメタン:シラン流量比の流量で供給され
    る、請求項20に記載の方法。
  22. 【請求項22】 ガス状混合物が更にアルゴン(Ar)
    の流れを含む、請求項21に記載の方法。
  23. 【請求項23】 バリヤ層が約4.5又はそれ以下の誘
    電率を有する、請求項20に記載の方法。
  24. 【請求項24】 バリヤ層が珪素(Si)と、炭素
    (C)と、水素(H)とを含む、請求項20に記載の方
    法。
  25. 【請求項25】 処理チャンバと、プラズマ発生システ
    ムと、基板ホルダと、処理チャンバの内部にガスを導入
    する構造のガス供給システムとを備えた基板処理システ
    ムの運転を管理するもので、 (a)珪素含有ガスと炭化水素含有ガスの各流れを含む
    ガス状混合物をチャンバの内部に流すステップと、 (b)基板上に亘り膜を堆積するためガス状混合物から
    プラズマを発生するステップに従い処理チャンバ内に配
    した基板上に膜を形成するため基板処理システムを運転
    するための命令を含む、コンピュータ読み取りプログラ
    ムを内部に包含するコンピュータ読み取り記憶媒体。
  26. 【請求項26】 基板処理システムであって、 処理チャンバを確立するハウジングと、 処理チャンバに結合したプラズマ発生システムと、 基板処理の間に基板を保持する構造の基板ホルダと、 処理チャンバの内部にガスを導入する構造のガス供給シ
    ステムと、 ガス供給システムとプラズマ発生システムとを制御する
    制御装置と、 (a)珪素含有ガスとアルカン含有ガスの各流を含むガ
    ス状混合物を処理チャンバの内部に流すためガス供給シ
    ステムを管理する第一セットの命令と、 (b)プラズマを用い基板上全面に亘り膜を堆積するた
    めガス状混合物からプラズマを発生させるべくプラズマ
    発生システムを管理する第二セットの命令を含み、基板
    処理システムの運転を管理するコンピュータ読み取りプ
    ログラムを内部に包含するコンピュータ読み取り記憶媒
    体から成る制御装置に結び付けられた記憶装置とから構
    成される基板処理システム。
JP2000334853A 1999-11-01 2000-11-01 バリヤ層を堆積する方法 Expired - Fee Related JP4659202B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/431411 1999-11-01
US09/431,411 US6399489B1 (en) 1999-11-01 1999-11-01 Barrier layer deposition using HDP-CVD

Publications (2)

Publication Number Publication Date
JP2001203201A true JP2001203201A (ja) 2001-07-27
JP4659202B2 JP4659202B2 (ja) 2011-03-30

Family

ID=23711827

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000334853A Expired - Fee Related JP4659202B2 (ja) 1999-11-01 2000-11-01 バリヤ層を堆積する方法

Country Status (5)

Country Link
US (2) US6399489B1 (ja)
EP (1) EP1096038A1 (ja)
JP (1) JP4659202B2 (ja)
KR (1) KR100743789B1 (ja)
TW (1) TW478098B (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003282566A (ja) * 2002-01-15 2003-10-03 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2013539164A (ja) * 2010-08-06 2013-10-17 ラム リサーチ コーポレーション 分散型マルチゾーンプラズマ源システム、方法、および、装置
JP2013539587A (ja) * 2010-08-06 2013-10-24 ラム リサーチ コーポレーション 独立的なプラズマ源制御のためのシステム、方法、および、装置
US11384429B2 (en) 2008-04-29 2022-07-12 Applied Materials, Inc. Selective cobalt deposition on copper surfaces
US11959167B2 (en) 2022-06-07 2024-04-16 Applied Materials, Inc. Selective cobalt deposition on copper surfaces

Families Citing this family (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
EP1305838B8 (en) 2000-03-24 2007-10-03 Cymbet Corporation Low-temperature fabrication of thin-film energy-storage devices
US6511923B1 (en) * 2000-05-19 2003-01-28 Applied Materials, Inc. Deposition of stable dielectric films
US6674167B1 (en) * 2000-05-31 2004-01-06 Micron Technology, Inc. Multilevel copper interconnect with double passivation
JP3954312B2 (ja) * 2001-01-15 2007-08-08 ローム株式会社 半導体装置の製造方法
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
KR100422348B1 (ko) * 2001-06-15 2004-03-12 주식회사 하이닉스반도체 반도체소자의 제조방법
US6991999B2 (en) 2001-09-07 2006-01-31 Applied Materials, Inc. Bi-layer silicon film and method of fabrication
GB0129567D0 (en) * 2001-12-11 2002-01-30 Trikon Technologies Ltd Diffusion barrier
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US7214594B2 (en) * 2002-03-26 2007-05-08 Intel Corporation Method of making semiconductor device using a novel interconnect cladding layer
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US7603144B2 (en) * 2003-01-02 2009-10-13 Cymbet Corporation Active wireless tagging system on peel and stick substrate
US6906436B2 (en) * 2003-01-02 2005-06-14 Cymbet Corporation Solid state activity-activated battery device and method
US7294209B2 (en) * 2003-01-02 2007-11-13 Cymbet Corporation Apparatus and method for depositing material onto a substrate using a roll-to-roll mask
US20040131760A1 (en) * 2003-01-02 2004-07-08 Stuart Shakespeare Apparatus and method for depositing material onto multiple independently moving substrates in a chamber
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US20040191559A1 (en) * 2003-03-26 2004-09-30 Bustamante Anthony T. Method and apparatus for strengthening steel and cast iron parts
US7723228B2 (en) * 2003-05-20 2010-05-25 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
US7371427B2 (en) * 2003-05-20 2008-05-13 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
JP3892826B2 (ja) * 2003-05-26 2007-03-14 株式会社東芝 電力増幅器及びこれを用いた無線通信装置
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7067437B2 (en) * 2003-09-12 2006-06-27 International Business Machines Corporation Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same
US20050118770A1 (en) * 2003-10-01 2005-06-02 Texas Instruments, Inc. Method for introducing hydrogen into a channel region of a metal oxide semiconductor (MOS) device
US7211351B2 (en) * 2003-10-16 2007-05-01 Cymbet Corporation Lithium/air batteries with LiPON as separator and protective barrier and method
EP1714333A2 (en) * 2004-01-06 2006-10-25 Cymbet Corporation Layered barrier structure having one or more definable layers and method
DE102004010094B3 (de) * 2004-02-27 2005-12-22 Infineon Technologies Ag Halbleiterbauelement mit mindestens einer organischen Halbleiterschicht und Verfahren zu dessen Herstellung
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7229041B2 (en) * 2004-06-30 2007-06-12 Ohio Central Steel Company Lifting lid crusher
US7183227B1 (en) * 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US7166544B2 (en) * 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
US7294851B2 (en) 2004-11-03 2007-11-13 Infineon Technologies Ag Dense seed layer and method of formation
US20060094257A1 (en) * 2004-11-04 2006-05-04 Tower Semiconductor Ltd. Low thermal budget dielectric stack for SONOS nonvolatile memories
US20060154494A1 (en) * 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
WO2007011898A2 (en) 2005-07-15 2007-01-25 Cymbet Corporation Apparatus and method for making thin-film batteries with soft and hard electrolyte layers
US7776478B2 (en) 2005-07-15 2010-08-17 Cymbet Corporation Thin-film batteries with polymer and LiPON electrolyte layers and method
US20070012244A1 (en) * 2005-07-15 2007-01-18 Cymbet Corporation Apparatus and method for making thin-film batteries with soft and hard electrolyte layers
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US20090200494A1 (en) * 2008-02-11 2009-08-13 Varian Semiconductor Equipment Associates, Inc. Techniques for cold implantation of carbon-containing species
US8003957B2 (en) * 2008-02-11 2011-08-23 Varian Semiconductor Equipment Associates, Inc. Ethane implantation with a dilution gas
JP5365107B2 (ja) * 2008-09-02 2013-12-11 Tdk株式会社 電気化学素子用電極の製造方法
US20100059110A1 (en) * 2008-09-11 2010-03-11 Applied Materials, Inc. Microcrystalline silicon alloys for thin film and wafer based solar applications
US20110052797A1 (en) * 2009-08-26 2011-03-03 International Business Machines Corporation Low Temperature Plasma-Free Method for the Nitridation of Copper
US9853325B2 (en) 2011-06-29 2017-12-26 Space Charge, LLC Rugged, gel-free, lithium-free, high energy density solid-state electrochemical energy storage devices
US10601074B2 (en) 2011-06-29 2020-03-24 Space Charge, LLC Rugged, gel-free, lithium-free, high energy density solid-state electrochemical energy storage devices
US11527774B2 (en) 2011-06-29 2022-12-13 Space Charge, LLC Electrochemical energy storage devices
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP6167673B2 (ja) 2013-05-31 2017-07-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
KR101566920B1 (ko) * 2013-06-11 2015-11-17 이이쿠보 유이치 유전체 장벽 방전을 통해 실란가스에서 디실란, 트리실란 가스를 제조하는 제조방법
US20160314964A1 (en) * 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US11011384B2 (en) 2017-04-07 2021-05-18 Applied Materials, Inc. Gapfill using reactive anneal
CN110998790A (zh) * 2017-08-04 2020-04-10 朗姆研究公司 在水平表面上的选择性沉积SiN
WO2019173626A1 (en) 2018-03-07 2019-09-12 Space Charge, LLC Thin-film solid-state energy-storage devices
DE102018110240A1 (de) * 2018-04-27 2019-10-31 Infineon Technologies Ag Halbleitervorrichtung und Herstellung
KR20210063434A (ko) 2018-10-19 2021-06-01 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
WO2021050052A1 (en) * 2019-09-10 2021-03-18 Applied Materials, Inc. High density plasma cvd for display encapsulation application

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5545223U (ja) * 1978-09-18 1980-03-25
JPH01115162A (ja) * 1987-10-29 1989-05-08 Matsushita Electric Ind Co Ltd 薄膜トランジスタ及びその製造方法
JPH08250594A (ja) * 1995-02-02 1996-09-27 Dow Corning Corp 炭化ケイ素の金属拡散障壁層
JP2000286252A (ja) * 1999-03-31 2000-10-13 Nec Corp 半導体装置の製造方法

Family Cites Families (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3627721A (en) 1969-01-02 1971-12-14 Merck & Co Inc A method for the preparation of (cis-1,2-epoxypropyl)phosphonic dihalide
JPS5998726A (ja) 1982-11-26 1984-06-07 Seiko Epson Corp 酸化膜形成法
US4557946A (en) 1983-06-03 1985-12-10 Edward Sacher Moisture impermeability or organosilicone films
JPS6014248A (ja) * 1983-07-06 1985-01-24 Fuji Photo Film Co Ltd 電子写真用感光体
JPS60111480A (ja) 1983-11-22 1985-06-17 Toshiba Corp 薄膜発光素子
US4845054A (en) 1985-06-14 1989-07-04 Focus Semiconductor Systems, Inc. Low temperature chemical vapor deposition of silicon dioxide films
US4812325A (en) 1985-10-23 1989-03-14 Canon Kabushiki Kaisha Method for forming a deposited film
US4789648A (en) 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
GB8630918D0 (en) 1986-12-24 1987-02-04 Pilkington Brothers Plc Coatings on glass
US5028566A (en) 1987-04-10 1991-07-02 Air Products And Chemicals, Inc. Method of forming silicon dioxide glass films
JPH077759B2 (ja) 1987-08-20 1995-01-30 株式会社半導体エネルギ−研究所 絶縁膜形成方法
US4798629A (en) 1987-10-22 1989-01-17 Motorola Inc. Spin-on glass for use in semiconductor processing
US4900591A (en) 1988-01-20 1990-02-13 The United States Of America As Represented By The Secretary Of The Air Force Method for the deposition of high quality silicon dioxide at low temperature
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
US4973511A (en) 1988-12-01 1990-11-27 Monsanto Company Composite solar/safety film and laminated window assembly made therefrom
US5266409A (en) * 1989-04-28 1993-11-30 Digital Equipment Corporation Hydrogenated carbon compositions
FR2651782B1 (fr) 1989-09-14 1993-03-19 Air Liquide Procede pour la realisation d'un depot d'un revetement protecteur inorganique et amorphe sur un substrat polymerique organique.
JPH0740569B2 (ja) 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
US5120680A (en) 1990-07-19 1992-06-09 At&T Bell Laboratories Method for depositing dielectric layers
CA2048168A1 (en) 1990-08-03 1992-02-04 John T. Felts Silicon oxide based thin film vapour barriers
NL9001770A (nl) 1990-08-06 1992-03-02 Philips Nv Werkwijze voor het aanbrengen van een siliciumdioxide-laag op een substraat door middel van chemische reactie uit de dampfase bij verlaagde druk (lpcvd).
US5040046A (en) 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
US5314724A (en) 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
US5352493A (en) 1991-05-03 1994-10-04 Veniamin Dorfman Method for forming diamond-like nanocomposite or doped-diamond-like nanocomposite films
US5246887A (en) 1991-07-10 1993-09-21 At&T Bell Laboratories Dielectric deposition
US5204141A (en) 1991-09-18 1993-04-20 Air Products And Chemicals, Inc. Deposition of silicon dioxide films at temperatures as low as 100 degree c. by lpcvd using organodisilane sources
US5224441A (en) 1991-09-27 1993-07-06 The Boc Group, Inc. Apparatus for rapid plasma treatments and method
JPH06163521A (ja) 1992-11-17 1994-06-10 Nippon Telegr & Teleph Corp <Ntt> 半導体装置の製造方法
JPH05267480A (ja) 1992-03-21 1993-10-15 Ricoh Co Ltd 半導体装置とその製造方法
JP2934353B2 (ja) 1992-06-24 1999-08-16 三菱電機株式会社 半導体装置およびその製造方法
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5874367A (en) 1992-07-04 1999-02-23 Trikon Technologies Limited Method of treating a semi-conductor wafer
JP2884968B2 (ja) 1992-11-17 1999-04-19 東亞合成株式会社 シリコン酸化膜の製造方法
US5753564A (en) 1992-11-24 1998-05-19 Sumitomo Metal Industries, Ltd. Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5465680A (en) 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
US5433786A (en) 1993-08-27 1995-07-18 The Dow Chemical Company Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein
US5364666A (en) 1993-09-23 1994-11-15 Becton, Dickinson And Company Process for barrier coating of plastic objects
JP2899600B2 (ja) 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
US5508368A (en) 1994-03-03 1996-04-16 Diamonex, Incorporated Ion beam process for deposition of highly abrasion-resistant coatings
US5618619A (en) 1994-03-03 1997-04-08 Monsanto Company Highly abrasion-resistant, flexible coatings for soft substrates
US5888593A (en) 1994-03-03 1999-03-30 Monsanto Company Ion beam process for deposition of highly wear-resistant optical coatings
US5858880A (en) 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
JPH0855913A (ja) 1994-06-07 1996-02-27 Texas Instr Inc <Ti> サブミクロン相互接続の選択的空隙充填方法
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
JP3495116B2 (ja) 1994-10-31 2004-02-09 東レ・ダウコーニング・シリコーン株式会社 撥水性薄膜およびその製造方法
JPH08181210A (ja) 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
JPH08181276A (ja) 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
TW285753B (ja) 1995-01-04 1996-09-11 Air Prod & Chem
US5593740A (en) 1995-01-17 1997-01-14 Synmatix Corporation Method and apparatus for making carbon-encapsulated ultrafine metal particles
JP3176017B2 (ja) 1995-02-15 2001-06-11 株式会社東芝 半導体装置の製造方法
US5534462A (en) 1995-02-24 1996-07-09 Motorola, Inc. Method for forming a plug and semiconductor device having the same
JPH08236518A (ja) 1995-02-28 1996-09-13 Hitachi Ltd シリコン酸化膜の形成方法
JPH08288286A (ja) 1995-04-19 1996-11-01 Sharp Corp シリコン酸化膜の成膜方法
US5637351A (en) 1995-05-11 1997-06-10 Air Products And Chemicals, Inc. Chemical vapor deposition (CVD) of silicon dioxide films using oxygen-silicon source reactants and a free radical promoter
FR2734402B1 (fr) 1995-05-15 1997-07-18 Brouquet Pierre Procede pour l'isolement electrique en micro-electronique, applicable aux cavites etroites, par depot d'oxyde a l'etat visqueux et dispositif correspondant
JP3463416B2 (ja) 1995-06-23 2003-11-05 ソニー株式会社 絶縁膜の製造方法および半導体装置
US5638251A (en) 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
JPH09116011A (ja) 1995-10-23 1997-05-02 Mitsubishi Electric Corp 半導体装置およびその製造方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US5693563A (en) 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US5807785A (en) 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
DE19781956B4 (de) 1996-08-24 2006-06-14 Trikon Equipments Ltd., Newport Verfahren zum Aufbringen einer planarisierten dielektrischen Schicht auf einem Halbleitersubstrat
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5800878A (en) * 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
US5834162A (en) 1996-10-28 1998-11-10 Regents Of The University Of California Process for 3D chip stacking
EP0885983A1 (en) 1997-06-19 1998-12-23 N.V. Bekaert S.A. Method for coating a substrate with a diamond like nanocomposite composition
US5821168A (en) 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US5891799A (en) 1997-08-18 1999-04-06 Industrial Technology Research Institute Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrates
US6051321A (en) * 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5545223U (ja) * 1978-09-18 1980-03-25
JPH01115162A (ja) * 1987-10-29 1989-05-08 Matsushita Electric Ind Co Ltd 薄膜トランジスタ及びその製造方法
JPH08250594A (ja) * 1995-02-02 1996-09-27 Dow Corning Corp 炭化ケイ素の金属拡散障壁層
JP2000286252A (ja) * 1999-03-31 2000-10-13 Nec Corp 半導体装置の製造方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003282566A (ja) * 2002-01-15 2003-10-03 Tokyo Electron Ltd 成膜方法及び成膜装置
US11384429B2 (en) 2008-04-29 2022-07-12 Applied Materials, Inc. Selective cobalt deposition on copper surfaces
JP2013539164A (ja) * 2010-08-06 2013-10-17 ラム リサーチ コーポレーション 分散型マルチゾーンプラズマ源システム、方法、および、装置
JP2013539587A (ja) * 2010-08-06 2013-10-24 ラム リサーチ コーポレーション 独立的なプラズマ源制御のためのシステム、方法、および、装置
KR20130137589A (ko) * 2010-08-06 2013-12-17 램 리써치 코포레이션 분리된 플라즈마 소스 제어를 위한 시스템, 방법 및 장치
KR101868646B1 (ko) * 2010-08-06 2018-06-18 램 리써치 코포레이션 분리된 플라즈마 소스 제어를 위한 시스템, 방법 및 장치
US11959167B2 (en) 2022-06-07 2024-04-16 Applied Materials, Inc. Selective cobalt deposition on copper surfaces

Also Published As

Publication number Publication date
JP4659202B2 (ja) 2011-03-30
US6713390B2 (en) 2004-03-30
KR20010051462A (ko) 2001-06-25
KR100743789B1 (ko) 2007-07-30
US6399489B1 (en) 2002-06-04
EP1096038A1 (en) 2001-05-02
TW478098B (en) 2002-03-01
US20030032282A1 (en) 2003-02-13

Similar Documents

Publication Publication Date Title
JP4659202B2 (ja) バリヤ層を堆積する方法
US6559026B1 (en) Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6821577B2 (en) Staggered in-situ deposition and etching of a dielectric layer for HDP CVD
US6633076B2 (en) Methods and apparatus for producing stable low k FSG film for HDP-CVD
US6958112B2 (en) Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US6926926B2 (en) Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US6468927B1 (en) Method of depositing a nitrogen-doped FSG layer
US6413871B2 (en) Nitrogen treatment of polished halogen-doped silicon glass
US6410457B1 (en) Method for improving barrier layer adhesion to HDP-FSG thin films
KR20010062663A (ko) 고밀도 플라즈마 반응로내의 질화 실리콘의 인시튜 증착및 집적화
US6511923B1 (en) Deposition of stable dielectric films
US6667248B2 (en) Low-bias-deposited high-density-plasma chemical-vapor-deposition silicate glass layers
US20040224090A1 (en) HDP-CVD uniformity control
EP1146142A2 (en) Process for forming fluorosilicate glass layers using high density plasma, for copper damascene integrated circuits

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071026

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100806

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100817

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101111

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101130

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101227

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140107

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees