TW478098B - Barrier layer deposition using HDP-CVD - Google Patents

Barrier layer deposition using HDP-CVD Download PDF

Info

Publication number
TW478098B
TW478098B TW089123029A TW89123029A TW478098B TW 478098 B TW478098 B TW 478098B TW 089123029 A TW089123029 A TW 089123029A TW 89123029 A TW89123029 A TW 89123029A TW 478098 B TW478098 B TW 478098B
Authority
TW
Taiwan
Prior art keywords
gas
scope
reaction chamber
patent application
item
Prior art date
Application number
TW089123029A
Other languages
English (en)
Inventor
Saad Hichem M
Seon-Mee Cho
Dana Tribula
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW478098B publication Critical patent/TW478098B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/931Silicon carbide semiconductor

Description

五、發明說明( 發明領域: 本發明係關於積體兩玫士制4 私路 I绝,特別是有關於一種雙 層鑲欲製程足阻障層形成方法。 發明背景:
於傳統積體電路拌+ . A k中’廷路元件的形成係在例如鋁 之金屬層中蝕刻缺口圖安 圖木。此缺口圖案接著填入例如二氧 化矽之介電材料。由於柄A M i & ;銅金屬和傳統的鋁合金比較起來具 有較低的阻抗,其P谁供& Α α 1 已卞備取代所有型式之積體電路的主要 晶片上之導體。不幸的是銅金屬很難加以蚀刻,因此即發 展出鑲tk敗私以便製造以銅為基底之積體電路。於鑲嵌製 私中’介電層乃加以沉積以形成整體堆疊層,#著加以蝕 刻形成缺口以便後續填入銅金屬。 HDP-CVD摻雜氟之氧化碎,一般也稱作碎化氟玻璃 (FSG),為一種可取代傳統二氧化矽作為内金屬介電層以 替代鑲嵌結構之有效解決方法。fsg可於傳統HDp_CVD 系統中沉積,此系統廣泛的應用於鋁内連線中的未掺雜碎 玻璃(USG)和FSG介電材料^ FSG具有良好的製程體系, 因其具信賴度、穩定度和生產力。積體電路的電子特性因 為FSG有較低的介電常數而可有效的改善(與傳統的氧化 碎約4.1 - 4 · 3比較起來約只有3.3 - 3 · 6)。較低的介電常數降 低了同一鍍層中之金屬導線之間的電容和鍍層間的串流 漏訊。 在鑲嵌結構中分離銅金屬層之介電層通常稱之為内 第3頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 請 先 閱 讀 背 面 之 注 意 事 項 再4 填看 寫 本 頁 訂 經濟部智慧財產局員工消費合作社印製
五、 發明說明( 金屬介電層(IMD)。IMD層一般包括阻障層以避免銅金屬 擴散進入鄭近的介電層如FSG。某些用於鑲嵌製程中的積 體堆疊也利用蝕刻中止層或者硬罩幕來提供薄膜的選擇 性蝕刻。氮化矽(SixNy)通常用來作為鑲嵌應用中的阻障層 或蝕刻中止層,例如在含有金屬導線之鍍層間形成介層洞 時。不幸地,氮化矽具有相當高的介電常數k~7.〇到7 5, 氧化矽只有4.0-4.2,而FSG只有3.3J.6。結果含有氮化 ♦之介電層將具有相當高的邊緣電容值。 一般說來,和FSG厚度比較之下,氮化矽之厚度愈 厚,則整個堆疊之整體介電常數就愈大。整個堆疊之有效 介電常教和包含整個堆疊之每一層的介電常數和厚度有 關。整個薄膜之介電常數可由降低阻障層之厚度或利用較 低介電常數之阻障層材料而降低。現有的沉積製程可以形 成適當的SixNy薄膜大約薄到數百埃的厚度或者更厚。此 技術一般並無法沉積更薄的薄膜並同時維持所需的均勾 性及整個薄膜的品質。矽_碳_氫基底之低k阻障層則已發 展為例如bloktm(低κ阻障層)。BL0K為Applied
Materials,lnc· of Santa Ciara,California 之商標。此低 k 阻障層一般係以電漿增強化學氣相沉積法(PEcVD)利用三 甲基矽烷(TMS)加以沉積的。BL〇K利用TMS沉積提供了 適合多種製程的遮蓋解決方式,然而也可用於其它的應用 以增加製程整合性。 因此,在技術上對於沉積包括低介電常數阻障層之整 合堆疊方法以便容易的整合介電層沉積並降低成本方面 第4頁 C請先閱讀背面之注音?事項再填寫本頁) I I ϋ n』rJI ϋ n n .1 ϋ ϋ ϋ =口 ‘ 經 濟 部 智 慧 財 產 局 員 工 消 費 合 社 印 製 478098 A7 B7 五、發明說明( 仍有需求。 發明目的及概述: 先前技術上的缺點可由沉積薄膜的方法,例如利用包 括含矽之氣體和碳氫氣體之氣體混合物在基板上沉積阻 障層而加以克服。此方法一般包含提供氣體混合物到反應 室中,由此氣體混合物產生電漿,並以電漿在基板上沉積 薄膜。適當的碳氫氣體包括具有一般分子式CYH 、w 烴基。適當的燒烴基包括甲烷(CH4)、乙烷(c2H、二w (C3Hs)、丁烷(CUHio)等等。適當的含矽氣體包括 —、 ^ 一般分 子式SiyH2y + 2之矽烷。氣體混合物可選擇性的包厶— u °思氣(Ar) 流動以促進氣體分解。於一較佳實施例中,電装.古+ ’向治、度 電漿。氣體混合物之碳:矽之比例最好大於1 :〗 、 吏進一 步可為約3 : 1和8 : 1之間。結果薄膜之c : Si > ^ @ ^ 、把圍仗 5 5 : 4 5到6 5 · 3 5。本發明之實施例的方法可沉積具有整 體堆疊之介電常數約為4.0或者更小。此類堆疊包括了具 有介電常數為3.0或更小的阻障層。依照本發明之整體堆 疊和阻障層沉積可用於雙層鑲嵌結構之内金屬介電戶。 本發明之方法可在電腦可讀取之儲存媒體中以電腦 可讀取之程式加以具體化,以便導引基材處理系統之操 作。此類系統可包括一製程反應室;一電漿產生系統一 基板承載座;和一氣體輸送系統以便將氣體導入反腐室 中。此電腦可讀取之程式包括操作基材處理系統之指令, 以便依照上述實施例的方法在製程反應室中於基板上來 第5頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) #裝 丹填寫士 訂-------- 經濟部智慧財產局員工消費合作社印製
成薄膜。 本發明的具體實施例和其優點與特徵將結合下面的 詳細說明和附圖加以詳細描述。 式簡單說明: 第1 A圖為依照本發明之高密度化學氣相沉積系統之一實 施例的簡化圖形; 第1 B圖為結合第丨A圖之例舉c Vd製程反應室中的氣體 環之簡化截面示意圖; 第1 C圖為結合第丨A圖之例舉c VD製程反應室中的螢幕 和光筆的簡化示意圖; 第1 D圖為用於控制第1 a圖之例舉CVD製程反應室的製 程控制電腦程式產品之流程圖; 第2圖為依照本發明之方法所製造之半導體元件之簡化截 面示意圖; 第3 (a)圖為依照本發明之方法之第一實施例的流程圖; 第3 (b)圖為依照本發明之方法之第二實施例的流程圖; 弟4(a)圖為依照本發明之方法之第一實施例的整體堆疊沉 積的截面示意圖; 第4 (b)圖為依照本發明之方法之第二實施例的整體堆疊 沉積的截面示意圖; 第5(a)-5(h)圖為依照本發明之一實施例經歷整合雙層镶 嵌製程以形成積體電路之部分截面示意圖; 第6圖為依照本發明之方法的實施例例舉了實驗設計之圖 第6頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂--------Γ線· 經濟部智慧財產局員工消費合作社印製 478098 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 形組合;以及 第 7圖為依照本發明之實施例中薄膜沉積之折射率和 CH4/SiH4比例之函數圖形。
圖號對照說明: 10 高密度電漿化學氣相沉積系 13 反應室 14 16 電漿製程區 17 18 基板支撐元件 19 20 靜電吸盤 23 2 4 冷卻板 25 26 雙葉片節流閥 27 28 分子渦輪幫浦 29 30 側面線圈 3 1C 3 2 A,B 匹配網路 33 3 7 氣體環 38 41 本體空間 45 50 遠端電漿清潔系統 51 5 3 反應腔 54 55 輸送管路 56 5 7 上半部負載位置 60 61 處理器 62 65 陰極射線管 66 70 真空系統 80A 統 圓頂 基板 基板接收部分 加熱板 節流閥本體 閘極閥 頂端線圈 偏壓產生器 氣體輸送系統 氣體傳送線路 頂端噴嘴 遠端微波產生器 清潔氣體進料口 下半部製程位置 系統控制器 記憶體 光筆 來源電漿系統 請 先 閱 讀 背 面 之 注 意 事 項 1: 再!參裝 i
I I η i I I i 第7頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 478098 A7B7 90 電腦程式 i、發明說明( 80B 偏壓電漿系統 發明詳細說明: I.簡介 本發明利用包含碳氫氣體和含矽氣體之氣體混合物 於電漿CVD製程中沉積低k阻障層。此氣體混合物也包 括了惰性氣體,例如氬氣(Ar),以增進氣體之分解。碳氫 氣體一般只含有碳(C)和氫(H)於分子式CxH:m中,其中X 和 m為整數。此類碳氫化合物包括具有一般分子式為 C X Η 2 x +2之燒煙基。典型的燒煙基包括甲燒(C Η4)、乙少元 (C2H6)、丙烷(c3H8)、丁烷(c4Hi〇)、戍烷(C5H12)、和己烷 ((^Ημ)等等。這些化合物並不昂貴,可容易的得到高純度 (例如電子或CMOS等級),非獨佔的,且在室溫和室壓下 為氣體。其它的碳氫化合物包括烯屬烴或炔屬烴例如乙炔 (C2H2)也可做為含有碳氫之氣體。在此的碳氫也包括了不 同的碳氫化合物,烯屬烴,炔屬烴和其它碳氫化合物的所 有同分異構物。另外,含有碳氫之氣體可為含有兩種或多 種不同型式之碳氫化合物之混合。 於本方法中,含矽之氣體一般為氫化矽一般具分子式 SiyHm,其中7和m為整數。氩化矽包括了具有一般分子 式為SiyH2y + 2芩矽烷。此矽烷包括甲矽烷、乙矽烷 (SuH6)、丙矽烷(ShH8)、和丁矽烷(Si4HM。其它的含矽 氣體包括画切如四氟化邦iF〇’和碎氨燒類。含珍氣 體也可為兩種或多種不同型式的氳化矽或其它矽化合物 第8頁 ------P--1 丨裝--- (請先閱讀背面之注意事項再填寫本頁) 訂--------r 線-111^-- 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 478098 A7 五、發明說明( 經濟部智慧財產局員工消費合作社印製 之混合。 於屯水中’含有碳氳和含有矽之氣體乃分解並反應而 在基板之表面域Si(H低k阻障層。於一特別的實 施例中’電聚為高密度 β门也人私戒(HDP),其將可容易的將反應 氣體分解。當形成包括内全凰 # 円金屬w電層(IMD)之整體堆疊 時,由於HDP-CVD製昶可、一在从田 心了 >儿積堆暨的其它鍍層如F s G 層,因此 HDP-CVD Μ Ά ΙΤΟ R 為阻障層沉積所需要的製程。 HDP-CVD沉積低k阻陸展^ 二4· 貝似 丨草看和FSG兩者將可增強製程之整 體性,因為低k阻障層一般位於堆疊中之FSg層的上面或 下面阻障層之介電常數和其它特性與碳原子在阻障層中 的相對含里有關。一般來說,碳含量愈高則薄膜有較低的 k值。如同下面所要描述的,適當的調整氣體混合物中碳 對石夕的比例將可控制沉積薄膜之破含量。碳對矽的原子比 例(C : Si比例)最好在55 : 45和65 : 3 5之間。 再者’於產生的Si-C-H薄膜中,一般具有相當低的 氫含量’最好是小於5 0 %。例如,如b L Ο K之S i - C - Η薄 膜中’其利用TMS來沉積,一般含有約45 %原子百分比 之氫。此結果並不令人意外,因為TMS中每個破原子含 有3個氫原子。於某些應用中,阻障層中較大含量的氫會 產生漏電流。於某些應用中則會在氣體混合物中控制氫的 含量。於此方法中,氫的含量可利用每個碳原子具有較少 之氫原子的碳氫化合物而加以降低。例如甲燒(CH4)中每 個碳原子有四個氫原子,而乙烷(C2H6)中每個碳原子有三 個氫原子。另外,乙炔(c2h2)中每個碳原子只有一個氫原 請 先 閱 讀 背 面 之 注 意 事 項 本 頁 訂 i 第9頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 478098 A7 五、發明說明( 子。含矽氣體也可以類似方式加以選擇而使得每個矽原子 具有較少的氫原子。 、 UL盖材製j呈系統簌例 第1A圖例舉了依照本發明之高密度電漿化學氣相沉 積(HDP-CVD)系統10沉積介電層之實施例。系統1〇包栝 了反應室13、真空系統70、來源電漿系統8〇a、偏壓電 漿系統80B、氣體輸送系統33和遠端電漿清潔系統5〇。 反應室13之上半部分包括了圓頂14,其係由陶瓷介 電材料如氧化鋁或氮化鋁所製成。圓頂14定義了電漿製 程區16之上半邊界。電漿製程區16之下半部邊界則由基 板17的上半表面和基板支撐元件18所定義。 加熱板2 j和冷卻板2 4覆蓋在圓頂1 4之上並和其 熱耦合。加熱板23和冷卻板24可控制圓頂之溫度在约1〇〇 C到20〇t範圍間約± 1(rc。如此可讓圓頂溫度適用於不 同的製程條件。例如,在清潔或蝕刻製程時圓頂之溫度要 比沉積製程時的溫度高。精確控制圓頂溫度也可降低反應 罜中的剥離或粒子總數並改善沉積鍍層和基板之間的黏 著性。 請 先 閲 讀 I- 項 注
本 ·
頁! I 訂 讎 £ 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 反應室13之較低部分包括了本體元件22’其結合了 反應室和真空系統。基板支撐元件18之基底部分Η乃放 本aa元件2 2上且和其形成連續的内部表面。基板經 由機械控制葉片(未顯示)經由反應室13之側面的插入/移 除開口(未顯示)傳送或送出反應室13。上昇栓(未顯示)在 馬達(亦未顯示)的控制下可在上半部負載位置57由機械 第10頁
A7 五、 經濟部智慧財產局員工消費合作社印製 發明說明() 控制葉片上昇並接著降下其2: 1 降下基板到下半部製程位置56,其中 基板乃放置於基板支撐元件18之基板接收部分19之上。 基板接收部分19包括靜電吸盤在基板進行製程時將基 板固定在基板支撐元件18 t。认 土、 δ上於一較佳實施例中,基板 支撐元件18由氧化鋁或鋁陶瓷材料所製成。 真丄系統7 0包括了節流閥本體2 5,其具有雙葉片節 流閥26並貼附到閘極閥27和分子渴輪幫浦/28。值得注意 的是節流閥25提供了最低的氣體流動阻礙,且容許對稱 性的作動,如共同申請案,共同歸屬之美國專利申請案號 碼08/574,839,於1995年12月12日所描述的,在此並 列為參考文件。閘極閥27可由節流閥本體25獨立幫浦 2 8,並可經由節流閥26完全的開啟來限制排放氣體流量 進而控制反應室壓力。節流閥、閘極閥和分子渦輪幫浦的 配置可穩定的控制反應室壓力在約lmillitorr到約2Torr 之間。 來源電漿系統8 0 A包括了放置於圓頂1 4之上的頂端 線圈29和側面線圈3 0。一對稱性的接地屏蔽(未顯示)則 可降低線圈之間的電性耦合。頂端線圈29由頂端來源射 頻(SRF)產生器31A供給動力,而側面線圈30則由側面 SRF產生器31B供給動力,因而可讓每個線圈具有獨立的 功率位階及頻率。此雙線圈系統可控制反應室1 3中放射 離子之密度,因而改善了電漿之均勻性。側面線圈3 0和 頂端線圈29 —般乃感應式驅動,其並不需要一補償的電 極。於一特定實施例中,頂端來源射頻產生器3 1 A提供了 第11頁 -----— 請 先 閱 讀 背 S 之 注 意 事 項再, % 本 頁 I I* I I I 訂 i 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
五、發明說明() 經濟部智慧財產局員工消費合作社印製 478098 Α7 到達25 00watt之2MHz射頻功率,且側面來源射頻產生器 3 1B提供了到達5000watt且在ι·8和2.2MHz之間,一般 額定為2MHz之射頻功率。頂端和側面射頻產生器之操作 頻率可由額定操作頻率(例如分別為i.7-1.9MHz和1.9-2.1 Μ Η z)加以補償而改善電漿產生效率。 偏壓電漿系統80Β包括了偏壓rf(brf)產生器31C和 偏壓匹配網路32C。此偏壓電漿系統8〇B電容性的耦合基 板邵分1 7到本體元件22,其作用如同額外的電極。此偏 壓電漿系統80B可加強由電漿來源系統8〇A所產生之電裝 種類(例如離子)傳輸到基板之表面。BRF產生器3 1C提供 了頻率範圍從約1 -1 00之射頻功率。於一特定實施例中, BRF產生為jIC提供了在13.56MHz時大到5000瓦之射 頻功率。 射頻產生器3 1 A和3 1 B包括了數位控制的合成器和操 作在頻率範圍約1 · 8到約2 · 2 Μ Η z之間。如同熟習此項技 術的人士所瞭解的,每個產生器包括了射頻控制電路(未 顯示出來)以測量來自反應室和線圈之反射功率回到產生 器,並調整操作頻率以得到最低的反射功率。射頻產生器 一般設計操作成具有特性阻抗為5 0歐姆之負載。射頻功 率可反應和產生器比較具有不同特性阻抗之負載。如此可 降低轉換到負載之功率。另外,從負載反應到產生器的功 率可能超載並傷害到產生器。由於電漿之阻抗範圍從小於 5歐姆到超過900歐姆,除了其它因素之外並和電漿離子 密度有關,且由於反射功率可為頻率之函數,因而依照反 第12頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
五 經濟部智慧財產局員工消費合作社印製 A7 B7 、發明說明( 射功率調整產生器頻率可增加從射頻產生器轉換到電装 的功率且保護產生器。另一個降低反射功率及改善效率的 方法為利用匹配網路。 匹配網路32A和32B將分別以線圈29和30來匹配產 生器3 1 A和3 1 B之輸出阻抗。射頻控制電路可改變位於匹 配網路中的電容值來調整兩個匹配網路,以便負載變化時 匹配產生器的負載。當反應來自負載的功率回到產生器並 超過某個界限時,射頻控制電路可調整匹配網路。一個提 供固定匹配且有效停止射頻控制電路調整匹配網路的方 法為:設定反射功率限制超過反射功率之任何期望值。如 此可在某些情況下固定匹配網路於其最近的條件以穩定 電漿。 其它的測量方法也可穩定電漿。例如,射頻控制電路 可用來決定傳送到負載(電漿)之功率並增加或減少產生器 之輸出功率以維持傳送之功率在沉積鍍層時保持固定。 氣體傳送系統33從數個來源34A-34F來供應氣體, 反應室經由氣體傳送線路38來處理基板(只有顯示某些部 分)。如熟習此項技術的人士所能瞭解的,用於來源34A-34F之實際的來源和實際連接傳送線路38到反應室13可 因為在反應室1 3中所執行的沉積和清潔製程而改變。氣 體經由氣體環37及/或頂端噴嘴45將氣體導入反應室 。第1B圖為反應室13簡化的,部分的截面視圖顯示氣 體環3 7之細節。 於一實施例中,第一和第二氣體來源,34 A和34B, _ 第13頁 本紙張尺度週用中國國家標準(CNS)A4規格(210 X 297公爱1 --
478098 Α7 Β7 經濟部智慧財產局員工消費合作社印製 五、發明說明() 及第一和第二氣體流控制器,35 A’和3 5B’,經由氣體傳送 線路38(只有顯示某些部分)提供氣體到氣體環37中的環 内空間36。氣體環37具有多個第一來源氣體喷嘴39(為 了例舉之目的只顯示一個)以提供均勻的氣體流到基板 上。噴嘴長度和噴嘴角度可加以改變以便在單獨的反應室 中某個特別製程時可修改均勾度的輪廓和氣體利用效 率。於一較佳實施例中,氣體環3 7具有1 2個由氧化鋁陶 瓷所製成的來源氣體噴嘴。 氣體環37也具有多個第二來源氣體噴嘴40(只顯示一 個),於一較佳實施例中此喷嘴比第一來源氣體噴嘴3 9略 短且和其共平面,且於一實施例中從本體空間41中接收 氣體。於某些實施例中並不希望將氣體注入反應室13之 前將不同種類的氣體混合。於其它的實施例中,來源氣體 可在注入反應室13之前由本體空間41和氣體空間36之 間所提供的孔洞(未顯示出來)將其混合。於一實施例中, 第三和第四氣體來源34C和3 4D,及第三和第四氣體流控 制器,35C’和35D’,經由氣體傳送線路38將氣體供應到 本體空間中。氮氣來源34F提供氮氣(N2)到氣體環之第二 來源氣體喷嘴40和反應室以利用氮氣電漿來進行製程步 驟。另外,氮氣也可經由其它額外的入口,如經由氣體流 控制器3 5 F ’之頂端的喷嘴4 5而傳送到反應室中。附加的 閥門,如43B(其它的閥門則未顯示)則可關閉從質流控制 器流到反應室中的氣體。 當利用易燃性、毒性、或具腐蝕性之氣體的實施例 第Η頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
B7 五、發明說明() 田/儿積 < 後需要將氣體傳送線路中的剩餘氣體去除。 此去除步驟需要利用三通之閥門,例如閥門43b,其可隔 離反應室13和傳送線路38A並將傳送線路38八之出口轉 向真空的前面線路44。如第1A圖中所示的,其它類似的 閥門,例如43 A和43 C,也可結合其它的氣體傳送線路。 此類三通閥門可儘量置放於反應室13旁邊,以便降低氣 m傳送、’泉路之未排放氣體體積(在三通閥門和反應室之 間)。另外’二通(開-關)閥門(未顯示出來)則放置在質流控 制益(MFC”)和反應室之間,或氣體源和mfc之間。 經濟部智慧財產局員工消費合作社印製 再度參閱第1A圖,反應室13也具有頂端喷嘴45和 頂端出口 4 6。頂端噴嘴4 5和頂端出口 4 6可獨立控制頂端 和側面氣體流動,如此可改善薄膜之均勾度並容許薄膜沉 積和摻雜參數的細微調整。頂端出口 4 6為環繞頂端噴嘴 45之環狀開口。於一實施例中,第一氣體來源3 4 a供應 來源氣體噴嘴3 9和頂端噴嘴4 5。來源噴嘴M F C 3 5 A,控制 傳送到來源氣體噴嘴39之氣體量,且頂端噴嘴MFC3 5 A 控制傳送到頂端氣體喷嘴4 5之氣體量。類似地,兩個 MFC35B和35B’將用來控制頂端出口 46和第二來源氣體 噴嘴40來自相同來源,如來源34B之氣體流量。供應到 頂端喷嘴45和頂端出口 46之氣體可在氣體流入反應室1 3 之前將其分離,或者此氣體可在其流入反應室13之前在 頂端空間4 8中加以混合。相同氣體的不同來源可用來供 應反應室的不同部分。 一遠端產生微波之電漿清除系統5 0可用來週期性的 第15頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 478098 A7 B7 五、發明說明() 清除反應室元件上的沉積殘餘物。此清潔系統包括了遠端 微波產生器5 1從清潔氣體來源3 4 E於反應腔5 3中產生電 漿(例如氟分子、三氟化氮、其它的碳氟化物或者相同的 化合物)。此電漿所產生的反應性材料乃經由輸送管路5 5 經由清潔氣體進料口 54傳送到反應室1 3中。用來包含清 潔電漿之材質(例如反應腔53和輸送管路55)必需能夠抵 抗此電漿的侵蚀。反應腔5 3和進料口 5 4之間的距離應儘 量的短,因為所需之電漿材料的濃度可能因為距反應腔53 過遠而降低。於遠端反應腔中產生清潔電漿可容許使用較 有效率的微波產生器’並且不需要讓反應室元件承受當電 漿同步形成時由於成長放電所產生的溫度、輻射、或者撞 擊。結果較為敏感的元件如靜電吸盤20就不需要如同步 電漿清潔製程所需的將其覆蓋假的晶圓或做其它的保 護。 經濟部智慧財產局員工消費合作社印製 系統控制益6 0控制系統1 0的操作。於一較佳實施例 中,控制器60包括了記憶體62,例如硬碟機,軟碟機(未 顯示出來),和耦合到處理器61之界面卡座(未顯示出 來)^界面卡座可包含單板電腦(SBC)(未顯示出來),類比 和數位輸入/輸出板(未顯示出來),界面板(未顯示出來), 和步進馬達控制器板(未顯示出來)。系統控制器符a & Modular European(VME)之標準,其定義了界面板、界面 卡機殼、和連接器尺寸和型式。VME標準也定義了匯流排 結構為16位元資料匯流排和24位元定址匯七妯 < 、, 制器31以儲存在硬碟的電腦程式或經由其它的電腦程式 第16頁
478098 A7 B7 五、發明說明( 經濟部智慧財產局員工消費合作社印製 (例如儲存在可抽取磁碟中)加 定了例如時序、氣體混合、射頻二腦… 數。使用者和系統控制器之間 :匕的製权參 d為勞幕,例如陰極 射線管(CRT)65 ’和光筆66,如同 J弟1 C圖中所描繪的。 第1C圖所例舉的為結合 卜 罘1A圖又CVD製程反應室 範例所使用的使用者界面之部分系統之範例。系統控制器 6〇包括了耦合到電腦可讀取記憶體62之處理器61。記憶 體6 2取好為硬碟機,但記恃_ a 忑U随62也可為其它種類的記憶 體,如ROM、PROM或其它。 系統控制器60被儲存於電腦可讀取格式之記憶體Μ 中的電腦程式63所控制操作…腦程式規定了例如時 序、溫度、氣體流動、射頻功率等級和其它的製程參數。 使用者和系統控制器之間的界面則為CRT勞幕65和光筆 66,如同第圖中所描繪的。於一較佳實施例中,則使 用了兩個螢幕65和65A及兩支光筆66和66八,其中之— 放置於無塵室之牆壁(65)上給操作者使用,另一則在牆壁 之後(6 5 A)給設備工程師使用。兩個螢幕同時顯示相同的 訊息,但只有一支光筆(例如66)是可作用的。為了選擇特 別的操作螢幕或功能,操作者可碰觸顯示螢幕並按下光筆 上的按鍵(未顯不)。被碰觸的螢幕面積則會因應由光筆所 選擇的而例如改變顏色或顯示新的選單。 電腦程式碼可以任何傳統上電腦可讀取的程式語言 加以撰寫,如68000組合語言、c、C + +、FORTRAN、Pascal 或其它語言。適當的程式碼可利用傳統的文字檀編輯器輸 第17頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱" 請 閱 背 面 之 注 意 項 寫裝t i I 訂
/δ曙 Α7
五、發明說明() 經 濟 部 智 慧 財 產 局 員 X 消 費 合 作 社 印 製 入成單個榣案,或數個檔案形式,且儲存或包含在電腦 可使用的媒體中,如電腦的記憶體系統。假如所輸入的程 式又字碼為高階語言,則需將此程式碼加以編譯 (compile),編譯後之機械碼則和預編譯的視窗程式庫常式 的目的碼連結。為了執行連結後的編譯目的碼,系統使用 者利用此目的碼並讓電腦系統載入到記憶體中。cpu從記 憶體讀取此碼並執行以實現程式中所指定的工作。 第1 D圖顯不了電腦程式9 〇之控制結構的體系方塊 圖。使用者利用光筆界面在CRT螢幕上所顯示的選單或者 顯π内容輸入製程組別數目和製程反應室數目到製程選 擇副程式73中。製程組別已預定了需要執行特定製程所 耑的I程參數,並由預先定義之組別數目加以確認。製程 選擇益副程式73可確認⑴於多反應室系統中所需的製程 反應室,及(ii)執行特定製程所需之製程參數以便操作製 程反應室。而執行特定製程之製程參數則有關於例如製程 氣體組成和流動率、基板溫度、壓力、電裂條件如射頻功 率等級’和反應室圓頂溫度,且以配方的形式提供給使用 者。由配方所指定的參數則利用光筆/CR丁螢幕界面加以 輸入。 製程訊息由系統控制器60的類比和數位輸入板所監 視,且控制製程的訊息也由系統控制器6〇之類比和數位 輸出板來輸出。 製程定序副程式75包含了程式碼以接受可識別之製 程反應室和來自製程選擇器副程式73之製程參數組以控 第18頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱)""—------ 請 先 閱 讀 背 & 之 注 意 事 項
填I寫裝 本衣 頁I w I I 訂 «
B7 五、發明說明() U不同製程反應室之操作。多個使用者可輸入製程組數目 和製程反應室數目,或者單一使用者可輸入多個製程組數 目和製程反應室數目;定序副程式75則依所選擇之製程 安排成所需的步驟。定序副程式75 *好包括程式碼心 行下列步驟:(i)如反應室在使用時監視製程反應室之_ 作’(Π)決定使用中之反應室中將執行什麼製程,(丨丨丨)以 么匕 / 士 月匕使用之製程反應室和所要執行的製程型式為基礎來執 行所需的製程。傳統上監視製程反應室的方法如循序詢問 (Polling)也可加以使用。當排定要執行的製程 a、_ ^ 疋序副 程式75之設計將考慮下列條件:,,使用者輸入之每個特殊 需求的時間,或一選定製程所需的製程條件和正在使用中 之製程反應室之條件互相比較,或決定排程優先順序時系 統程式設計師所要包括的任何其它相關因素。 經濟部智慧財產局員工消費合作社印製 在定序副程式75決定哪個製程反應室和製程條件组 合接著要執行時’定序副程式75先啟始化製程的執彳于並 將特別的製程條件組合參數傳到反應室管理副程 中,其依照定序副程式75所傳送之製程條件組合而#制 了反應室13和其它可能的反應室(未顯示出來)之多 ^ 程處理工作。 反應室元件副程式之範例為基板放置副程式8 〇, 表程 氣體控制副程式83,壓力控制副程式85,和兩將1 和%漿控制副 程式87。對於熟知此項技術的人將會瞭解,依反麻室 ^ 所要執行的製程而可包括其它反應室控制副程式。& ^ _ 時,反應室管理副程式7 7 a依照所要執行之特別 π別的製程條 第19頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 478098 A7 -----—__B7 五、發明說明() 件組合,選擇性的排程或呼叫製程元件副程式。 ^ 理副程式77a則和定序副程< 75安排所要執行的製:$ 應主和製程條件組合相同的方式來安排製程一 I; w 件田丨]程 式。典型地,反應室管理副程式77a包括 J广夕丨J步驟:於 視不同的反應室元件,以所要執行的製程條件組合之製= 參數為基礎來決定需要執行的元件,及對應於監视和所^ 定的步驟而使得反應室元件副程式之執行。 、 特別的反應室元件副程式操作現將參閱第1 A和 圖加以描述。基板放置副程式14〇包含 Γ私式碼以控制反 應S7L件而用來載入基板到基板支撐元件18 上。基板 放置副程式140也可控制基板從例如多 人&至糸統中的 PECVD反應器或其它的反應器在製程完成之後轉移到反 應室1 3之中。 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 製程氣體控制副程式83具有程式碼以控制製程氣體 組成和流動率。副程式83控制了安全關閉閥之開= 且使質流控制器滑上/滑下以得到所需的氣體流動率。所有 的反應室元件副程式,包括製程氣體控制副程式83,均由 反應室管理副程式77a所產生。副程式83由反應室管理 副程式7 7 a接收到有關氣體流率資料之製程參數。 典型地,製程氣體控制副程式83開啟氣體供應線路, 並重覆以下步驟:⑴讀取所需的質流控制器,(ϋ)比較此 讀數和由反應室管理副程式77a所接收到之流率,(丨⑴若 有需要時則調整氣體供應線路之流率。再者,製程氣體控 制副程式83可包括監視不安全之氣體流率步驟,並在偵
本紙張尺度適用中國國家標準(CNS)A4規格(21〇 χ 297公楚 478098 A7
測到不安全的情況時啟動安全關閉閥門。 於某些製程中,惰性氣體如氬氣將在反應性製程氣體 流入反應室之前先導入反應室13中以穩定反應室的= 力。對於這些製程來說,製程氣體控制副程式83可規劃 成包括流入惰性氣體到反應室1 3中一段時間的步驟以移 疋反應罜中的壓力。上面所描述的步驟接著將加以執行。 另外’當製程氣體由液態前導物所蒸發時,例如四氧 乙基矽(TEOS),則製程氣體控制副程式83可包括在氣泡 組合系統中傳送例如氦氣氣泡到液態前導物或導入氦氣 到液態汪入閥的步驟。對於此型式之製程來說,製程氣體 控制副程式83可調節傳送氣體之流動,發泡器中的壓力, 和發泡器的溫度以得到所需之製程氣體流率。如上面所討 論的,所需製程氣體流率傳送到製程氣體控制副程式Μ 以作為製程參數。 再者’製程氣體控制副程式83包括了進入含有製程 氣體流率數值之表格,以便由所需之製程氣體流率而得到 耑要之傳送氣體流率,發泡器壓力,和發泡器溫度。一旦 知到所為之數值,傳送氣體流率,發泡器壓力和發泡器溫 度將加以監視並和需要的數值比較並因而調整。 製氣體控制副程式83也可用獨立的氦氣控制(IHc) 副程式(未顯示出來)經由晶圓吸盤中的内部和外部通路來 控制熱父換氣體如氦氣之流動。氣體流動將和吸盤上的基 板熱耦合。於一典型的製程中,晶圓以電漿和化學反應加 熱並形成鍍層,且氦氣經由吸盤而將基板冷卻,或者也可 第21頁 本紙張尺度顧中國國家標準(CNS)A4規格(210 X 297公爱) (請先閱讀背面之注意事項^^寫本頁) l·---訂--------Γ線j 經濟部智慧財產局員工消費合作社印製 478098 A7 _— B7__ 五、發明說明() 為水冷卻。如此可保持基板在一溫度之下而不會損壞基板 上已存在的特徵線路。 壓力控制副程式8 5包括了程式碼以調節反應室之排 放口部分的節流閥2 6開口大小,進而控制反應室1 3中的 壓力。至少有兩種基本的方法可以節流閥來控制反應室之 壓力。第一個方法依靠和反應室壓力有關的特性,除了別 的以外,還包括全部製程氣體流動,製程反應室的大小, 和抽取能力等。第一個方法將節流閥2 6設定在固定的位 置。設定節流閥2 6在固定的位置最終可產生穩定的壓力。 另外’反應室壓力可用例如壓力計加以測量,且節流 閥2 6的位置可依照壓力控制副程式8 5加以調整,假定控 制點係位於氣體流動和排放能力所設定的邊界值之内的 話。前述的方法可以產生快速的反應室壓力調整,因為結 合後述方法的測量、比較和計算並未加以使用。前述的方 法可用於不需要精確控反應室壓力的情況,而後述的方法 則用於需要精確、重覆且穩定壓力的情況,例如在沉積鍍 層時。 當使用塵力控制副程式85時,則所需的(或者目標) 壓力等級由反應主管理副程式7 7 a做為其中一項參數。壓 力控制副程式8 5讀取一或多個連接到反應室中的傳統壓 力計而測量反應室1 3中的壓力;比較測量值和目標值; 由相當於目標壓力之壓力儲存表格得到比例,整合和差別 (PID)值’依照壓力表格所得到的p ID值來調整節流閥值 26。另外,壓力控制副程式85可開啟或關閉節流閥26到 第22頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂--------1線 經濟部智慧財產局員工消費合作社印製 B7 五、發明說明() 一特別的開口大小以調節反應室1 3中的壓力到所需的壓 力或壓力範圍值。 壓力控制副程式87包含了程式碼以控制射頻產生器 3 1 A和3 1 B之頻率和功率輸出設定和調節匹配網路3 2 A和 3 2 B。電漿控制副程式8 7,和先前所描述之反應室元件副 程式一樣,均由反應室管理副程式77a所產生。 結合某些或所有上述之子系統和程序的範例系統為
ULTIMAtm 系統,由 APPLIED MATERIALS,INC.,of Santa Clara,California所製造,其架構將可實現本發明。此類 系統的更進一步細節則揭露於美國專利申請號碼 08/679,927中’於1 996年7月15日所申請,名稱 為” Symmetric Tunable Inductively-Coupled HDP-C VD
Reactor,’’同時 Fred C. Redeker,Farhad Moghadam,Hirogi
Hanawa, Tetsuya Ishikawa, Dan Maydan, Shijian Li, Brian Lue, Robert Steger, Yaxin Wang, Manus Wong and Ashok Sinha列為共同發明人,此份揭露書將列為參考文件。本 發明所描述的系統僅為例舉之目的。熟知此項技術的人將 經濟部智慧財產局員工消費合作社印製 可選擇適當的傳統基板製程系統和電腦控制系統以完成 本發明。 III.範例钴構 第2圖例舉了積體電路200之簡化截面示意圖,並依 照本發明而加以製造。如圖中所示的,積體電路2 〇 〇包括 了 NMOS和PMOS電晶體203和206,並且彼此由區域碎 _____ 第23頁 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公餐) -- —-- 478098 A7 B7 五、發明說明() 氧化(LOCOS)或其它技術所形成的場氧化區域220所分隔 及電性隔離。另外,電晶體2〇3和206可由凹槽溝渠隔離 (未顯示出來)所分隔或電性隔離,此時電晶體203和206 皆為NMOS或PMOS。每個電晶體203和206都包含了源 極區2 1 2,汲極區2 1 5和閘極區2 1 8。 金屬前之介電層(PMD)221將電晶體203和206與金 屬層240分開且以金屬層24〇和電晶體之間的接觸洞224 連接。金屬層240為四層金屬層240,242,244和246其 中的一層,並包括在積體電路2〇〇中。每一金屬層24〇, 242 ’ 244 ’ 246和鄰近之金屬層則分別由内金屬介電層 (IMD)227,22 8或229所分隔。鄰近金屬層則以選擇性的 介層洞226加以連接。沉積於金屬層246之上的則為平坦 化保護層230。 吾人應可瞭解此簡化的積體電路200僅為例舉之目 的。對於熱知此項技術的人也可利用和本發明有關的其它 積體電路如微處理器、特殊應用積體電路(ASIC)、記憶元 件、和類似的元件製造來完成。再者,本發明也可用於 PMOS、NMOS、CMOS、雙極電晶體(bipola〇 或 BiCM〇s 元件。 IV·阻障層沉積蓺例 本發明提供了低介電常數層之沉積並可特別應用於 阻障層。本方法可參考第3(a)、3(b)、4(a)和4(b)圖而得 到最佳的瞭解。第3(a)和3(b)圖描繪了依照本發明之第一 第24頁 本紙張尺度適用中國國家標準(CNS)A4規格(21G X 297公釐) '"""" -~—
請 先 閱 讀 背 面 之 注 意 事 項 再i- J裝 頁I 訂 4 經濟部智慧財產局員工消費合作社印製 478098
經濟部智慧財產局員工消費合作社印製 和弟一貫施例的方法々、、幸避fSj。g d / w ⑷和4(b)圖表示分別 依,、,、弟 3(a)和 士·、,土 ⑼圖之万法所貫施的不同積體堆疊沉積的 實施例。 田弟$施例之方法最好同時參考第3(a)和4⑷圖而得 到最佳的瞭解。第3(a)圖描緣了沉積具有低k阻障層_ 之薄膜4〇0的方〉去300流程。此方法由步驟302開始。於 步騾J〇4時一含碳氫和含矽之氣體混合氣體流將導入製程 反應室如反應室13纟中。典型地,此碳氫氣體為燒烴基 且含碎氣體切燒。混合氣體可選擇性的包括惰性氣體。 反應室壓力一般維持在5和l〇millitorr之間。反應室壁之 溫度則一般維持在1 2 〇艽和1 6 〇艺之間。 阻障層404所需的特性如介電常數k等,將和鍍層中 碳和矽原子之比例有關。如上面所描述的,所沉積之阻障 層404之碳對矽之比例(C : Si)最好在約55 : 45和約65 : 3 5之間若阻障層中之c : s i之比例太低,則介電常數也 曰夂得很大,例如C : S1比例約5 0 : 5 0時介電常數約為 7.0。另外,當鍍層中之c : Si比例降低時,阻障層之漏電 流會增加。鍍層中之c : Si原子比例則和混合氣體之c : si原子比例有關。一般來說,混合氣體中之α : si比例大 於1 : 1,且最好在約3 : 1和約8 : 1之間。一般在混合氣 體一固定的C : Si比例中,較高的基板溫度將沉積較低的 C ·· Si比例鍍層。因此,在高基板溫度沉積阻障層時,混 合氣體中將需要較高的C : Si比例。 在混合氣體中之碳:矽比例和碳氫氣體:含矽氣體流 第25頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
經濟部智慧財產局員工消費合作社印製 478098 A7 __ B7 五、發明說明() 率之比例和氣體之化學計量有關。一般說來,含有碳氫之 氣體CxHn提供的流率為A,且含矽氣體SiyHm提供的流率 為S,則碳:矽比例為 C : Si = Ax : Sy 於一特定之實施例中,烷烴基為甲烷(CH4)且矽烷為 甲矽烷(SiH4)。惰性氣體如氫氣也會提供。例如,若約1 : 1 之 C · Si 比例需要 CH4(x=l)且 SiH4(y=l),貝1J CH4 ·· SiHU 流率比例約為1 : 1。另外,為了以乙烷(C2H6,y = 2)和SiH4 之混合氣體得到C : Si比例約為1 : 1,C2H6 : SiH4之流 率比例約為1 ·· 2。對於第1A圖中所描繪的反應室而言, SiH4之沉積氣體的流率範圍從1 〇到3 〇每分鐘標準立方公 分(seem),CH4 從 60 到 lOOsccm,且 Ar 從 0 到 l〇〇sccm。 混合氣體在步驟3 06激發以形成電漿,並在例如碎之 基板402上沉積阻障層404。於一較佳實施例中,此電漿 為高密度電漿(HDP),也就是說具有離子密度約為1〇n離 子/cm3或者更大的電漿。另外,較低密度的電装,例如 1〇8-1〇9離子/cm3,則可用於PECVD製程中。電漿可以任 何適當的電漿產生系統所激發,例如第1 A圖之來源電裝 系統8 0 A及/或偏壓電滎:系統8 0 B。例如,於一 η d P反靡 室如反應室13中,1 500和4800watts之間的射頻功率乃 加到頂端線圈29且3000和4800watts之間的射頻功率則 加到側面線圈30。 通常只有來源射頻功率用於電漿之產生、薄膜、沉## 基板溫度控制。一般沉積製程不用偏壓功率的原因有兩 第26頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ----------^---裝-----r---訂--------1 線 (請先閱讀背面之注意事項再填寫本頁) 478098 經濟部智慧財產局員工消費合作社印製 A7 • """" B7 五、發明說明() 個首先%桌中含有之氫原子很容易為碳氫化合物和矽 燒所分離並在例% HDp之電漿中離子化。此氫離子將被 晶圓之偏壓所激發並撞擊到晶圓。足夠能量的撞擊可將氫 原子植入晶圓中。氫原子植入晶圓中一般是不樂見的,因 為氫原子會很谷易的擴散到基板上所形成的積體電路元 件…構中例如,積體電路2 0 0之閘極區2 1 8 ,並產生此 儿件熱載子”衰退。第二,假如氬氣出現在電漿中且晶 圓加以偏|貝ij氬離子將以_能量撞擊晶圓。此具能量的 撞擊將從晶圓濺鍍出材料且此濺鍍材料可能沉積在反應 室的壁面。其結果是,相對於非偏壓的製程來說,具偏壓 7製程將需要更頻繁的清潔反應室。氬離子賤鍍也可能破 壞/儿積鍍層中例如Si-C之鍵肖。鍵結的破壞可能導致此 沉積鍍層更容易吸收水氣。 在阻障層沉積時之基板溫度一般係維持在3 5 0。和 C之門肖面之氦氣冷卻可選擇性的使用以控制製程 溫度和定目標。有時候一低偏壓射頻功率,例如到達 5〇〇watts之功率可以背面發射率之晶圓溫度控制,利用封 閉迴圈於沉積溫度之控制。例如,羞晶(_晶圓具有相 當低的阻抗性’和一 功曰问、1 n rv ^ ^ 叙碎晶0足1-80 Ω · cm比較起來, 典型的epi晶圓只有〇 Λ n 啕υ.ϋ1-〇·02Ω · cm。結果,epi晶圓不 月匕/、以來源射須加熱,偏壓射頻也需要加熱晶圓到達所需 的概度。例如,一低偏壓功率(2〇〇mm之晶圓到達4〇〇w, 或者〜^敎1"2)有時可在製程中用來加熱epi 的溫度。此類功率位幡ρ Τ2沾I ] . 第27頁 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公餐) (請先閱讀背面之注意事項再填寫本頁)
478098 A7 B7 五、發明說明() 夠小到可實際減低先前提到的錢鍍和氫植入問題。 對照於填缝之應用中需要濺鍍的情況,晶圓偏壓可以 大到3 500watts(對200mm之晶圓來說〜23 w/cm2)或者更 大。 類似於阻障層4 0 4之阻障層可為整個堆叠之一部分, 並如第4(b)圖中所示包含數種鍍層材料。在另一實施例 中’此阻障層乃沉積於第一介電層之上。一第二介電層接 著沉積於阻障層4 0 4之上。和整個薄膜厚度比較起來阻障 層不需要非常厚。在一實施例範例中,此阻障層之厚度在 整個薄膜400約為1〇,〇〇〇a厚時大約為5〇〇-1〇〇〇A。第一
和第一介電層可以任何傳統的方法加以沉積,包括電漿增 強化學氣相沉積(PECVD)和HDP-CVD。然而,從製程整合 的觀點來;t,用於P且障層沉積之相同的反應纟中沉積所有 的鍍層將更為方便。因此,假如阻障層係沉積於HDp_cvD 反應室中,則最方便的方法係在相同的反應室中U肋卜 CVD沉積沉積第一和第二介電層。 第3⑷圖之方法可以如第3(b)圖中所示的加以修改以 便依照本發明之第二實施例產生第 圖中所不的多声 經濟部智慧財產局員工消費合作社印製 整合堆疊。整合堆疊401 一般包本裳 ^ & 〇 σ弟—層材料403、一 p且 障層405、和一第二層材料4〇7。雖然第一和第二層利, 和4〇7如上面所描述的為介電材料層,鍍層403和4〇7可 任何型式的材料,包括:介電材科、半導 金屬。鍍層403和407可依锆含沾由 和 了依特疋的應用而為相 同型式的材料。再者’整合堆疊4〇1可 大戍不 『匕括任何數目的不 第28頁 本紙張尺度適用中國國家標準(CNS)A4規格(2101^7^7 478098 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明() 同鐘層。 於第二實施例之範例中,堆疊40 1乃沉積於例如半導 體晶圓之基板409之上。第二個實施例之方法3 0 1則由步 驟303開始。第一 FSG層403於步驟305時沉積於基板 409之上。第一材料層403可以傳統的方式包括物理氣相 沉積(PVD)、化學氣相沉積(CVD)、次大氣CVD(SACVD)、 大氣壓力 CVD(APCVD)、電漿輔助 CVD(PACVD)、PECVD 和HDPCVD等加以沉積。在沉積介電層403之後,一含有 CH4、SiH4和Ar之混合氣體流乃導入含有基板4〇9之製程 反應室中,如步驟307。沉積氣體之流率範圍CH4從6〇 到 lOOsccm,SiH4 從 10 到 30sccm,且 Ar 從 0 到 lOOsccm。 混合氣體於步騾3 09時激發而形成電漿,並在第一介 電層403之上沉積阻障層405。如同第一實施例中所需 的,由製程整合的觀點來看,最好在相同的反應室中沉積 介電層403和阻障層405兩者。而此電漿也最好為高密度 包漿(HDP)。來源射頻功率、偏壓射頻功率、反應室壓力、 反應室溫度和基板溫度則維持在第一實施例所設定的範 圍内。阻障層405和整個所需的堆疊4〇1之厚度比較起來 並不耑要特別厚。於一實施例範例中,堆疊4 〇 1之全部厚 度大約為10000A時,阻障層4〇5之厚度約在5〇〇到i〇〇〇A (間。在阻障層405沉積之後,第二介電層4〇7可於步驟 3 1 1時選擇性的沉積。另外,一金屬層、半導體材料或其 它材料也可沉積。雖然也可使用任何傳統的沉積技術,但 為了製程之整合性,最好在沉積第一介電層4〇3和阻障層 _ 第29頁 本紙張尺度適用t國國家標準(CNS)_A4規格⑵G χ撕公爱) (請先閱讀背面之注意事項再填寫本頁) -----:----訂--------^ 478098 A7 B7 五、發明說明() 405相同之反應室中沉積第二介電層407。於第二實施例 之範例中,第一層403和第二層4〇7為利用SiH4、四氟化 矽(SiF4)、氧氣(〇2)和氬氣以HDP-CVD沉積氟矽玻璃 (FSG) 〇 y.鑲嵌製程 有關於第3(a)-4(b)圖之阻障層和上面所描述之整合 堆疊沉積可應用於鑲展製程。一鑲嵌結構可包括例如多到 10-12層上面於iMD層中所描述之低k阻障層或者蚀刻中 止層。一利用上述形成IMD層之低k阻障層所形成的雙層 鑲歆製私整合結構之範例將描緣於第5 (a)_5 (h)圖中。如第 5(a)圖中所示的,雙層鑲嵌製程開始先以氧化層5〇2沉積 於碎基板5 00之上。一第一8卜(:_:9低1^阻障層504利用 上述之碳氫化合物/矽烷沉積製程如利用SiH4和CH4以 HDP-CVD沉積於氧化層502之上。於某些應用中,鍍層 504之作用如同硬罩幕或姓刻中止層。一第一 FSG層506 接著沉積,然後在第一微影製程中覆蓋上一圖案化光阻層 508’如弟5(b)圖中所示。弟一 FSG層506可在相同的反 應室中沉積以加強製程之整合度。於第5(c)圖中,第一 FSG 層5 0 6以第一次蝕刻而形成第一組凹陷5 1 〇並蝕刻到硬罩 幕層504為止。 在第一次蝕刻之後,光阻5 0 8以例如在氧化環境中清 除之方式加以剥離。凹陷510和第一 FSG層506接著覆蓋 一層鋁或銅之金屬層。在銅金屬層之例子中,種子層 第30頁 f紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐1 " ----------1 — (請先閱讀背面之注意事項再填寫本頁)
· I I I I 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 478098
五、發明說明() 512(第5(c)圖)先沉積在凹陷510和第一 FSG層506之上。 第一主體銅金屬層5 1 4接著如第5 (d)圖中所示加以沉積並 填入凹陷510中。於某些應用中,沉積種子層512之前先 沉積一阻障層(未顯示出來)在第一 FSG層506和凹陷510 之上。此阻障層可避免銅金屬和FSG層之内擴散產生。銅 金屬層5 1 4接著以例如CMP加以平坦化。銅金屬層5 } 4 之平坦化形成了内連線結構中的第—組金屬導線5丨5。 在銅金屬層514平坦化之後,第一阻障層516、第二 FSG層518、第三阻障層520和第三FSG層522依序沉積 形成如第5(e)圖中所示的IMD層521。鍍層518、520、和 522可以例如HDP-CVD在相同之反應室中沉積,以便加 強形成IMD層521之製程整合度。第二微影製程和蝕刻則 穿過鍍層516、518、520和522到達銅金屬層514而形成 介層洞524,如第5(f)圖中所示。於第5(g)圖中,第三微 影製程和蚀刻則形成了第二組凹陷526。凹陷526定義了 第二組金屬線且介層洞524定義了由凹陷510和銅金屬層 5 1 4所疋義之第一組金屬線和第一組金屬線之間的内連 線。介層洞524和凹陷526接著填入第二主體銅金屬層且 整個結構接著加以退火及平坦化,如第5(h)圖中所示。凹 1¾ 526疋我了弟一組金屬線528且介層洞524定義了第-組金屬線528和第一組金屬線5U之間的内連線525。 鑲嵌製程係用於銅金屬内連線之元件中,因為現階段 並沒有蝕刻銅金屬的有效方法。由鑲嵌製程所形成的結構 不需要一填充凹陷之介電層且一般比利用鋁 '鎢、鈦或其 第31頁 本紐尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) ---— (請先閱讀背面之注意事項再填寫本頁)
478098
五、發明說明() 它金屬之金屈道Μ α 工嘴淨線所形成的類似結構來說可提供較低的 R C延遲。属^去》 丄 什百’由於填充凹陷不再是重要的問題,因而 較高的沉積率也可用於鑲嵌製程。任何阻障層506、516 和520可利用上面所描述的關於第2、3(a)、3(b)、4(a)和 4(b)圖 < 碳氫化合物矽烷阻障層沉積而加以沉積。另外, 也而要沉積一或多層氮化矽阻障層506、516和520。由製 程整合的觀點來看沉積氮化矽層也是一個優點,類似於 FSG和阻障層’氮化矽層也可由HDP-CVD加以沉積。 VI.實驗钴畢 見驗結果顯示了依照上面所描述的方法以 CH4和 SiHU利用HDP-CVD可以得到低k阻障層。阻障層厚度範 圍從約500A到約1000A。此低k阻障層乃沉積在四種不 同之介電層之上: 1) 以 HDP-CVD 沉積 FSG ; 2) 利用TEOS以PECVD沉積FSG ; 3) 以 HDP-CVD 沉積 USG ; 4) 利用TEOS以PECVD沉積FSG。 阻障層樣本可在Applied Materials所製造的Ultima 反應室中沉積於200mm之矽晶圓和epi晶圓上。卩且障層沉 積之實驗設計可概述於第6圖中。第6圖描繪了組合圖形 並畫出厚度不均勻性、沉積速率、折射率(RI)和以氬氣流 率作為介電常數之函數、CH4/SiH4比例、頂端來源射頻 (TOSRF)、和雙區域系統中(氦氣(入/出))内部和夕卜部之氦 第32頁 _ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁)
-----:----訂--------^ I 經濟部智慧財產局員工消費合作社印製 ^/«098五、 發明說明( 經濟部智慧財產局員工消費合作社印製 乳壓力的比例。值得注意的i k值、Ri和沉積速率都和 CH4/s一出4比例有很大的關係。一般說來,k值和幻均因 為較高的CH4/SiH4tt:例而增加,而沉積速率則減少。第7 圖描繪了 RI作為CH4/SiH4比例之函數的詳細圖示。當 CH4/SiH4比例增加到約1以上時,ri值快速的往下掉。 在CHWSiH4比例約在5以上時,幻的斜率變得較為緩和 (不那麼陡峨)。這些實驗結果顯示了具有低k值约41到 4.3之間的阻障層和約ι7到1>8之幻值可利用本發明之 方法的實施例加以達成。 HDP-CVD低k阻障層〈黏著力測試可將含有阻障層 之晶圓加以重覆熱退火而得。每個晶圓均在大約3〇分鐘 之熱循環時加熱制41〇t。每個晶圓執行6次此種熱循 環。以目視方式檢查所有的晶圓顯示並沒有分層剥離或起 泡的現象。於共焦顯微鏡下更仔細的檢查晶圓也顯示沒有 分層剥離或起泡的現象。 在詳細且完整的描述完本發明之數個實施例之後,依 照本發明之沉積低介電常數氧化層方法,對於熟知此項技 術的人將可想出許多其它相同或類似的方法。而這些相同 或類似的方法將包括在本發明之申請專利範圍中。 第33肓 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ------------^裝 (請先閱讀背面之注意事項再填寫本頁)
訂--------Γ線I

Claims (1)

  1. 478098
    經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 1 · 一種在基板上沉積薄膜的方法,該基板放置於製程反應 室中,該方法至少包含: (a) 導入混合氣體到該反應室中,該混合氣體包含具 有矽之氣體和具有碳氫之氣體;及 (b) 由該混合氣體產生電漿並利用該電衆沉積薄膜到 該基板上。 2.如申請專利範圍第1項所述之方法,其中上述之薄膜為 鑲嵌結構中之部分内金屬介電層。 3 ·如申請專利範圍第1項所述之方法,其中上述之遠漿:為 高密度電漿。 4.如申請專利範圍第1項所述之方法,其中上述之具有矽 之氣體包含矽烷。 5 ·如申請專利範圍第4項所述之方法,其中上述之矽烷為 甲矽烷(SiH4)、乙矽烷(Si2H6)、丙矽烷(Sl3H8)、或丁矽 烷(Si4H10) 〇 6 ·如申請專利範圍第1項所述之方法,其中上述之具有碳 氫之氣體包括碳氫化合物。 7.如申請專利範圍第6項所述之方法,其中上述之碳氫化 第34頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) _______j___Ur---^--- (請先閱讀背面之注意事項iSPIf寫本頁) 甸- 478098 A8 B8 C8 D8 六、申請專利範圍 合物為甲烷、乙烷、丙烷、丁垸、戊烷或己烷。 8. 如申請專利範圍第1項所述之方法,其中上述之混合氣 體基本上由一或多種碳氫氣體、一含矽氣體和一惰性氣 體所組成。 9. 如申請專利範圍第1項所述之方法,其中上述之混合氣 體之碳··矽比例大於1 : 1。 1 0.如申請專利範圍第1項所述之方法,其中上述之碳:矽 比例在約3 : 1和約5 : 1之間。 1 1.如申請專利範圍第1項所述之方法,其中上述之薄膜包 括矽(Si)、碳(C)和氫(H)。 1 2.如申請專利範圍第1 1項所述之方法,其中上述之碳和 矽乃結合成為該薄膜並以碳/矽(C/Si)原子比例約55/45 和約65/3 5之間。 經濟部智慧財產局員工消費合作社印製 ϋ -ϋ n n _1 emt ΛΤ9 n aw HMI MM·祖 n an (請先閱讀背面之注意事寫本頁) --線‘ 1 3 .如申請專利範圍第1 1項所述之方法,其中上述之氫乃 結合成為該薄膜且其濃度約5 0原子百分比或更小。 1 4.如申請專利範圍第1項所述之方法,其中上述之薄膜具 有整體介電常數約4.0或更小。 第35頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 478098 A8 B8 C8 D8 六、申請專利範圍 (請先a讀背面之注意事項寫本頁) 1 5.如申請專利範圍第1項所述之方法,其中上述之薄膜包 括一阻障層。 1 6.如申請專利範圍第1 5項所述之方法,其中上述之阻障 層具有介電常數約4.5或更小。 1 7.如申請專利範圍第1 5項所述之方法,其中上述之阻障 層具有厚度約500A和1 000A之間。 1 8 .如申請專利範圍第1 5項所述之方法,其中上述之阻障 層乃沉積在該基板上第一層材料之上,該第一層具有介 電常數約3.5或更小。 1 9.如申請專利範圍第1 5項所述之方法,其中更包含沉積 一層材料於該阻障層上,該層材料具有介電常數約3.5 或更小^ 2 0.—種沉積阻障層在製程反應室中之基板上的方法,至少 經濟部智慧財產局員工消費合作社印製 包含: (a) 導入含有矽烷(SiH4)和甲烷(CH4)之混合氣體到該 反應室中; (b) 由該混合氣體產生高密度電漿; (c) 利用該電漿沉積阻障層於該基板上。 第36頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 478098 A8 B8 C8 D8 六、申請專利範圍 (請先閱讀背面之注意事項!|^寫本頁) 21. 如申請專利範圍第20項所述之方法,其中上述之矽烷 和甲烷之導入乃提供甲烷:矽烷之流率比例約3 : 1和 8 : 1之間。 22. 如申請專利範圍第21項所述之方法,其中上述之混合 氣體更包括了氬氣(A〇之導入。 2 3 .如申請專利範圍第20項所述之方法,其中上述之阻障 層具有介電常數約4.5或更小。 24. 如申請專利範圍第20項所述之方法,其中上述之阻障 層包括矽(Si)、碳(C)、和氫(H)。 經濟部智慧財產局員工消費合作社印製 25. —種電腦可讀取之儲存媒介,其中電腦可讀取程式包含 在内以便控制包括製程反應室之基板製程系統的操 作;一電漿產生系統;一基板承載座;一氣體傳送系統 將氣體導入該製程反應室中,該電腦可讀取程式包括了 操作該基板製程系統的指令,以便在放置於該製程反應 室中之基板上形成薄膜,而形成方法則為: (a) 導入含有矽之氣體和含有碳氫之氣體的混合氣體 到該反應室中、且 (b) 由該混合氣體產生電漿以便沉積薄膜在該基板之 第37頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 478098 A8S8D8 六、申請專利範圍 26.—種基板製程系統,至少包含: 一容器以定義出製程反應室; 一電漿產生系統耦合到該製程反應室; 一基板承載座,可在處理基板時承載該基板; 一氣體傳送系統,可導入氣體到該製程反應室中; 一控制器用以控制該氣體傳送系統和該電漿產生系 統; 一記憶體耦合該控制器,包含有電腦可讀取程式之電腦 可讀取媒介以便操作該基板製程系統,該電腦可讀取程 式包括 (a) 第一組指令用以命令該氣體傳送系統導入含 有矽之氣體和含有碳氫化合物之氣體的混合 氣體進入該製程反應室中;及 (b) 第二組指令以命令該電漿產生系統由該混合 氣體產生電漿,並利用該電漿而在該基板上 沉積薄膜。 (請先閱讀背面之注意事項寫本頁) b · »! 訂-- --線」 經濟部智慧財產局員工消費合作社印製 第38頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
TW089123029A 1999-11-01 2000-11-01 Barrier layer deposition using HDP-CVD TW478098B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/431,411 US6399489B1 (en) 1999-11-01 1999-11-01 Barrier layer deposition using HDP-CVD

Publications (1)

Publication Number Publication Date
TW478098B true TW478098B (en) 2002-03-01

Family

ID=23711827

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089123029A TW478098B (en) 1999-11-01 2000-11-01 Barrier layer deposition using HDP-CVD

Country Status (5)

Country Link
US (2) US6399489B1 (zh)
EP (1) EP1096038A1 (zh)
JP (1) JP4659202B2 (zh)
KR (1) KR100743789B1 (zh)
TW (1) TW478098B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI567228B (zh) * 2013-05-31 2017-01-21 東京威力科創股份有限公司 成膜裝置、成膜方法及非暫時性記憶媒體

Families Citing this family (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
EP1328982B1 (en) 2000-03-24 2005-07-20 Cymbet Corporation Device enclosures and devices with integrated battery
US6511923B1 (en) * 2000-05-19 2003-01-28 Applied Materials, Inc. Deposition of stable dielectric films
US6674167B1 (en) * 2000-05-31 2004-01-06 Micron Technology, Inc. Multilevel copper interconnect with double passivation
JP3954312B2 (ja) * 2001-01-15 2007-08-08 ローム株式会社 半導体装置の製造方法
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
KR100422348B1 (ko) * 2001-06-15 2004-03-12 주식회사 하이닉스반도체 반도체소자의 제조방법
US6991999B2 (en) 2001-09-07 2006-01-31 Applied Materials, Inc. Bi-layer silicon film and method of fabrication
GB0129567D0 (en) * 2001-12-11 2002-01-30 Trikon Technologies Ltd Diffusion barrier
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
JP3915697B2 (ja) * 2002-01-15 2007-05-16 東京エレクトロン株式会社 成膜方法及び成膜装置
US7214594B2 (en) * 2002-03-26 2007-05-08 Intel Corporation Method of making semiconductor device using a novel interconnect cladding layer
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US7294209B2 (en) * 2003-01-02 2007-11-13 Cymbet Corporation Apparatus and method for depositing material onto a substrate using a roll-to-roll mask
US6906436B2 (en) * 2003-01-02 2005-06-14 Cymbet Corporation Solid state activity-activated battery device and method
US20040131760A1 (en) * 2003-01-02 2004-07-08 Stuart Shakespeare Apparatus and method for depositing material onto multiple independently moving substrates in a chamber
US7603144B2 (en) * 2003-01-02 2009-10-13 Cymbet Corporation Active wireless tagging system on peel and stick substrate
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US20040191559A1 (en) * 2003-03-26 2004-09-30 Bustamante Anthony T. Method and apparatus for strengthening steel and cast iron parts
US7723228B2 (en) * 2003-05-20 2010-05-25 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
US7371427B2 (en) * 2003-05-20 2008-05-13 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
JP3892826B2 (ja) * 2003-05-26 2007-03-14 株式会社東芝 電力増幅器及びこれを用いた無線通信装置
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7067437B2 (en) * 2003-09-12 2006-06-27 International Business Machines Corporation Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same
US20050118770A1 (en) * 2003-10-01 2005-06-02 Texas Instruments, Inc. Method for introducing hydrogen into a channel region of a metal oxide semiconductor (MOS) device
US7211351B2 (en) * 2003-10-16 2007-05-01 Cymbet Corporation Lithium/air batteries with LiPON as separator and protective barrier and method
KR20070024473A (ko) * 2004-01-06 2007-03-02 사임베트 코퍼레이션 층상 배리어구조와 그 형성방법
DE102004010094B3 (de) * 2004-02-27 2005-12-22 Infineon Technologies Ag Halbleiterbauelement mit mindestens einer organischen Halbleiterschicht und Verfahren zu dessen Herstellung
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7229041B2 (en) * 2004-06-30 2007-06-12 Ohio Central Steel Company Lifting lid crusher
US7183227B1 (en) * 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US7166544B2 (en) * 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
US7294851B2 (en) * 2004-11-03 2007-11-13 Infineon Technologies Ag Dense seed layer and method of formation
US20060094257A1 (en) * 2004-11-04 2006-05-04 Tower Semiconductor Ltd. Low thermal budget dielectric stack for SONOS nonvolatile memories
US20060154494A1 (en) * 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7776478B2 (en) 2005-07-15 2010-08-17 Cymbet Corporation Thin-film batteries with polymer and LiPON electrolyte layers and method
US20070012244A1 (en) * 2005-07-15 2007-01-18 Cymbet Corporation Apparatus and method for making thin-film batteries with soft and hard electrolyte layers
CA2615479A1 (en) 2005-07-15 2007-01-25 Cymbet Corporation Thin-film batteries with polymer and lipon electrolyte layers and methods
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US8003957B2 (en) * 2008-02-11 2011-08-23 Varian Semiconductor Equipment Associates, Inc. Ethane implantation with a dilution gas
US20090200494A1 (en) * 2008-02-11 2009-08-13 Varian Semiconductor Equipment Associates, Inc. Techniques for cold implantation of carbon-containing species
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
JP5365107B2 (ja) * 2008-09-02 2013-12-11 Tdk株式会社 電気化学素子用電極の製造方法
US20100059110A1 (en) * 2008-09-11 2010-03-11 Applied Materials, Inc. Microcrystalline silicon alloys for thin film and wafer based solar applications
US20110052797A1 (en) * 2009-08-26 2011-03-03 International Business Machines Corporation Low Temperature Plasma-Free Method for the Nitridation of Copper
US9155181B2 (en) * 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) * 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US11527774B2 (en) 2011-06-29 2022-12-13 Space Charge, LLC Electrochemical energy storage devices
US10601074B2 (en) 2011-06-29 2020-03-24 Space Charge, LLC Rugged, gel-free, lithium-free, high energy density solid-state electrochemical energy storage devices
US9853325B2 (en) 2011-06-29 2017-12-26 Space Charge, LLC Rugged, gel-free, lithium-free, high energy density solid-state electrochemical energy storage devices
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
KR101566920B1 (ko) * 2013-06-11 2015-11-17 이이쿠보 유이치 유전체 장벽 방전을 통해 실란가스에서 디실란, 트리실란 가스를 제조하는 제조방법
US20160314964A1 (en) * 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
KR102271768B1 (ko) 2017-04-07 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 반응성 어닐링을 사용하는 갭충전
WO2019028136A1 (en) * 2017-08-04 2019-02-07 Lam Research Corporation SELECTIVE DEPOSITION OF SILICON NITRIDE ON HORIZONTAL SURFACES
WO2019173626A1 (en) 2018-03-07 2019-09-12 Space Charge, LLC Thin-film solid-state energy-storage devices
DE102018110240A1 (de) * 2018-04-27 2019-10-31 Infineon Technologies Ag Halbleitervorrichtung und Herstellung
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US20240047291A1 (en) * 2019-09-10 2024-02-08 Applied Materials, Inc. High density plasma cvd for display encapsulation application

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3627721A (en) 1969-01-02 1971-12-14 Merck & Co Inc A method for the preparation of (cis-1,2-epoxypropyl)phosphonic dihalide
JPS5545223U (zh) * 1978-09-18 1980-03-25
JPS5998726A (ja) 1982-11-26 1984-06-07 Seiko Epson Corp 酸化膜形成法
US4557946A (en) 1983-06-03 1985-12-10 Edward Sacher Moisture impermeability or organosilicone films
JPS6014248A (ja) * 1983-07-06 1985-01-24 Fuji Photo Film Co Ltd 電子写真用感光体
JPS60111480A (ja) 1983-11-22 1985-06-17 Toshiba Corp 薄膜発光素子
US4845054A (en) 1985-06-14 1989-07-04 Focus Semiconductor Systems, Inc. Low temperature chemical vapor deposition of silicon dioxide films
US4812325A (en) 1985-10-23 1989-03-14 Canon Kabushiki Kaisha Method for forming a deposited film
US4789648A (en) 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
GB8630918D0 (en) 1986-12-24 1987-02-04 Pilkington Brothers Plc Coatings on glass
US5028566A (en) 1987-04-10 1991-07-02 Air Products And Chemicals, Inc. Method of forming silicon dioxide glass films
JPH077759B2 (ja) 1987-08-20 1995-01-30 株式会社半導体エネルギ−研究所 絶縁膜形成方法
US4798629A (en) 1987-10-22 1989-01-17 Motorola Inc. Spin-on glass for use in semiconductor processing
JPH01115162A (ja) * 1987-10-29 1989-05-08 Matsushita Electric Ind Co Ltd 薄膜トランジスタ及びその製造方法
US4900591A (en) 1988-01-20 1990-02-13 The United States Of America As Represented By The Secretary Of The Air Force Method for the deposition of high quality silicon dioxide at low temperature
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
US4973511A (en) 1988-12-01 1990-11-27 Monsanto Company Composite solar/safety film and laminated window assembly made therefrom
US5266409A (en) * 1989-04-28 1993-11-30 Digital Equipment Corporation Hydrogenated carbon compositions
FR2651782B1 (fr) 1989-09-14 1993-03-19 Air Liquide Procede pour la realisation d'un depot d'un revetement protecteur inorganique et amorphe sur un substrat polymerique organique.
JPH0740569B2 (ja) 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
US5120680A (en) 1990-07-19 1992-06-09 At&T Bell Laboratories Method for depositing dielectric layers
CA2048168A1 (en) 1990-08-03 1992-02-04 John T. Felts Silicon oxide based thin film vapour barriers
NL9001770A (nl) 1990-08-06 1992-03-02 Philips Nv Werkwijze voor het aanbrengen van een siliciumdioxide-laag op een substraat door middel van chemische reactie uit de dampfase bij verlaagde druk (lpcvd).
US5040046A (en) 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
US5314724A (en) 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
US5352493A (en) 1991-05-03 1994-10-04 Veniamin Dorfman Method for forming diamond-like nanocomposite or doped-diamond-like nanocomposite films
US5246887A (en) 1991-07-10 1993-09-21 At&T Bell Laboratories Dielectric deposition
US5204141A (en) 1991-09-18 1993-04-20 Air Products And Chemicals, Inc. Deposition of silicon dioxide films at temperatures as low as 100 degree c. by lpcvd using organodisilane sources
US5224441A (en) 1991-09-27 1993-07-06 The Boc Group, Inc. Apparatus for rapid plasma treatments and method
JPH06163521A (ja) 1992-11-17 1994-06-10 Nippon Telegr & Teleph Corp <Ntt> 半導体装置の製造方法
JPH05267480A (ja) 1992-03-21 1993-10-15 Ricoh Co Ltd 半導体装置とその製造方法
JP2934353B2 (ja) 1992-06-24 1999-08-16 三菱電機株式会社 半導体装置およびその製造方法
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5874367A (en) 1992-07-04 1999-02-23 Trikon Technologies Limited Method of treating a semi-conductor wafer
JP2884968B2 (ja) 1992-11-17 1999-04-19 東亞合成株式会社 シリコン酸化膜の製造方法
US5753564A (en) 1992-11-24 1998-05-19 Sumitomo Metal Industries, Ltd. Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5465680A (en) 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
US5433786A (en) 1993-08-27 1995-07-18 The Dow Chemical Company Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein
US5364666A (en) 1993-09-23 1994-11-15 Becton, Dickinson And Company Process for barrier coating of plastic objects
JP2899600B2 (ja) 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
US5888593A (en) 1994-03-03 1999-03-30 Monsanto Company Ion beam process for deposition of highly wear-resistant optical coatings
US5508368A (en) 1994-03-03 1996-04-16 Diamonex, Incorporated Ion beam process for deposition of highly abrasion-resistant coatings
US5618619A (en) 1994-03-03 1997-04-08 Monsanto Company Highly abrasion-resistant, flexible coatings for soft substrates
US5858880A (en) 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
JPH0855913A (ja) 1994-06-07 1996-02-27 Texas Instr Inc <Ti> サブミクロン相互接続の選択的空隙充填方法
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
JP3495116B2 (ja) 1994-10-31 2004-02-09 東レ・ダウコーニング・シリコーン株式会社 撥水性薄膜およびその製造方法
JPH08181210A (ja) 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
JPH08181276A (ja) 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
TW285753B (zh) 1995-01-04 1996-09-11 Air Prod & Chem
US5593740A (en) 1995-01-17 1997-01-14 Synmatix Corporation Method and apparatus for making carbon-encapsulated ultrafine metal particles
US5818071A (en) 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
JP3176017B2 (ja) 1995-02-15 2001-06-11 株式会社東芝 半導体装置の製造方法
US5534462A (en) 1995-02-24 1996-07-09 Motorola, Inc. Method for forming a plug and semiconductor device having the same
JPH08236518A (ja) 1995-02-28 1996-09-13 Hitachi Ltd シリコン酸化膜の形成方法
JPH08288286A (ja) 1995-04-19 1996-11-01 Sharp Corp シリコン酸化膜の成膜方法
US5637351A (en) 1995-05-11 1997-06-10 Air Products And Chemicals, Inc. Chemical vapor deposition (CVD) of silicon dioxide films using oxygen-silicon source reactants and a free radical promoter
FR2734402B1 (fr) 1995-05-15 1997-07-18 Brouquet Pierre Procede pour l'isolement electrique en micro-electronique, applicable aux cavites etroites, par depot d'oxyde a l'etat visqueux et dispositif correspondant
JP3463416B2 (ja) 1995-06-23 2003-11-05 ソニー株式会社 絶縁膜の製造方法および半導体装置
US5638251A (en) 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
JPH09116011A (ja) 1995-10-23 1997-05-02 Mitsubishi Electric Corp 半導体装置およびその製造方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US5693563A (en) 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US5807785A (en) 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
JP2001504989A (ja) 1996-08-24 2001-04-10 トリコン エクウィプメンツ リミテッド 平坦化された誘電層を半導体基板上に堆積させるための方法及び装置
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5800878A (en) * 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
US5834162A (en) 1996-10-28 1998-11-10 Regents Of The University Of California Process for 3D chip stacking
EP0885983A1 (en) 1997-06-19 1998-12-23 N.V. Bekaert S.A. Method for coating a substrate with a diamond like nanocomposite composition
US5821168A (en) 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US5891799A (en) 1997-08-18 1999-04-06 Industrial Technology Research Institute Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrates
US6051321A (en) * 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
JP2000286252A (ja) * 1999-03-31 2000-10-13 Nec Corp 半導体装置の製造方法
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI567228B (zh) * 2013-05-31 2017-01-21 東京威力科創股份有限公司 成膜裝置、成膜方法及非暫時性記憶媒體
US10535501B2 (en) 2013-05-31 2020-01-14 Tokyo Electron Limited Film forming apparatus, film forming method and non-transitory storage medium

Also Published As

Publication number Publication date
EP1096038A1 (en) 2001-05-02
US6399489B1 (en) 2002-06-04
JP4659202B2 (ja) 2011-03-30
KR100743789B1 (ko) 2007-07-30
US6713390B2 (en) 2004-03-30
US20030032282A1 (en) 2003-02-13
KR20010051462A (ko) 2001-06-25
JP2001203201A (ja) 2001-07-27

Similar Documents

Publication Publication Date Title
TW478098B (en) Barrier layer deposition using HDP-CVD
US6800571B2 (en) CVD plasma assisted low dielectric constant films
TW567239B (en) Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6287990B1 (en) CVD plasma assisted low dielectric constant films
US6211065B1 (en) Method of depositing and amorphous fluorocarbon film using HDP-CVD
US6511903B1 (en) Method of depositing a low k dielectric with organo silane
US6171945B1 (en) CVD nanoporous silica low dielectric constant films
TW580752B (en) Method of depositing a nitrogen-doped FSG layer
US6660656B2 (en) Plasma processes for depositing low dielectric constant films
US7560377B2 (en) Plasma processes for depositing low dielectric constant films
TW502290B (en) Trench fill with HDP-CVD process
TW567235B (en) CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
KR100696040B1 (ko) Hdp-fsg 박막과 배리어층의 접착력을 강화시키는 방법
WO2008002844A2 (en) Method for depositing an amorphous carbon film with improved density and step coverage
WO2009111395A2 (en) Method for depositing an amorphous carbon film with improved density and step coverage
KR20010062663A (ko) 고밀도 플라즈마 반응로내의 질화 실리콘의 인시튜 증착및 집적화
US20030049388A1 (en) Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
EP1607493B1 (en) Plasma processes for depositing low dielectric constant films
EP1146142A2 (en) Process for forming fluorosilicate glass layers using high density plasma, for copper damascene integrated circuits

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees