KR20010051462A - Hdp-cvd를 이용하여 장벽층을 증착하기 위한 방법및 장치 - Google Patents

Hdp-cvd를 이용하여 장벽층을 증착하기 위한 방법및 장치 Download PDF

Info

Publication number
KR20010051462A
KR20010051462A KR1020000065440A KR20000065440A KR20010051462A KR 20010051462 A KR20010051462 A KR 20010051462A KR 1020000065440 A KR1020000065440 A KR 1020000065440A KR 20000065440 A KR20000065440 A KR 20000065440A KR 20010051462 A KR20010051462 A KR 20010051462A
Authority
KR
South Korea
Prior art keywords
gas
plasma
substrate
barrier layer
chamber
Prior art date
Application number
KR1020000065440A
Other languages
English (en)
Other versions
KR100743789B1 (ko
Inventor
하이쳄 엠사드
선미 조
다나 트리블라
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010051462A publication Critical patent/KR20010051462A/ko
Application granted granted Critical
Publication of KR100743789B1 publication Critical patent/KR100743789B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/931Silicon carbide semiconductor

Abstract

본 발명은 탄화수소함유 가스 및 실리콘 함유가스를 포함하는 가스 혼합물을 사용하여 장벽층과 같은 막을 증착하기 위한 방법에 관한 것이다. 탄화수소 함유 가스는 메탄(CH4), 에탄(C2H6), 부탄(SiH4) 및 부로판(SiH10)과 같은 모노시레인(SiH2)과 같은 시레인을 포함한다. 본 발명의 방법은 절절한 가스 혼합물을 챔버에 제공하는 단계, 가스 혼합물로부터 플라즈마를 발생시키는 단계 및 플라즈마를 사용하여 기판상에 막을 증착하는 단계를 포함한다. 바람직한 실시예에 있어서, 막은 고밀도 플라즈마 화학기상증착(HDP-CVD) 시스템에 증착된다. 가스 혼합물은 알칸과 같은 실리콘 함유가스 및 시레인과 같은 탄화수소 함유 가스를 포함한다. 본 발명의 방법의 실시예는 약 4.0이하의 전체 유전상수를 가진 스택 구조물로 집적될 수 있다. 적절한 구조물은 약 4.5 이하의 유전상수를 가진 장벽층을 포함할 수 있다.

Description

HDP-CVD를 이용하여 장벽층을 증착하기 위한 방법 및 장치{BARRIER LAYER DEPOSITION USING HDP-CVD}
본 발명은 집적 회로의 제조에 관한 것이며, 보다 상세하게는 듀얼 다마신(dual damascene) 처리용 베리어 층의 형성 방법에 관한 것이다.
종래 집적 회로 제조에 있어서, 회로 소자들은 알루미늄과 같은 금속층에서 갭들의 패턴을 에칭함에 의해 형성된다. 다음, 갭들은 이산화 실리콘과 같은 절연체로 매입된다. 구리가 통상의 알루미늄 합금에 비하여 저 저항을 가지므로 모든 형태의 집적 회로에 대하여 주요한 온-칩 도전체로서 자리잡게 되기 시작했다. 그러나, 구리를 에칭하는 것은 어려운 것이며, 따라서 다마신 처리가 구리에 기초한 집적회로 제조를 위하여 개발되었다. 다마신 처리에서, 절연체 층이 집적된 스택(stack)을 형성하도록 증착되며 다음, 구리로 매입되는 갭을 형성하도록 에칭된다.
플루오로실리케이트 유리(FSG)로 알려진 HDP-CVD 불소-도핑 실리콘 산화물이 다마신 구조에서 층간금속(intermetal) 절연체로서 종래의 실리콘 산화물을 대치하는 우수한 대안으로 알려져 있다. FSG는 비도핑된 실리케이크 유리(USG)와 알루미늄 인터커넥트의 FSG 절연체용으로 폭 넓게 사용된 종래의 HDP-CVD 시스템에서 증착될 수 있다. FSG는 신뢰성, 안정성과 수율 면에서 우수한 처리 전략을 제공한다. 집적회로의 전기적 성능이 FSG의 낮은 유전상수(종래의 실리콘 산화물의 약 4.1-4.3에 비하여 약 3.3-3.6)로 인하여 상당히 향상될 수 있다. 저 유전상수는 동일한 층의 금속 배선들 사이의 커패시턴스를 감소시키며 층을 관통하는 크로스 톡(cross talk)을 감소시킨다.
다마신 구조에서 구리 층을 분리시키는 절연층이 층간금속 절연체(IMD) 층으로 불린다. IMD 층은 통상 구리가 FSG와 같은 인접하는 절연층으로 확산되는 것을 방지하기 위해서 베리어 층을 포함한다. 다마신 처리에서 사용되는 일부 통합 스택들은 또한 에치 스탑 또는 하드마스크(hardmask)로 알려진 층을 이용하여 막의 선택적 에칭을 제공한다. 질화실리콘(SixNy)가 예를들어, 금속 베선을 포함하는 층들간에 비아를 형성하는 경우와 같은 다마신 응용에서 베리어 층 또는 에치 스탑으로서 통상 이용된다. 그러나, 질화실리콘은 FSG의 k, 3.3-3.6 또는 산화 실리콘의 4.0-4.2에 비하여 k≒7.0 내지 7.5의 높은 유전상수를 갖는 단점이 있다. 따라서, 질화실리콘을 포함하는 절연층은 바람직하지 않게 높은 프린지(fringe) 커패시턴스를 갖게 된다.
일반적으로, FSG 두께에 비하여 질화 실리콘 층의 두께가 커질 수록, 집적된 스택의 전체 유전상수가 커지게 된다. 집적된 스택의 유효 유전 상수는 집적된 스택을 이루는 각 층의 두께와 유전 상수에 의존한다. 전체 막의 유전 상수는 베리어 층의 두께를 감소시키거나 낮은 유전 상수를 갖는 베리어 층 물질을 사용함에 의해 감소될 수 있다. 현재의 증착 처리는 수백 옹그스트롱 두께 이상으로 박형인 적절한 SixNy를 증착할 수 있다. 일반적으로 현재의 기술로는 바람직한 균일성과 전체 막 품질을 유지하면서 보다 박형인 막을 증착할 수 없다. 로우-k 베리어 층에 기초한 BLOK™(베리어 로우 k)와 같은 실리콘-탄소-수소가 개발되었다. BLOK™는 켈리포니아 산타클라라의 어플라이드 머티리얼스 인코포레이티드의 상표명이다. 이러한 로우-k 베리어 층은 통상 트리메틸실란(TMS)을 이용한 플라즈마 강화 화학기상 증착(PECVD)에 의해 증착된다. TMS를 이용하여 증착된 BLOK는 다양한 처리에 대해 적절한 보완 해결책을 제공하나, 처리 통합을 향상시키기 위해서 다른 응용안이 바람직하다.
따라서, 절연 층 증착에 의해 용이하게 집적될 수 있는 낮은 유전상수를 갖는 베리어 층을 포함하는 집적 스택을 증착하는 저가의 방법에 대한 필요가 본 발명의 기술분야에 요구된다.
도 1a는 본 발명에 따른 고밀도 화학 기상 증착 시스템의 일 실시예의 개략도이다.
도 1b는 도 1a의 전형적인 CVD 처리 챔버와 관련하여 사용될 수 있는 가스링의 단면도이다.
도 1c는 도 1a의 전형적인 CVD 처리 챔버와 관련하여 사용될 수 있는 모니터 및 라이트펜의 개략도이다.
도 1d는 도 1a의 전형적인 CVD 처리 챔버를 제어하기 위해 사용되는 전형적인 처리 제어 컴퓨터 프로그램 산물의 흐름도이다.
도 2는 본 발명의 방법에 따라 제작된 반도체 디바이스의 단면도이다.
도 3(a)는 본 발명의 방법의 제 1 실시예의 흐름도이다.
도 3(b)는 본 발명의 방법의 제 2 실시예의 흐름도이다.
도 4(a)는 본 발명의 방법의 제 1 실시예에 따라 증착된 집적 스택의 단면도이다.
도 4(b)는 본 발명의 방법의 제 2 실시예에 따라 증착된 집적 스택의 단면도이다.
도 5(a)-5(h)는 본 발명의 일 실시예에 따른 집적된 듀얼-다마센 처리를 받는 부분적으로 형성된 집적 회로의 단면도를 도시한다.
도 6은 본 발명의 방법의 일 실시예에 대한 실험 설계를 도시한 결합된 그래프를 도시한다.
도 7은 본 발명의 일 실시예에 따라 증착된 필름에 대한 CH4/SiH4비의 함수로서 굴절율의 그래프를 도시한다.
*도면의 주요부분에 대한 부호의 설명*
10: 고밀도 플라즈마 화학기상증착 시스템 13: 챔버
17: 기판 33: 가스전달시스템
50: 원격 플라즈마 청정 시스템 60: 시스템 제어기
70: 진공시스템
가스 함유 실리콘과 탄화수소 가스를 포함하는 가스 혼합물을 이용하여 기판상에 베리어 층과 같은 박을 증착하는 본 발명의 방법에 의해 종래 기술의 문제점이 해결될 수 있다. 일반적으로 본 발명의 방법은 챔버에 가스 혼합물을 제공하는 단계, 가스 혼합물로부터 플라즈마를 발생시키는 단계, 및 플라즈마를 이용하여 기판상에 막을 증착하는 단계를 포함한다. 적절한 탄화수소 가스에는 일반식 CxH2x+2를 갖는 알칸이 포함된다. 적절한 알칸에는 메탄(CH4), 에탄(C2H6), 부탄(C3H8),프로판(C4H10) 등이 포함된다. 가스를 포함하는 적절한 실리콘에는 일반식 SiyH2y+2를 갖는 실란이 포함된다. 가스 혼합물은 가스 분해를 촉진시키기 위해서 선택적으로 아르론(Ar) 플로우(flow)를 포함한다. 바람직한 실시예에서, 플라즈마는 고밀도 플라즈마이다. 바람직하게는, 가스 혼합물은 탄소:실리콘 비율이 1:1 이상이며, 보다 바람직하게는, 약 3:1과 약 8:1 이다. 그 결과적인 막은 C:Si가 55:45 내지 65:35의 범위를 갖게 된다. 본 발명의 실시예에 따르면, 전체 유전상수가 약 4.0이하인 집적된 스택을 증착할 수 있다. 상기의 스택은 3.0이하의 유전상수를 가지는 장벽층을 포함할 수 있다. 본 발명에 따라 증착된 장벽층과 집적 스택은 듀얼 다마센 구조용의 금속간 유전층에 사용될 수 있다.
본 발명의 방법은 기판 처리 시스템의 동작을 지시하기 위해 구현된 컴퓨터 판독가능 프로그램을 가지는 컴퓨터 판독가능 저장 매체에서 구현될 수 있다. 상기 시스템은 처리 챔버; 플라즈마 발생 시스템; 기판 홀더; 및 가스를 처리 챔버에 유입하도록 구성된 가스 전달 시스템을 포함할 수 있다. 컴퓨터 판독가능 프로그램은 상기 방법의 실시예에 따라 처리 챔버에 증착된 기판위에서 필름을 형성하도록 기판 처리 시스템을 동작시키기 위한 인스트럭션을 포함한다.
본 발명의 실시예들은 첨부된 도면을 참조로 이하에서 상세하게 설명된다.
본 발명은 하이드로카본 가스와 실리콘 함유 가스를 포함하는 기체를 사용하여 플라즈마 CVD 처리시 로우-k 장벽층을 증착한다. 기체 혼합물은 가스 분열을 증진시키기 위해 또한 아르곤(Ar)과 같은 불활성 가스를 포함할 수 있다. 하이드로카본 가스는 일반적으로 타입 CxHm의 화학식으로 카본(C)과 하이드로겐(H)만을 포함하며, x와 m은 정수이다. 상기 하이드로카본 화합물은 일반적인 화학식 CxH2x+2를 가지는 알칸을 포함한다. 전형적인 알칸은 메탄(CH4), 에탄(C2H6), 부탄(C3H8), 프로판(C4H10), 펜탄(C5H12), 헥산(C6H14)등을 포함한다. 상기의 화합물은 싸면서 높은 순도(예를 들면 전자 또는 CMOS 등급)에서 쉽게 이용할 수 있으며 독점적이지 않고 주변 압력과 온도에서 일반적으로 기체이다. 선택적으로 아세틸렌(C2H2)과 같은 알켄 또는 알킨을 포함하는 다른 하이드로카본은 하이드로카본 함유 가스로서 사용될 수 있다. 하이드로카본이라는 용어는 또한 여러 알칸, 알켄, 알킨 및 다른 하이드로카본의 모든 이성질체를 포함한다. 또한, 하이드로카본 함유 기체는 두개 이상의 서로 다른 타입의 하이드로카본 화합물을 포함하는 혼합물일 수 있다.
현 방법에서, 실리콘 함유 기체는 일반적으로 일반적인 화학식인 SiyHm을 가지는 실리콘의 하이드라이드이며, y와 m은 정수이다. 실리콘 하이드라이드는 일반적인 화학식인 SiyH2y+2를 가지는 실렌을 포함한다. 상기 실렌은 모노실렌(SiH4), 디실렌(Si2H6), 트리실렌(Si3H8), 및 테트라실렌(Si4H10)을 포함한다. 선택적인 실리콘 함유 가스는 실리콘 테트라플로라이드(SiF4)와 같은 실리콘 할로겐화물 및 실라잔(silazane)을 포함한다. 실리콘 함유 가스는 또한 두개 이상의 서로 다른 타입의 실리콘하이드라이드 또는 다른 실리콘 화합물을 포함할 수 있다.
플라즈마에서, 하이드로카본 함유 및 실리콘 함유 기체는 분해되며 기판의 표면에서 Si-C-H 로우-k 장벽층을 형성하기 위해 반응한다. 특정한 실시예에서, 플라즈마는 고밀도 플라즈마(HDP)이며, 반응가스에서 쉽게 분해된다. HDP-CVD 처리는 HDP-CVD가 FSG와 같은 스택의 다른층을 증착할 수 있기 때문에 금속간 유전체(IMD)층을 포함하는 집적 스택을 형성하는 때인 장벽층 증착의 경우에 바람직하다. 로우-k 장벽층과 FSG의 HDP-CVD 증착은 로우-k 장벽층이 전형적으로 스택의 FSG층의 아래 또는 위에 놓여있기 때문에 처리 집적도를 향상시킨다.
유전 상수와 다른 장벽층의 특성은 장벽층에서의 카본의 상대적인 함유량을 따른다. 일반적으로, 필름의 카본 함유량이 높아질 수록 k-값은 작아진다. 이하 기술되는 바와 같이, 기체 혼합물에서 카본 대 실리콘의 비율의 적당한 조절은 증착된 필름의 카본 함유량을 조절하는 것이다. 바람직하게, 카본 대 실리콘(C:Si비)의 원자비는 55:45와 65:35이다.
게다가, 최종 Si-C-H 필름에서 상대적으로 낮은 하이드로겐 함유량, 바람직하게는 50%이하를 가지는 것이 바람직하다. 예를 들어, BLOK와 같은 Si-C-H 필름은 전형적으로 약 45%의 원자 하이드로겐을 가지는 TMS를 사용하여 증착된다. 이는 TMS가 각 카본 원자에 대해 3하이드로겐 원자를 포함하기 때문에 놀란만한 것이 아니다. 특정한 응용에서, 장벽층의 큰 하이드로겐 함유량은 바람직하지 않은 리키지 전류를 유도할 수 있다. 어떤 응용에서는 기체 혼합물에서 하이드로겐의 함유량을 제어하는 것은 바람직하다. 현 방법에서, 하이드로겐의 양은 예를 들면 카본 원자당 적은 하이드로겐 원자를 가지는 하이드로카본을 사용함으로써 감소될 수 있다. 예를 들면, 메탄(CH4)은 하나의 카본 원자에 대해 네개의 하이드로겐 원자를 가지는 반면, 에텐(C2H6)은 하나의 카본 원자에 대해 세개의 하이드로겐 원자를 가진다. 선택적으로, 아세틸렌(C2H2)은 하이드로겐 원자에 대해 단지 하나의 카본 원자를 가진다. 실리콘 함유 가스는 유사하게 실리콘 원자에 대해 적은 하이드로겐 원자를 가지도록 선택될 수 있다.
Ⅱ. 전형적인 기판 처리 시스템
도 1a는 본 발명에 따른 유전층이 증착될 수 있는 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 시스템(10)의 일 실시예를 도시한다. 이 시스템(10)은 챔버(13), 진공 시스템(70), 소스 플라즈마 시스템(80A), 바이어스 프라즈마 시스템(80B), 가스 전달 시스템(33), 및 원격 플라즈마 클리닝 시스템(50)을 포함한다.
챔버(13)의 상부는 산화 알루미늄 또는 질화 알루미늄과 같은 세라믹 유전 물질로 구성된 돔(14)을 포함한다. 돔(14)은 플라즈마 프로세싱 영역(16)의 상부 경계를 형성한다. 플라즈마 프로세싱 영역(16)은 하부상에서 기판(17)의 상부면 및 기판지지 부재(18)에 의해 경계가 지어진다.
가열판(23) 및 냉각판(24)은 돔(14)을 덮어씌워서, 열적으로 돔(14)과 결합된다. 가열판(23) 및 냉각판(24)은 약 100℃ 내지 약 200℃의 범위에서 돔 온도를 약 ±10℃ 이내로 제어할 수 있다. 이것은 다양한 처리에 대해 돔 온도를 최적화 시킬 수 있다. 예를 들어, 돔을 증착 공정보다 세정 또는 에칭 공정에 대해 높은 온도로 유지시키는 것이 바람직할 수 있다. 정확한 돔 온도 제어는 또한 챔버 내에 조각 또는 입자 수를 감소시켜서 증착된 층 및 기판 사이의 접착력을 향상시킨다.
챔버(13)의 하부는 챔버를 진공계에 연결한 몸체 부재(22)를 포함한다. 기판지지 부재(18)의 베이스 부분(21)이 몸체 부재(22) 상에 장착되고, 몸체 부재(22)로 연속적인 내부면을 형성한다. 기판은 로봇 블래이드(도시되지 않음)에 의해 챔버(13) 측면의 삽입/제거 개구(도시되지 않음)를 통해서 챔버(13) 내부로 그리고 챔버(13) 외부로 이동된다. 리프트 핀(도시되지 않음)은 올라가고 모터(도시되지 않음) 제어시 내려와서 기판을 상부 로딩 위치(57)의 로봇 블래이드에서 하부 프로세싱 위치(56)로 이동시키며, 하부 프로세싱 위치(56)에서 기판은 기판지지 부재(18)의 기판 리시빙 부분(19) 상에 위치한다. 기판 리시빙 부분(19)은 기판 프로세싱 동안 기판지지 부재(18)에 기판을 고정시키는 정전 척(20)을 포함한다. 바람직한 실시예에서, 기판지지 부재(18)는 산화 알루미늄 또는 알루미늄 세라믹 물질로부터 얻어진다.
진공계(70)는 트윈-블래이드 스로틀 밸브(26)를 수용하고 게이트 밸브(27) 및 터보-분자 펌프(28)에 부착되는 스로틀 몸체(25)를 포함한다. 1995년 12월 12일 출원되고, 참고를 위해 본 발명에서 구체화된 공동 계류 중이며, 공동 양도된 미국 특허 출원 번호 08/574,839에서 기술된 바와 같이, 스로틀 몸체(25)는 가스흐름을 최소로 방해하고, 대칭 펌핑을 허용한다. 게이트 밸브(27)는 스로틀 몸체(25)로부터 펌프(28)를 격리시킬 수 있으며, 스로틀 밸브(26)가 완전히 개방될 때 배출 흐름을 제한함으로써 챔버 압력을 제한할 수 있다. 스로틀 밸브, 게이트 밸브 및 터보-분자 펌프 장치는 약 1 밀리토르에서 약 2 토르 사이에서 챔버 압력을 정확하고 안정하게 제어할 수 있게 한다.
소스 플라즈마 시스템(80A)은 돔(14)에 장착된 상부 코일(29) 및 측면 코일(30)을 포함한다. 대칭 접지 차폐물(도시되지 않음)은 코일 사이의 전기적 결합을 감소시킨다. 상부 코일(29)은 상부 소스 RF(SRF) 발생기(31A)에 의해 파워가 공급되는데 반해, 측면 코일(30)은 측면 SRF 발생기(31B)에 의해 파워가 공급되어, 각 코일의 독립적인 파워 레벨 및 동작 주파수를 허용한다. 이 이중 코일 시스템은 챔버(13)의 방사상 이온 밀도의 제어를 허용하고, 이에 의해 플라즈마 균일성을 향상시킨다. 측면 코일(30) 및 상부 코일(29)은 일반적으로 유도적으로 구동되는데, 이것은 상보 전극을 필요로 하지 않는다. 특정 실시예에서, 상부 소스 RF 발생기(31A)는 공칭적으로 2 MHz에서 최대 2500 와트의 RF 파워를 제공하고 측면 소스 RF 발생기(31B)는 1.8에서 2.2 MHz, 공칭적으로는 2 MHz에서 최대 5000 와트의 RF 파워를 제공한다. 상부 및 측면 RF 발생기의 동작 주파수는 플라즈마-발생 효율을 향상시키기 위하여, 공칭 동작 주파수에서 (예를 들어, 각각 1.7-1.9 MHz 및 1.9-2.1 MHz까지) 오프셋 될 수 있다.
바이어스 플라즈마 시스템(80B)은 바이어스 RF(BRF) 발생기(31C) 및 바이어스 매칭 네트워크(32C)를 포함한다. 바이어스 플라즈마 시스템(80B)은 기판 부분(17)을 상보 전극으로 동작하는 몸체 부재(22)에 유도적으로 결합시킨다. 바이어스 플라즈마 시스템(80B)은 소스 플라즈마 시스템(80A)에 의해 기판 표면에 발생된 플라즈마 종(예를 들어, 이온)의 이동을 증가시킨다. BRF 발생기(31C)는 약 1-100의 범위의 주파수에서 RF 파워를 제공한다. 특정 실시예에서, BRF 발생기(31C)는 13.56 MHz에서 최대 5000와트의 RF 파워를 제공한다.
RF 발생기(31A 및 31B)는 디지털 제어 합성기를 포함하고 약 1.8에서 약 2.2 MHz 사이의 주파수 범위에서 동작한다. 당업자에 의해 주지되어 있는 바와 같이, 각 발생기는 챔버로부터 반사된 파워 및 발생기로 궤환된 코일을 측정하고 최저 반사 파워를 얻기 위해 동작 주파수를 조절하는 RF 제어 회로(도시되지 않음)를 포함한다. RF 발생기는 일반적으로 50 ohm의 특성 임피던스로 부하에서 동작하도록 설계된다. RF 파워는 발생기와 다른 특성 임피던스를 가지는 부하로부터 반사될 수 있다. 이것은 부하에 이동된 파워를 감소시킬 수 있다. 또한, 부하에서 발생기로 다시 반사된 파워로 인한 과부하로 발생기에 손상을 줄 수 있다. 플라즈마의 임피던스는 5 ohm 미만에서 900 ohm 초과의 범위일 수 있기 때문에, 다른 요소들 사이에서 플라즈마 이온 밀도에 의존하고, 반사된 파워는 주파수 함수일 수 있기 때문에, 반사된 파워에 따라 발생기 주파수를 조절하면, RF 발생기에서 플라즈마로 이동된 파워를 증가시켜 발생기를 보호한다. 반사된 파워를 감소시키고 효율을 증가시키는 다른 방법은 매칭 네트워크와 관련된다.
매칭 네트워크(32A 및 32B)는 각각 코일(29 및 30)을 가지는 발생기(31A 및 31B)의 출력 임피던스와 매칭된다. RF 제어 회로는 매칭 네트워크 내의 캐패시터 값을 바꿈으로써 두 개의 매칭 네트워크를 튜닝하여 부하가 바뀔 때 발생기를 부하와 매칭시킬 수 있다. 부하에서 발생기로 다시 반사된 파워가 특정 제한치를 초과할 때 RF 제어 회로는 매칭 네트워크를 튜닝할 수 있다. 일정한 매칭을 제공하고 RF 제어 회로가 매칭 네트워크의 튜닝을 효과적으로 방지하는 일 방법은 반사된 파워의 예상치 이상으로 반사된 파워 제한치를 설정하는 것이다. 이것은 일부 조건에서 가장 최근의 조건에서 일정한 매칭 네트워크를 수용함으로써 플라즈마를 안정화시키는데 도움이 될 수 있다.
다른 측정 또한 플라즈마를 안정화시킬 수 있다. 예를 들어, RF 제어 회로가 부하(플라즈마)에 전달되는 파워를 결정하는데 사용될 수 있고 층 증착공정 동안 전달된 파워를 일정하게 유지하기 위하여 발생기 출력 파워를 증가시키거나 감소시킬 수 있다.
가스 전달 시스템(33)은 여러 소스(34A-34F)로부터의 가스를 가스 전달 라인(38)(일부만 도시됨)을 통해서 기판을 프로세싱하기 위해 챔버를 제공한다. 당업자에 의해 이해되는 바와 같이, 소스(34A-34F)를 위해 사용된 실제 소스 및 전달 라인(38)과 챔버(13)의 실제 접속은 챔버(13) 내에서 행해지는 증착 및 세정 공정에 따라 바뀐다. 가스는 가스 링(37) 및/또는 상부 노즐(45)을 통해서 챔버(13)에 삽입된다. 도 1B는 가스 링(37)의 부가적인 세부 사항을 도시한 챔버(13)의 단순화된 부분 단면도이다.
일 실시예에서, 제 1 및 제 2 가스 소스(34A 및 34B), 그리고 제 1 및 제 2 가스 흐름 제어기(35A' 및 35B')는 가스 전달 라인(38)(일부만 도시됨)을 통해서 가스 링(37)의 링 플리넘(36)에 가스를 공급한다. 가스 링(37)은 기판에 가스의 균일한 흐름을 공급하는 다수의 제 1 소스 가스 노즐(39)(설명을 목적으로 일부만 도시됨)을 가진다. 노즐의 길이 및 각도는 개별 챔버 내에 특정 처리를 위한 균일한 크기 및 가스 이용 효율에 맞출 수 있도록 바뀔 수 있다. 바람직한 실시예에서, 가스 링(37)은 산화 알루미늄 세라믹으로부터 얻어진 12개의 소스 가스 노즐을 가진다.
가스 링(37)은 또한 바람직한 실시예에서, 제 1 소스 가스 노즐(39)과 공동-평면이고 이 노즐보다 짧으며 그리고 일 실시예에서, 몸체 플리넘(41)으로부터 가스를 얻는 다수의 제 2 소스 가스 노즐(40)(그 중 하나만 도시됨)을 가진다. 몇몇 실시예에서, 가스를 챔버(13)에 주입하기 전에 여러 종류의 소스 가스를 혼합하지 않는 것이 바람직하다. 다른 실시예에서, 소스 가스는 몸체 플래눔(plenum)(41) 및가스 링 플래눔(36) 사이에 구멍(도시되지 않음)을 제공함으로써 가스를 챔버(13)에 주입하기 전에 혼합될수있다. 일실시에에서, 제 3 및 제 4 가스 소스(34C 및 34D), 및 제 3 및 제 4 가스 흐름 제어기(35C 및 35D')는 가스 전달 라인(38)을 통하여 몸체 플래눔에 가스를 제공한다. 질소 소스(34F)는 질소 플라즈마를 이용하는 처리 단계 동안 챔버에 대한 가스 링의 제 2 소스 가스 노즐(40)에 질소 가스(N2)를 제공한다. 선택적으로, 질소 가스는 가스 흐름 제어기(35F')를 통하여 상부 노즐(45) 같은 다른 또는 부가적인 입구를 통하여 챔버에 전달될수있다. 43B(다른 밸브는 도시되지 않음) 같은 부가적인 밸브는 흐름 제어기로부터 챔버로 가스를 차단합니다.
가연성, 유독성 또는 부식성 가스가 사용되는 실시예에서, 증착후 가스 유도라인에 남아있는 가스를 제거하는 것이 바람직하다. 이것은 밸브(43B) 같은 3 방향 밸브를 사용하여 유도 라인(38A)로부터 챔버(13)를 격리하고 유도 라인(38A)으로부터 진공 포어라인(44)으로 진행시킴으로써 달성됩니다. 도 1A에 도시된 바와 같이, 43A 및 43C 같은 다른 유사한 밸브는 다른 가스 유도 라인상에 통합될수있다. 상기 3 방향 밸브는 실제적으로 새지않는 가스 유도 라인(3 방향 밸브 및 매버 사이)의 체적을 최대화하기 위하여 챔버(13)에 밀접하게 배치된다. 부가적으로, 2 방향(온-오프) 밸브(도시되지 않음)는 매스 흐름 제어기("MFC") 및 챔버 사이 또는 가스 소스 및 MFC 사이에 배치된다.
도 1A를 다시 참조하여, 챔버(13)는 상부 노즐(45) 및 상부 벤트(vent)(46)를 가진다. 상부 노즐(45) 및 상부 벤트(46)는 가스의 상부 및 측면 흐름을 독립적으로 제어할 수 있도록 하여, 필름 균일도를 개선하고 필름 증착 및 도핑 파라미터를 미세하게 조절하게 한다. 상부 벤트(46)는 상부 노즐(45) 주변 환형 개구부이다. 일실시예에서, 제 1 가스 소스(34a)는 소스 가스 노즐(39) 및 상부 노즐(45)에 제공한다. 소스 노즐 MFC(35A')은 소스 가스 노즐(39)에 전달된 가스양을 제어하고 상부 노즐 MFC(35A)은 상부 가스 노즐(45)에 전달된 가스 양을 제어한다. 유사하게, 두개의 MFC(35B 및 35B')는 소스(34B) 같은 단일 소스로부터 상부 벤트(46) 및 제 2 소스 가스 노즐(40)로 가스의 흐름을 제어하기 위하여 사용될수있다. 상부 가스 노즐(45) 및 상부 벤트(46)에 공급된 가스는 가스를 챔버(13)에 흘리기전에 분리되어 유지되거나, 가스는 챔버(13)에 흐르기전에 상부 플래눔(48)에서 혼합된다. 동일 가스의 분리된 소스는 챔버의 여러 부분에 공급하기 위하여 사용된다.
원격 마이크로웨이퍼 발생 플라즈마 세척 시스템(50)은 챔버 구성요소로부터 증착 잔류물을 주기적으로 세척하기 위하여 제공된다. 세척 시스템은 반응기 공동(53)에서 세척 가스 소스(34E)(예를들어, 분자 플루오르, 니트로겐 트리플루오라이드, 다른 플루오르카본 또는 동등물)로부터 플라즈마를 형성하는 원격 마이크로웨이퍼 발생기(51)를 포함한다. 이런 플라즈마로부터 발생하는 반응 종은 애플리케이터 튜브(55) 및 세척 가스 공급 포트(54)를 통해 챔버(13)로 전달된다. 세척 플라즈마를 포함하기 위하여 사용된 재료(예를들어, 공동 53 및 애플리케이터 튜브 55)는 플라즈마에 의한 공격에 저항한다. 반응기 공동(53) 및 피드 포트(54)사이의 거리는 실제적으로 짧게 유지되어야 하는데, 그 이유는 바람직한 플라즈마 종의 농도가 반응기 공동(53)으로부터의 거리에 따라 낮아지기 때문이다. 원격 공동에서 세척 플라즈마를 발생하는 것은 효율적인 마이크로웨이퍼 발생기를 사용하도록 하고 챔버가 온도,방사선, 또는 원위치에서 형성된 플라즈마에 존재할 수 있는 글로우 방전의 범버딩에 영향을 받지 않도록 한다. 결과적으로, 정전기 척(20) 같은 비교적 민감한 구성요소는 적소의 플라즈마 세척 처리와 함께 요구된 바와같은 더미 웨이퍼로 커버되거나 보호될 필요가 없다.
시스템 제어기(60)는 시스템(10)의 동작을 제어한다. 바람직한 실시예에서, 제어기(60)는 하드 디스크 드라이브, 플로피 디스크 드라이브(도시되지 않음), 및 프로세서(61)에 결합된 카드 랙 같은 메모리(62)를 포함한다. 카드 랙은 단일 보드 컴퓨터(SBC)(도시되지 않음), 아날로그 및 디지탈 입력/출력 보드(도시되지 않음), 인터페이스 보드(도시되지 않음), 및 스텝퍼 모터 제어기 보드(도시되지 않음)를 포함할 수 있다. 상기 시스템 제어기는 보드, 카드 케이지, 및 접속기 크기 및 형태를 한정하는 버사 모듈러 유럽펀(Versa Modular European)(VME) 표준에 적합하다. VME 표준은 16 비트 데이타 버스 및 24 비트 어드레스 버스를 가지는 것과 같이 버스 구조를 한정한다. 시스템 제어기(31)는 원격 디스크상에 저장된 프로그램 같은 다른 컴퓨터 프로그램을 통하여 또는 하드 디스크 드라이브상에 저장된 컴퓨터 프로그램의 제어하에 동작한다. 컴퓨터 프로그램은 예를들어 타이밍, 가스 혼합물, RF 전력 레벨 및 다른 특정 처리의 파라미터를 지시한다. 사용자 및 시스템 제어기 사이의 인터페이스는 도 1C에 도시된 바와같이 음극선관(CRT)(65) 같은 모니터, 및 광펜(66)을 통하여 있다.
도 1C는 도 1A의 예시적인 CVD 처리 챔버와 관련하여 사용된 예시적인 시스템 사용자 인터페이스의 일부를 도시한다. 시스템 제어기(60)는 컴퓨터 판독가능 메모리(62)에 결합된 프로세서(61)를 포함한다. 바람직하게, 메모리(62)는 하드 디스크 드라이브이지만, 메모리(62)는 ROM, PROM 등과 같은 다른 메모리의 일종일 수 있다.
시스템 제어기(60)는 메모리(62)내에서 컴퓨터 판독 가능 포맷으로 저장된 컴퓨터 프로그램(63)의 제어하에 동작한다. 컴퓨터 프로그램은 타이밍, 온도, 가스 흐름, RF 전력 레벨 및 다른 특정 처리 파라미터를 가리킨다. 사용자 및 시스템 제어기 사이의 인터페이스는 도 1C에 도시된 바와같이 CRT 모니터(65) 및 광펜(66)을 통하여 있다. 바람직한 실시에에서, 두개의 모니터(65 및 65A), 및 두개의 광펜(66 및 66A)이 사용되고, 하나가 조작자를 위한 세척 룸 벽(65)에 장착되고, 다른 하나가 서비스 기술자용 벽(65A) 뒤쪽에 장착된다. 양쪽 모니터는 동시에 동일 정보를 디스플레이하지만, 단지 하나의 광펜(예를들어, 66)이 인에이블된다. 특정 스크린 또는 기능를 선택하기 위하여, 조작자는 디스플레이 스크린 영역과 접촉하고 펜상의 버튼(도시되지 않음)을 누른다. 접촉된 영역은 예를들어, 색을 변화하거나 새로운 메뉴를 디스플레이함으로써 광펜에 의해 선택된다.
컴퓨터 프로그램 코드는 68000 어셈블리 언어, C, C++, 포트란, 파지컬 또는 다른 언어 같은 임의의 통상적인 컴퓨터 판독가능 프로그래밍 언어로 기입될수있다. 적당한 프로그램 코드는 통상적인 텍스트 에디터를 사용하여 단일 파일 또는 다중 파일에 진입되고 컴퓨터의 메모리 시스템 같은 컴퓨터 사용 매체에 저장되거나 사용된다. 만약 진입된 코드 텍스트가 고레벨 언어이면, 코드는 컴파일되고, 결과 컴파일러 코드는 미리컴파일된 윈도우 라이브러리 루틴의 대상물 코드와 연결된다. 링크된 컴파일 대상물 코드를 실행하기 위하여, 시스템 사용자는 컴퓨터 시스템이 메모리의 코드를 로드하도록 대상물 코드를 호출한다. CPU는 메모리로부터 코드를 판독하고 프로그램에서 식별된 임무를 수행하기 위하여 코드를 실행한다. 도 1D는 컴퓨터 프로그램(90)의 계측정 제어 구조의 블록 다이어그램을 도시한다. 사용자는 광펜 인터페이스를 사용함으로써 CRT 모니터상에 디스플레이된 메뉴 또는 스크린에 응답하여 처리 설정 번호 및 처리 챔버 번호를 처리 선택기 서브루틴(73)에 입력한다. 처리 세트는 특정 처리를 수행하기 위하여 필요한 처리 파라미터의 소정 세트이고, 소정 세트 번호에 의해 식별된다. 처리 선택된 서브루틴(73)은 (ⅰ) 다중챔버 시스템의 목표된 처리 챔버, 및 (ⅱ) 목표된 처리를 수행하기 위하여 처리 챔버를 동작시키기 필요한 처리 파라미터의 목표된 세트를 식별한다. 특정 처리를 수행하기 위한 처리 파라미터는 처리 가스 구성과 흐름비, 기판 온도, 압력, RF 파워 레벨 같은 플라즈마 조건 및 챔버 돔 온도같은 조건에 관련하며 사용법의 형태로 사용자에게 제공된다. 사용법에 의해 설명된 파라미터는 라이트펜/CRT모니터 인터페이스를 사용하여 입력된다.
처리를 모니터링하기 위한 신호는 시스템 제어기(60)의 아날로그 및 디지털 입력 보드에 의해 제공되며, 처리를 제어하기 위한 신호는 시스템 제어기(60)의 아날로그 및 디지털 출력 보드 상에 출력된다.
처리 시퀀서 서브루틴(75)은 정의된 처리 챔버와 처리 선택 서브루틴(73)으로부터 처리 파라미터의 셋을 수용 및 다양한 처리 챔버의 작동을 제어하기 위한 프로그램 코드를 포함한다. 다중 사용자는 처리 셋 번호 및 처리 챔버 번호를 입력할 수 있거나 또는 단일 사용자는 다중 처리 번호 및 처리 챔버 번호를 입력할 수 있다; 시퀀서 서브루틴(75)은 소정의 시퀀스에서 선택된 처리를 예정한다. 바람직하게, 시퀀서 서브루틴(75)은 (ⅰ) 챔버가 사용중인 지를 결정하기 위해 처리 챔버의 작동을 모니터링하는 단계, (ⅱ) 사용되는 챔버에서 어떤 처리가 행해지는지를 결정하는 단계, 및 (ⅲ) 처리 챔버 및 수행될 처리 타입의 유용성에 기초한 소정의 처리를 실행하는 단계를 수행하기 위한 프로그램 코드를 포함한다. 프로세싱 챔버를 모니터링하는 통상적인 방법은 폴링(polling)처럼 사용될 수 있다. 어떤 처리가 수행될 지를 예정할 때, 시퀀서 서브루틴(75)은 "특정 사용자 입력 요구의 에이지(age) 또는 선택된 처리에 대한 소정의 처리 조건과 비교되어 사용되는 처리 챔버의 현재 조건 또는 시스템 프로그래머는 예정 우선 순위를 결정하기 위해 포함하기를 원하는 다른 소정의 상대적인 요소를 고려하여 설계될 수 있다.
시퀀서 서브루틴(75)이 어떤 챔버 및 처리 셋 조합이 다음에 실행될 지를 결정한 후에, 시퀀서 서브루틴(75)은 시퀀서 서브루틴(75)에 의해 전송되는 처리 셋에 따라 챔버(13) 및 가능한 다른 챔버(미도시)에서 다중 프로세싱 업무를 제어하는 챔버 관리 서브루틴(77a-c)으로 특정 처리 셋 파라미터를 통과시킴으로써 처리 셋의 실행을 초기화한다.
챔버 구성 서브루틴의 예는 기판 포지셔닝 서브루틴(80), 처리 가스 제어 서브루틴(83), 압력 제어 서브루틴(85) 및 플라즈마 제어 서브루틴(87)이다. 기술 분야에서의 당업자는 다른 챔버 제어 서브루틴이 어떤 처리가 챔버(13)에서 수행되기 위해 선택될 지에 의존하여 포함될 수 있음을 이해할 것이다. 작동시, 챔버 관리 서브루틴(77a)은 선택적으로 실행될 특정 처리와 관련한 처리 구성 서브루틴을 예정 또는 호출한다. 챔버 관리 서브루틴(77a)은 시퀀서 서브루틴(75)이 처리 챔버 및 실행할 처리를 예정하는 것과 동일한 방식으로 처리 구성 서브루틴을 예정한다. 통상적으로, 챔버 관리 서브루틴(77a)은 다양한 챔버 요소를 모니터링하는 단계, 실행될 처리 셋에 대한 처리 파라미터에 기초하여 어떤 요소가 작동될 필요가 있는 지를 결정하는 단계, 및 모니터링과 결정 단계에 응답하는 챔버 구성 서브루틴의 실행을 일으키는 단계를 포함한다.
특정 챔버 요소 서브루틴의 작동은 도 1A 및 도 1D를 참조하여 설명될 것이다. 기판 포지셔닝 서브루틴(140)은 기판 지지 번호(18) 상으로 기판을 로딩하는데 사용되는 챔버 요소를 제어하기 위한 프로그램 코드를 포함한다. 기판 포지셔닝 서브루틴(140)은 다른 프로세싱이 완결된 후 예를 들어 다중 챔버 시스템에서의 PECVD 반응장치 또는 다른 반응 장치로부터 챔버(13)로 기판의 운송을 제어할 수도 있다.
처리 가스 제어 서브루틴(83)은 처리 가스 구성 및 흐름비를 제어하기 위한 프로그램 코드를 가진다. 서브루틴(83)은 소정의 가스 흐름비 얻기 위해 안전한 셧-오프 밸브의 개/폐 위치를 제어하며 매질 흐름 제어기를 램핑 업/램핑 다운한다. 처리 가스 제어 서브루틴(83)을 포함한 모든 챔버 요소 서브루틴은 챔버 관리 서브루틴(77a)에 의해 호출된다. 서브루틴(83)은 소정의 가스 흐름비와 관련한 챔버 관리 서브루틴(77a)으로부터 처리 파라미터를 수신한다.
통상적으로, 처리 가스 제어 서브루틴(83)은 가스 공급 라인을 개방하며, 반복적으로 (ⅰ) 필요한 매질 흐름 제어기를 판독하며, (ⅱ) 챔버 관리 서브루틴(77a)로부터 수신된 소정의 흐름비와 판독 결과를 비교하며, (ⅲ) 필요한 대로 가스 공급 라인의 흐름비를 조정한다. 더욱이, 처리 가스 제어 서브루틴(83)은 불안전한 조건이 검출되었을 때 불안전한 비율 및 안전한 셧-오프 밸브를 활성화 시키기 위한 가스 흐름비를 모니터링 하기 위한 단계를 포함한다.
소정의 처리에서, 아르곤 같은 불활성 가스는 활동 처리 가스가 유도되기 전에 챔버에서 압력을 안정시키기 위해 챔버(13)로 흘려진다. 이러한 처리를 위해, 처리 가스 제어 서브루틴(83)은 챔버에서 압력을 안정화시키기 위해 필요한 시간의 양에 대응하는 불활성 가스를 챔버(13) 내로 흐르게 하는 단계를 포함한다. 이어 전술된 단계들이 실행될 수도 있다.
게다가, 처리 가스가 예를 들어 테트라오소실란(TEOS:tetraethylorthos ilane) 같은 액체 선구체로부터 증발될 때, 처리 가스 제어 서브루틴(83)은 버블 어셈블리에서 액체 선구체를 통해 헬륨같은 운반 가스를 버블링하는 단계 또는 액체 주입 밸브로 헬륨을 유도하기 위한 단계를 포함한다. 이러한 타입의 처리을 위해, 처리 가스 제어 서브루틴(83)은 소정의 처리 가스 흐름비를 얻기 위해 운반 가스의 흐름, 버블러에서의 압력 및 버블러 온도를 조절한다. 전술한 대로, 소정의 가스 흐름비는 처리 파라미터처럼 처리 가스 제어 서브루틴(83)으로 전달된다.
더욱이, 처리 가스 제어 서브루틴(83)은 주어진 처리 가스 흐름비에 대한 필요한 값을 포함하는 저장된 테이블을 엑세싱함으로써 운반 가스 흐름비, 버블러 압력 및 버블러 온도를 얻기 위한 단계를 포함한다. 일단 필요한 값이 얻어지면, 운반 가스 흐름비, 버블러 압력 및 버블러 온도는 모니터링되며, 필요한 값과 비교되어 그에 따라서 조정된다.
처리 가스 제어 서브루틴(83)은 독립 헬륨 제어(IHC) 서브루틴(미도시)을가진 웨이퍼 척(chuck)에서 내부 및 외부 통로를 통해 헬륨같은 열 전달 가스의 흐름을 제어할 수도 있다. 가스 흐름은 열적으로 기판을 척과 결합한다. 통상적인 처리에서, 웨이퍼는 층을 형성하는 플라즈마 및 화학반응에 의해 가열되며, He은 척을 통해 기판을 냉각시키며, 수냉식도 가능하다. 이는 기판을 기판 상에 미리 존재하는 형상을 손상시킬수 있는 온도 이하로 유지시킨다.
압력 제어 서브루틴(85)은 챔버의 배출 부분에서 스로틀 밸브(26)의 개구부의 크기를 조절함으로써 챔버(13)의 압력을 제어하기 위한 프로그램 코드를 포함한다. 스로틀 밸브로 챔버를 제어하는데는 적어도 두 가지 방법이 있다. 제 1 방법은 다른 것들 중에 종합 처리 가스 흐름, 처리 챔버의 크기 및 펌핑 용량에 관련한 챔버 압력을 특성화하는 것에 있다. 제 1 방법은 스로틀 밸브(26)를 고정 위치에 셋팅한다. 고정 위치로 셋팅한 스로틀 밸브(26)는 결국 안정 상태의 압력을 초래한다.
택일적으로, 챔버 압력은 예를 들어 마노미터(manometer)로 측정될 수도 있으며, 스로틀 밸브(26)의 위치는 제어 위치가 가스 흐름 및 배기 용량에 의해 셋팅된 경계 내에 있다는 것을 나타내는 압력 제어 서브루틴(85)에 따라 조절될 수도 있다. 전자의 방법은 후자의 방법과 관련한 측정, 비교 및 계산이 호출되지 않으므로 더 신속한 챔버 압력 변화를 초래할 수도 있다. 전자의 방법은 챔버 압력의 정확한 제어가 요구되지 않는 경우 바람직한 반면, 후자의 방법은 층을 증착하는 동안 정확하고, 반복적이며 안정된 압력이 요구되는 경우 바람직하다.
압력 제어 서브루틴(85)이 실시되는 경우, 목표물, 또는 타겟 압력 레벨은 챔버 매니저 서브루틴(77a)으로부터의 파라미터로서 수신된다. 압력 제어 서브루틴(85)은 챔버에 연결된 1개 이상의 종래의 압력계를 판독함으로써 챔버(13)에서 압력을 측정한다; 타겟 압력과 측정된 값(들)을 비교한다; 타겟 압력에 해당하는 저장된 압력 테이블로부터 비례, 적분, 및 미분(PID) 값을 얻고, 압력 테이블로부터 얻은 PID 값들에 따라 트로틀(throttle) 밸브(26)를 조절한다. 다른 방법으로, 압력 제어 서브루틴(85)은 원하는 압력 또는 압력 범위로 챔버(13)내에서의 압력을 조절하도록 특정한 구멍 크기로 트로틀 밸브(26)를 개방 또는 폐쇄시킬 수 있다.
플라즈마 제어 서브루틴(87)은 RF 발생기(31A, 31B)의 주파수를 조절하고 출력 전력을 세팅하고 매칭 네트워크(32A, 32B)을 터닝하기 위한 프로그램 코드를 포함한다. 플라즈마 제어 서브루틴(87)은 상술된 챔버 컴포넌트 서브루틴과 마찬가지로, 챔버 매니저 서브루틴(77a)에 의해 실시된다.
상술된 하부시스템(subsystem) 및 루틴의 일부 또는 모두를 포함할 수 있는 시스템의 예로는 본 발명을 실시하도록 구성된, 캘리포니아 산타클라라에 위치한 Applied Materials, Inc.에서 제작된 ULTIMA™시스템이 있다. 이러한 시스템의 보다 상세한 설명은, 본 명세서에서 참조로 하며 공동 발명자로서 Fred C. Redeker, Farhad Moghadam, Hirogi Hanawa, Tetsuya Ishikawa, Dan Maydan, Shijian Li, Brian Lue, Robert Steger, Yaxin Wang, Manus Wong 및 Ashok Sinha를 포함하며, "Symmetric Tunable Inductively-Coupled HDP-CVD Reactor"란 제목으로 1996년 7월 15일 출원된, 미국 특허 출원 08/679,927호에 개시되어 있다. 설명된 시스템은 단지 예시적인 것이다. 본 기술 분야에 통상의 지식을 가진 자는 본 발명을 실행하기 위해 종래의 기판 처리 시스템 및 컴퓨터 제어 시스템을 적절히 선택하는데 문제가 없을 것이다.
Ⅲ. 예시적 구조
도 2는 본 발명의 사용하여 구성될 수 있는 집적 회로(200)의 간략화된 단면도를 나타낸 것이다. 도시된 것처럼, 집적 회로(200)는 국부적 실리콘 산화(LOCOS), 또는 다른 기술에 의해 형성된 전계 산화 영역(220)에 의해 서로 분리되고 전기적으로 절연되어 있는 NMOS 및 PMOS 트랜지스터(203, 206)를 포함한다. 다른 방법으로, 트랜지스터(203, 206)가 모두 NMOS 또는 모두 PMOS인 경우 트랜지스터(203, 206)는 그루브 트렌치 절연(도시되지 않음)에 의해 서로 분리되고 전기적으로 절연될 수 있다. 각각의 트랜지스터(203, 206)는 소스 영역(212), 드레인 영역(215) 및 게이트 영역(218)을 포함한다.
이전 금속 유전체(PMD;premetal dielectir)층(221)은 금속층(240)과 콘택(224)으로 구성된 트랜지스터 사이의 연결하여 금속층(240)으로부터 트랜지스터(203, 206)을 분리시킨다. 금속층(240)은 집적 회로(200)에 포함된 4개의 금속층들(240, 242, 244, 246)중 하나이다. 금속층(240, 242, 244, 246) 각각은 상호-금속 유전체(IMD;inter-metal dielectric)층들(227, 228, 229) 각각에 의해 인접한 금속층들과 분리된다. 인접한 금속층들은 비아(226)에 의해 선택된 개구부에 연결된다. 금속층(246) 위로 증착된 보호층(230)이 평탄화처리된다.
단순화시킨 집적 회로(200)는 단지 설명을 위한 것임을 이해할 수 있을 것이다. 본 기술분야의 통상의 지식을 가진 자는 마이크로프로세서, 응용 주문형 집적 회로(ASIC), 메모리 장치등의 다른 집적 회로의 제조와 관련하여 본 발명을 실행할 수 있을 것이다. 또한, 본 발명은 PMOS, NMOS, CMOS, 바이폴라 또는 BiCMOS 장치에 응용할 수 있다.
Ⅳ 배리어층 증착의 예
본 발명은 특히 배리어층에 유용한 낮은 유전 상수의 층을 증착하는 방법을 제공한다. 이러한 방법은 도 3(a), 3(b), 4(a) 및 4(b)를 참조로 한다. 도 3(a) 및 3(b)는 본 발명의 제 1 및 제 2 실시예의 순서도를 설명한다. 도 4(a) 및 4(b)는 각각 도 3(a) 및 3(b)에 예시된 방법에 따라 증착된 스택의 또다른 실시예를 나타낸다.
상기 방법의 제 1 실시예는 도 3(a) 및 4(a)를 동시에 참조한다. 도 3(a)는 낮은-k 배리어층(404)을 갖는 막(400)을 증착하는 방법(300)의 순서도를 설명한다. 상기 방법(300)은 302 단계에서 시작된다. 단계(304)에서 가스 혼합물을 함유한 탄화수소를 함유하고 실리콘을 함유한 가스 혼합물을 함유한 흐름은 챔버(13)와 같은 처리 챔버로 주입된다. 전형적으로, 탄화수소는 알칸이고 실리콘을 함유한 가스는 실란이다. 가스 혼합물은 선택적으로 불활성 가스를 포함할 수 있다. 챔버 압력은 전형적으로 5 내지 10 millitorr 사이로 유지된다. 챔버 벽 온도는 전형적으로 약 120℃ 내지 160℃ 사이로 유지된다.
유전 상수(k)처럼 배리어층(404)에서의 원하는 특성은 상기 층에서의 탄소대 실리콘 원자의 비율에 따라 좌우된다. 상술된 것처럼, 탄소대 실리콘(C:Si)의 원자비가 약 55:45 내지 약 65:35인 사이인 배리어층(404)을 증착시키는 것이 바람직하다. 배리어층에서 C:Si 비율이 너무 낮은 경우, 유전 상수는 C:Si가 약 50:50인 비율에 대해 예를 들어 약 7.0으로 바람직하지 않게 커지게 된다. 추가로, 배리어층의 누설 전류는 층에서의 C:Si 비율이 감소함에 따라 증가하는 경향이 있다. 상기 층에서 C:Si 원자 비율은 가스 혼합물의 C:Si 원자 비율에 따르게 된다. 일반적으로, 가스 혼합물에서의 C:Si 비율은 1:1 이상, 바람직하게는 약 3:1 내지 약 8:1 사이이다. 일반적으로, 가스 혼합물에서 부여된 C:Si 비율은, 기판 온도가 높을수록 증착된 층에서의 C:Si 비율이 낮다. 따라서, 가스 혼합물에서 상대적으로 높은 C:Si 비율은 높은 기판 온도에서 배리어층을 증착하는 경우 바람직할 수 있다.
가스 혼합물에서 탄소:실리콘 비율은 탄화수소 가스:실리콘 함유 가스 흐름 비율에 따라 좌우되며 가스의 화학량론과 관련된다. 일반적으로, 탄화수소를 함유한 가스 CxHn에 대해 흐름비가 A이고 실리콘 함유 가스 SiyHm에 대해 흐름비가 S인 경우, 탄소:실리콘 비율은 C:Si=Ax:Sy로 부여된다.
특정 실시예에서, 알칸은 메탄(CH4)이고 실란은 모노실란(SiH4)이다. 또한 Ar과 같은 불활성 가스가 제공된다. 예를 들어, 약 1:1의 C:Si 비율이 CH4(x=1) 및 SiH4(y=1)인 것이 바람직한 경우, CH4:SiH4흐름 비율은 약 1:1이다. 다르게, 에탄(C2H6, y=2)과 SiH4의 가스 혼합물을 사용하여 약 1:1의 C:Si의 비율을 얻기 위한, C2H6:SiH4흐름비는 약 1:2이다. 도 1에 설명된 챔버에 있어서, 증착 가스 흐름비의 범위는 SiH4에 대해 10 내지 30 분당 표준 큐빅 센티미터(sccm)이고, CH4에 대해서는 60 내지 100sccm, 이고 Ar에 대해서는 0 내지 100sccm이다.
가스 혼합물은 실리콘과 같은 기판(402)상에 배리어층(404)을 증착시키기 위해 플라즈마를 형성하도록 단계(306)에서 전력이 가해진다. 바람직한 실시예에서, 플라즈마는 고밀도 플라즈마(HDP), 즉 플라즈마는 대략 1011ions/㎤ 이상의 이온 밀도를 갖는다. 다르게는, 예를 들어 108-109ions/㎤의 저밀도 플라즈마가 PECVD 공정에 사용될 수 있다. 상기 플라즈마는 예를 들어, 도 1A의 소스 플라즈마 시스템(80A) 및/또는 바이어스 플라즈마 시스템(80B)과 같은 적절한 플라즈마 발생 시스템에 의해 활성화될 수 있다. 예를 들어, 챔버(13)와 같은 HDP 챔버에서, 1500 에서 4800 W 사이의 RF 전력이 탑 코일(29)에 가해지며 3000 에서 4800 W 사이의 RF 전력이 사이드 코일(30)에 가해진다.
일반적으로, 유일한 소스 RF 전력은 플라즈마 발생, 필름 증착 및 기판 온도 제어에 사용된다. 바이어스 전력은 두가지 이유에서 증착 공정에 일반적으로 사용되지 않는다. 먼저, 상기 플라즈마는 알칸 및 시레인 개스들로부터 쉽게 용해되고 HDP와 같은 플라즈마에서 이온화되는 수소를 포함한다. 그 결과의 수소 이온들은 웨이퍼 바이어스에 의해 에너지를 공급받아 상기 웨이퍼에 활발하게 충돌한다. 충분하게 활발한 충돌은 수소를 웨이퍼로 주입할 수 있다. 웨이퍼로의 수소 주입은 주입된 수소가 예를 들어 집적 회로(200)의 게이트 영역(218)과 같이 기판상에 형성되 집적 회로 장치 구조들의 엘리먼트들로 쉽게 확산할 수 있으며 장치들의 "핫 캐리어(hot carrier)" 성능저하를 유발시키기 때문에 일반적으로 바람직하지 않다. 둘째로, 아르곤이 플라즈마에 존재하고 웨이퍼가 바이어스되면, 아르곤 이온들은 활발하게 웨이퍼에 충돌하기 쉽다. 활발한 충돌은 웨이퍼로부터 물질을 스퍼터링 할 수 있으며 스퍼터된 물질은 챔버의 벽들상에 부착되기 쉽다. 결과적으로, 바이어스가 없는 공정에 비하여 바이어스 공정에는 더욱 자주 챔버를 세척하는 것이 요구된다. 아르곤 스퍼터링은 또한 증착되는 층에서 Si-C 결합들과 같은 결합들을 끊을 수 있다. 결합이 끊어지는 것은 증착된 층이 수분을 흡수하는 것을 더욱 쉽게하는 경향이 있다.
기판 온도는 배리어 층 증착동안 일반적으로 350 에서 450 ℃로 유지된다. 백사이드 He 냉각은 선택적으로 공정 온도 제어 및 타깃을 위해 사용될 수 있다. 때때로 500 W에 달하는 하위 바이어스 RF 전력은 백사이드 방사율 웨이퍼 온도 제어를 갖는 폐쇄 루프를 사용하는 증착 온도 제어를 위해 사용될 수 있다. 예를 들어, 에피택셜 실리콘(epi) 웨이퍼들은 일반 실리콘 웨이퍼들에 대한 1-80 Ωㆍ㎝에 비교하여 epi 웨이퍼들에 대해 일반적으로 0.01-0.02 Ωㆍ㎝의 상대적으로 낮은 저항성을 갖는다. 결과적으로, epi 웨이퍼들은 소스 RF 만으로는 가열될 수 없으며 웨이퍼를 원하는 온도까지 가열하는데 조력하는 바이어스 RF가 필요하다. 예를 들어, 낮은 바이어스 전력(200mm 웨이퍼들에 400W, 또는 1.3 W/㎠)은 공정동안 원하는 온도까지 epi 웨이퍼를 가열하는데 사용된다. 상기 레벨의 전력은 웨이퍼를 가열하는데는 충분히 크지만 상기에 언급된 스퍼터링 및 수소 주입 문제들이 실제적으로 감소하도록 하는데는 충분히 작다. 반대로, 스퍼터링이 바람직한 갭 충전 애플리케이션에서는, 웨이퍼 바이어스는 3500 W(200mm 웨이퍼에 대해 23 W/㎠) 또는 그이상만큼 클 수 있다.
배리어층(404)과 같은 배리어층은 도 4(b)에 도시된 바와 같은 여러 물질 층들로 이루어진 집적 스택의 부분일 수 있다. 선택적인 실시예에서, 배리어층은 제 1 유전층의 탑에 증착된다. 제 2 유전층은 그 뒤에 배리어층(404)의 탑에 증착된다. 배리어층은 전체 필름 두께에 비해 아주 두꺼울 필요는 없다. 전형적인 실시예에서 배리어층은 전체 필름(400) 약 10,000Å 두께의 외부에 약 500-1000Å에 이를 수 있다. 제 1 및 제 2 유전층들은 플라즈마 강화 화학 기상 증착(PECVD) 및 HDP-CVD 를 포함하는 어떤 종래의 수단에 의해 증착될 수 있다. 그러나, 공정 집적의 측면에서 볼때, 배리어층 증착에 사용되는 동일 챔버에서 모든 층들을 증착하는 것이 더욱 편리하다. 따라서, 예를 들어 배리어층이 HDP-CVD 챔버에 증착된다면, 동일 챔버에서 HDP-CVD에 의해 제 1 및 제 2 유저층들을 증착하는 것이 편리하다.
도 3(a)의 방법은 본 발명의 제 2 실시예에 따라 도 4(b)에 도시된대로 다층 집적 스택을 발생하기 위해 도 3(b)에 도시된대로 변조될 수 있다. 집적 스택(401)은 일반적으로 제 1 물질층(403), 배리어층(405), 및 제 2 물질층(407)을 포함한다. 비록 제 1 및 제 2 층들(403, 407)이 유전 물질층들로 상기에 기술되지만, 층들(403, 407)은 유전 물질들, 반도체 물질들 및 금속들을 포함하는 어떤 유형의 물질도 포함할 수 있다. 층들(403, 407)은 특정 애플리케이션에 따라 같은 유형의 물질이거나 다른 유형들로 될 수 있다. 게다가 집적 스택(401)은 여러개의 다른 층들을 포함할 수 있다.
제 2 실시예의 전형적인 변형에서, 스택(401)은 반도체 웨이퍼와 같은 기판(409)상에 증착된다. 방법의 제 2 실시예(301)는 303에서 시작한다. 먼저 FSG층(403)은 단계(305)에서 기판(409)상에 증착된다. 제 1 물질층(403)은 물리 기상 증착(PVD), 화학 기상 증착(CVD), 부속 대기 CVD(SACVD), 대기 압력 CVD(APCVD), 플라즈마 조력 CVD(PACVD), PECVD 및 HDP CVD를 포함하는 종래의 수단들에 의해 증착될 수 있다. 유전층(403)을 증착한후에, CH4, SiH4, Ar의 유출을 포함하는 기체 혼합물은 단계(307)에서 기판(409)을 포함하는 공정 챔버로 유도된다. 개스들의 증착에 대한 유출 속도는 C4에 대해서는 60 에서 100 sccm이며 Ar에 대해서는 0 에서 100 sccm의 범위를 갖는다.
기체 혼합물은 제 1 유전층(403)위에 배리어층(405)을 증착하기 위한 플라즈마를 형성하도록 단계(309)에서 활성화된다. 제 1 실시예에 따라 공정 집적 측면에서 볼때, 동일한 챔버에 유전층(403) 및 배리어층(405) 둘다를 증착하는 것이 바람직하다. 바람직하게는 플라즈마는 고밀도 플라즈마(HDP)이다. 소스 RF 전력, 바이어스 RF 전력, 챔버 압력, 챔버 온도 및 기판 온도는 일반적으로 제 1 실시예에 대해 상기에 설정된 범위들내에서 유지된다. 배리어층(405)은 스택(401)의 전체 원하는 두께에 비해 아주 두꺼울 필요는 없다. 한 전형적인 실시예에서 배리어층(405)은 10,000Å의 전체 두께를 갖는 스택(401)의 외부에 500 에서 1000Å사이에 달한다. 배리어층(405) 증착에 이어서, 제 2 유전층(407)은 단계(311)에서 선택적으로 증착될 수 있다. 선택적으로, 금속, 반도체 물질 또는 다른 물질층이 증착될 수 있다. 비록 어떤 종래 증착 기술이 사용될지라도, 제 1 유전층(403) 및 배리어층 (405)으로 동일 챔버에서 제 2 유전층(407)을 증착하는 것이 공정 집적의 이유로 바람직하다. 제 2 실시예의 전형적인 변형은, 제 1 층(403) 및 제 2 층(407)은 SiH4, 실리콘 4플루오르화물(SiF4), 산소(O2) 및 Ar을 사용하는 HDP-CVD에 의해 증착된 불소첨가 규산염 유리(FSG) 층들이다.
Ⅴ. 전형적인 다마신 공정
도 3(a) - 4(b)에 대해 상기에 기술된 배리어층 및 집적 스택 증착은 다마신 공정에 이용될 수 있다. 다마신 구조는 IMD 층에서 상기에 기술된 유형의 10-12 하위 k 배리어층들만큼, 또는 에칭 중지층들만큼을 포함할 수 있다. IMD 층을 형성하는데 상기에 기술된 하위 k 배리어층 증착을 이용하는 이중 다마신 공정 집적 설계의 예는 도 5(a)-5(h)에 도시된다. 이중 다마신 공정은 도 5(a)에 도시된대로 실리콘 기판(500) 위에 산화물층(502)의 증착으로 시작한다. 제 1 Si-C-H 하위 k 배리어층(504)은 예를 들어, SiH4및 CH4를 사용하는 HDP-CVD 에 의해 상기에 기술된 알칸/시레인 증착 공정을 사용하여 산화물층(502)위에 증착된다. 몇몇 애플리케이션들에서 층(504)은 하드마스크 또는 에칭 중지층으로 동작한다. 제 1 FSG 층(506)은 도 5(b)에 도시된대로 제 1 포토리소그래피(photolithography)동안 패턴화된 포토레지스트층(508)으로 증착되고 커버된다. 제 1 FSG 층(506)은 공정 집적을 강화하기 위해 동일 챔버에 의해 증착될 수 있다. 도 5(c)에서, 제 1 에칭은 제 1 FSG 층(506)에서 하드마스크층(504)으로의 갭들(510)의 제 1 세트를 형성한다.
첫번째 에칭 뒤에, 포토레지스트(508)가 예컨대 산화 환경에서 재로 만들므로써 스트립된다. 갭(501)과 첫번째 FSG 층(506)은 알루미늄이나 구리와 같은 층으로 덮인다. 구리의 경우에는, 시드 층(512;도 5c)이 갭(501)과 첫번째 FSG 층(506) 위에 도착된다. 첫번째 벌크 구리 층(514)는 도 5d에 보여진 바와 같이 상기 갭(501)을 채우도록 도착된다. 몇몇 응용에서는, 장벽 층(미도시)이 시드 층(512)의 도착에 앞서 첫번째 FSG 층(516)과 갭(510)위에 도착된다. 상기 장벽 층은 구리와 FSG의 혼합 확산을 막는다. 구리 층(514)는 예컨대 CMP에 의해 평면화된다. 구리층(514)의 평면화는 예컨대 상호 연결 구조에서 첫번째 구리 라인 세트(515)를 형성한다.
구리층(514), 두번째 장벽층(516), 두번째 FSG층(518), 세번째 장벽층(520) 및 세번째 FSG 층(522)의 평면화 후에, 도 5e에 보여진 바와 같이 IMD층(521)을 형성하도록 도착된다. 층(518,520 및 522)은, IMD 층(521)을 형성하기 위한 처리 통합을 강화하기 위해 동일한 챔버 예컨대 HDP-CVD에 도착된다. 두번째 리소스래피와 에칭은 도 5f에 보여진 바와 같이 층(516,518,520 및 522)을 통하여 구리층(514)까지 바이어스(524)를 만들어 낸다. 도 5(g)에서는, 세번째 리소그리피와 에칭인 두번째 갭 세트(526)를 만들어 낸다. 갭(526)은 두번째 금속 라인 세트를 만들고, 바이어스(524)는 금속 라인의 두번째 세트와 갭(510)과 구리층(514)에 의해 만들어진 첫번째 금속 라인 세트의 상호 연결 세트를 만들어 낸다. 바이어스(524)와 갭(526)은 두번째 벌크 구리층으로 채워지고, 도 5h에 보여진 바와 같이 단련되고 평면화 된다. 갭(526)은 두번째 금속 라인 세트(528)을 만들어 내고 바이어스(524)는 두번째 금속 라인 세트(528)와 첫번째 금속 라인 세트(515)사이의 상호 연결 세트(525)를 만들어 낸다.
현재 구리를 에칭하기 위한 사용가능한 방법이 없기 때문에, 다마신 처리가 구리 상호 연결을 사용하는 장치에서 사용된다. 다마신 처리에 의해 형성된 구조는 갭 채우기 유전체를 필요로 하지 않으며, 일반적으로 금속 라인 알루미늄, 텅스텐, 티타늄 또는 다른 금속들을 하영하여 형성된 유사한 구조들 보다 더 낮은 RC 딜레이를 제공한다. 또한, 갭 채우기 작업은 중요한 문제가 아니기 때문에 더 높은 도착율이 다마신 처리에서 사용된다. 장벽층(506,516 및 520)중 어떤 것도 도 2,3(a),3(b),4(a) 및 4(b)에 관하여 위에 설명된 알칸 시레인 장벽 층 도착을 사용해서 도착될 수 있다. 마찬가지로, 실리콘 질화물 층으로 한개 이상의 장벽층(506,516 및 520)을 도착하는 것이 바람직하다. FSG 및 장벽층과 마찬가지로 실리콘 질화물은 HDP-CVD에 의해 도착될 수 있기 때문에, 이것은 처리 통합의 견지에서 볼때 유리하다.
Ⅵ. 실험 결과
실험결과는 위에 설명된 방법에 따라 CH4및 SiH4와 함께 HDP-CVD를 사용하므로써 낮은-k 장벽층을 만들어 낼 수 있다는 사실을 보여주었다. 장벽층 두께는 약 500Å에서 약 1000Å사이이 값이다. 상기 낮은-k 장벽층은 4개의 서로 다른 타입의 유전층 위에 다음과 같이 도착되었다.
1) HDP-CVD에 의해 도착된 FSG ;
2) TEOS를 사용하여 PECVD에 의해 도착된 FSG;
3) HDP-CVD에 의해 도착된 USG; 및
4) TEOS를 사용하여 PECVD에 의해 도착된 USG.
샘플 장벽층은 어플라이드 머티어리얼스에 의해 생산된 울티마 챔버의 200mm 실리콘과 에피(epi) 웨이퍼상에 도착되었다. 장벽층 도착를 위한 실험의 설계는 도 6에 요약되어 있다. 도 6은 두께의 비균일성, 도착율, 굴절율(RI) 및 유전 상수를 아르곤 흐름률, CH4/SiH4 비율, 탑 소스RF(TOSRF) 및 듀얼 지역 시스템 에서의 외부에 대한 내부 헬륨 압력의 비율(He(in/out))의 함수로서 그리는 조합된 그래프를 설명하고 있다. k값과 RI 및 도착율은 모두 CH4/SiH4비율에 강하게 의존한다. 일반적으로 k값과 RI는 더 높은 CH4/SiH4비율과 함께 증가하는 반면에, 상기 도착율은 감소한다. 도 7은 좀더 상세한 CH4/SiH4비율의 함수로서의 RI를 설명하고 있다. 상기 RI값은 상기 CH4/SiH4비율이 약 1 이상으로 증가함에 따라 급속하게 떨어진다. CH4/SiH4비율이 약 5이상인 경우에는, RI에서의 감소는 눈에 띨 만큼 덜 가파르다. 이러한 실험 결과들은 약 4.1 내지 4.3의 k 값과 약 1.7 내지 1.8 사이의 RI 값을 갖는 장벽층은 본 발명의 방법에 따른 실시예를 사용하여 달성될 수 있음을 보여준다.
HDP-CVD 낮은-k 장벽층의 응착은 상기 장벽층을 포함하는 웨이퍼를 반복적으로 열 단련하므로써 시험된다. 각 웨치퍼는 대략 30분의 가열 주기동안 약 410℃까지 가열된다. 6개의 그러한 가열 사이클이 각 웨이퍼에 대해 수행된다. 모든 웨이퍼들을 시각적으로 관찰하여서는 얇은 층으로 갈라지는 현상이나 거품을 발견할 수 없다. 초점을 공유하는 현미경 밑의 웨퍼를 더 자세히 관찰하여도 거품이나 얇은 층으로 갈라지는 현상을 발견할 수 없다.
본 발명에 따른 수개의 실시예들에 대한 설명을 모두 마쳤다. 본 발명에 따른 저 유전 상수 산화 층을 도착하는 다른 동등하거나 대체적인 방법들이 해당 기술분야의 당업자들은 쉽게 얻을 수 있을 것이다. 이러한 대체적이거나 동등한 방법들도 본 발명의 범위내에 포함되는 것으로 의도된다.
본 발명은 절연 층 증착에 의해 용이하게 집적될 수 있는 낮은 유전상수를 갖는 베리어 층을 포함하는 집적 스택을 저비용으로 증착할 수 있는 효과를 가진다.

Claims (26)

  1. 처리챔버내에 배치된 기판상에 막을 증착하기 위한 방법에 있어서,
    (a) 실리콘 함유 가스 및 탄화수소 함유 가스를 포함하는 가스 혼합물을 상기 챔버로 유동시키는 단계와;
    (b) 상기 가스 혼합물로부터 플라즈마를 발생시키고, 이 플라즈마를 사용하여 상기 기판상에 상기 막을 증착시키는 단계를 포함하는 것을 특징으로 하는 방법.
  2. 제 1항에 있어서, 상기 막은 다마신 구조에서 금속간 유전체층의 일부분인 것을 특징으로 하는 방법.
  3. 제 1항에 있어서, 상기 플라즈마는 고밀도 플라즈마인 것을 특징으로 하는 방법.
  4. 제 1항에 있어서, 상기 실리콘 함유 가스는 시레인을 포함하는 것을 특징으로 하는 방법.
  5. 제 4항에 있어서, 상기 시레인은 모노시레인(SiH4)인 것을 특징으로 하는 방법.
  6. 제 1항에 있어서, 상기 탄화수소함유 가스는 알칸인 것을 특징으로 하는 방법.
  7. 제 6항에 있어서, 상기 알칸은 메탄, 에탄, 부탄, 프로판, 펜탄, 또는 헥산인 것을 특징으로 하는 방법.
  8. 제 1항에 있어서, 상기 가스 혼합물은 하나 이상의 탄화수소 가스, 실리콘 함유가스 및 불활성 가스로 이루어진 것을 특징으로 하는 방법.
  9. 제 1항에 있어서, 상기 가스 혼합물은 1:1이상의 탄소:실리콘 비를 가지는 것을 특징으로 하는 방법.
  10. 제 1항에 있어서, 상기 탄소:실리콘비는 약 3:1 내지 약 5:1인 것을 특징으로 하는 방법.
  11. 제 1항에 있어서, 상기 막은 실리콘(Si), 탄소(C) 및 수소(H)를 포함하는 것을 특징으로 하는 방법.
  12. 제 11항에 있어서, 상기 탄소 및 상기 실리콘은 약 55/45 내지 약 65/35의 C/Si 원자비로 상기 막에 포함되는 것을 특징으로 하는 방법.
  13. 제 11항에 있어서, 상기 수소는 50 원자 퍼센트 이하의 농도로 상기 막에 포함되는 것을 특징으로 하는 방법.
  14. 제 1항에 있어서, 상기 막은 약 4.0 이하의 전체 유전상수를 가지는 것을 특징으로 하는 방법.
  15. 제 1항에 있어서, 상기 막은 장벽층을 포함하는 것을 특징으로 하는 방법.
  16. 제 15항에 있어서, 상기 장벽층은 약 4.5 이하의 유전상수를 가지는 것을 특징으로 하는 방법.
  17. 제 15항에 있어서, 상기 장벽층은 500Å 내지 1000Å의 두께를 가지는 것을 특징으로 하는 방법.
  18. 제 15항에 있어서, 상기 장벽층은 상기 기판상의 제 1재료층상에 증착되며, 상기 제 1재료층은 약 3.5이하의 유전상수를 가지는 것을 특징으로 하는 방법.
  19. 제 15항에 있어서, 상기 장벽층상에 재료층을 증착하는 단계를 더 포함하며, 상기 재료층은 약 3.5 이하의 유전상수를 가지는 것을 특징으로 하는 방법.
  20. 처리챔버내에서 기판상에 장벽층을 증착하기 위한 방법에 있어서,
    (a) 시레인(SiH4) 및 메탄(CH4)을 포함하는 가스 혼합물을 상기 챔버내로 유동시키는 단계와;
    (b) 상기 가스 혼합물로부터 고밀도 플라즈마를 발생시키는 단계와;
    (c) 상기 플라즈마를 사용하여 상기 기판상에 장벽층을 증착하는 단계를 포함하는 것을 특징으로 하는 방법.
  21. 제 20항에 있어서, 상기 시레인 및 메탄은 약 3:1 내지 8:1의 메탄:시레인 유동비 비율을 가진 유동비로 제공되는 것을 특징으로 하는 방법.
  22. 제 21항에 있어서, 상기 가스 혼합물은 아르곤(Ar)을 더 포함하는 것을 특징으로 하는 방법.
  23. 제 20항에 있어서, 상기 장벽층은 약 4.5 이하의 유전상수를 가지는 것을 특징으로 하는 방법.
  24. 제 20항에 있어서, 상기 장벽층은 실리콘(Si), 탄소(C) 및 수소(H)를 포함하는 것을 특징으로 하는 방법.
  25. 처리챔버, 플라즈마 발생 시스템, 기판 홀더, 및 상기 처리챔버내로 가스를 유입시키도록 구성된 가스 전달시스템을 포함하는 기판 처리시스템의 동작을 제어하는 컴퓨터 판독가능 프로그램을 내장하고있으며, 상기 컴퓨터 판독가능 프로그램은 (a) 실리콘 함유 가스 및 탄화수소 함유가스를 포함하는 가스 혼합물을 상기 챔버내로 유동시키는 단계 및 (b) 상기 기판상에 막을 증착하기 위하여 상기 가스 혼합물로부터 플라즈마를 발생시키는 단계에 따라 상기 처리챔버내에 배치된 기판상에 막을 형성하도록 상기 기판처리시스템을 동작시키는 명령을 포함하는 것을 특징으로 하는 컴퓨터 판독가능 저장매체.
  26. 처리챔버를 한정하는 하우징과;
    상기 처리챔버에 결합된 플라즈마 발생 시스템과;
    기판처리동안 기판을 지지하도록 구성된 기판 홀더와;
    가스를 상기 처리챔버내로 유입시키도록 구성된 가스전달 시스템과;
    상기 가스전달 시스템 및 상기 플라즈마 발생시스템을 제어하는 제어기와;
    상기 기판처리시스템의 동작을 제어하는 컴퓨터 판독가능 프로그램이 내장된 컴퓨터 판독가능 매체를 가지며, 또한 상기 제어기에 결합된 메모리를 포함하며,
    상기 컴퓨터 판독가능 프로그램은,
    (a) 실리콘 함유가스 및 알칼 함유가스를 포함하는 가스 혼합물을 상기 처리챔버내로 유동시키기 위하여 상기 가스전달 시스템을 제어하는 제 1 명령세트, 및
    (b) 상기 플라즈마를 사용하여 상기 기판상에 막을 증착하기 위하여 상기 플라즈마 발생 시스템으로 하여금 상기 가스 혼합물로부터 플라즈마를 발생시키도록 하는 제 2명령세트를 포함하는 것을 특징으로 하는 기판처리시스템.
KR1020000065440A 1999-11-01 2000-11-01 Hdp-cvd를 이용하여 장벽층을 증착하기 위한 방법및 장치 KR100743789B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/431,411 US6399489B1 (en) 1999-11-01 1999-11-01 Barrier layer deposition using HDP-CVD
US09/431,411 1999-11-01
US9/431,411 1999-11-01

Publications (2)

Publication Number Publication Date
KR20010051462A true KR20010051462A (ko) 2001-06-25
KR100743789B1 KR100743789B1 (ko) 2007-07-30

Family

ID=23711827

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000065440A KR100743789B1 (ko) 1999-11-01 2000-11-01 Hdp-cvd를 이용하여 장벽층을 증착하기 위한 방법및 장치

Country Status (5)

Country Link
US (2) US6399489B1 (ko)
EP (1) EP1096038A1 (ko)
JP (1) JP4659202B2 (ko)
KR (1) KR100743789B1 (ko)
TW (1) TW478098B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101289795B1 (ko) * 2005-01-08 2013-07-26 어플라이드 머티어리얼스, 인코포레이티드 개선된 갭필 애플리케이션들을 위한 고-수율 hdp-cvd 프로세스들
US11011384B2 (en) 2017-04-07 2021-05-18 Applied Materials, Inc. Gapfill using reactive anneal

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
EP1328982B1 (en) 2000-03-24 2005-07-20 Cymbet Corporation Device enclosures and devices with integrated battery
US6511923B1 (en) * 2000-05-19 2003-01-28 Applied Materials, Inc. Deposition of stable dielectric films
US6674167B1 (en) * 2000-05-31 2004-01-06 Micron Technology, Inc. Multilevel copper interconnect with double passivation
JP3954312B2 (ja) * 2001-01-15 2007-08-08 ローム株式会社 半導体装置の製造方法
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
KR100422348B1 (ko) * 2001-06-15 2004-03-12 주식회사 하이닉스반도체 반도체소자의 제조방법
US6991999B2 (en) 2001-09-07 2006-01-31 Applied Materials, Inc. Bi-layer silicon film and method of fabrication
GB0129567D0 (en) * 2001-12-11 2002-01-30 Trikon Technologies Ltd Diffusion barrier
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
JP3915697B2 (ja) * 2002-01-15 2007-05-16 東京エレクトロン株式会社 成膜方法及び成膜装置
US7214594B2 (en) * 2002-03-26 2007-05-08 Intel Corporation Method of making semiconductor device using a novel interconnect cladding layer
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US7294209B2 (en) * 2003-01-02 2007-11-13 Cymbet Corporation Apparatus and method for depositing material onto a substrate using a roll-to-roll mask
US6906436B2 (en) * 2003-01-02 2005-06-14 Cymbet Corporation Solid state activity-activated battery device and method
US20040131760A1 (en) * 2003-01-02 2004-07-08 Stuart Shakespeare Apparatus and method for depositing material onto multiple independently moving substrates in a chamber
US7603144B2 (en) * 2003-01-02 2009-10-13 Cymbet Corporation Active wireless tagging system on peel and stick substrate
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US20040191559A1 (en) * 2003-03-26 2004-09-30 Bustamante Anthony T. Method and apparatus for strengthening steel and cast iron parts
US7723228B2 (en) * 2003-05-20 2010-05-25 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
US7371427B2 (en) * 2003-05-20 2008-05-13 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
JP3892826B2 (ja) * 2003-05-26 2007-03-14 株式会社東芝 電力増幅器及びこれを用いた無線通信装置
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7067437B2 (en) * 2003-09-12 2006-06-27 International Business Machines Corporation Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same
US20050118770A1 (en) * 2003-10-01 2005-06-02 Texas Instruments, Inc. Method for introducing hydrogen into a channel region of a metal oxide semiconductor (MOS) device
US7211351B2 (en) * 2003-10-16 2007-05-01 Cymbet Corporation Lithium/air batteries with LiPON as separator and protective barrier and method
KR20070024473A (ko) * 2004-01-06 2007-03-02 사임베트 코퍼레이션 층상 배리어구조와 그 형성방법
DE102004010094B3 (de) * 2004-02-27 2005-12-22 Infineon Technologies Ag Halbleiterbauelement mit mindestens einer organischen Halbleiterschicht und Verfahren zu dessen Herstellung
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7229041B2 (en) * 2004-06-30 2007-06-12 Ohio Central Steel Company Lifting lid crusher
US7183227B1 (en) * 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US7166544B2 (en) * 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
US7294851B2 (en) * 2004-11-03 2007-11-13 Infineon Technologies Ag Dense seed layer and method of formation
US20060094257A1 (en) * 2004-11-04 2006-05-04 Tower Semiconductor Ltd. Low thermal budget dielectric stack for SONOS nonvolatile memories
US7776478B2 (en) 2005-07-15 2010-08-17 Cymbet Corporation Thin-film batteries with polymer and LiPON electrolyte layers and method
US20070012244A1 (en) * 2005-07-15 2007-01-18 Cymbet Corporation Apparatus and method for making thin-film batteries with soft and hard electrolyte layers
CA2615479A1 (en) 2005-07-15 2007-01-25 Cymbet Corporation Thin-film batteries with polymer and lipon electrolyte layers and methods
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US8003957B2 (en) * 2008-02-11 2011-08-23 Varian Semiconductor Equipment Associates, Inc. Ethane implantation with a dilution gas
US20090200494A1 (en) * 2008-02-11 2009-08-13 Varian Semiconductor Equipment Associates, Inc. Techniques for cold implantation of carbon-containing species
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
JP5365107B2 (ja) * 2008-09-02 2013-12-11 Tdk株式会社 電気化学素子用電極の製造方法
US20100059110A1 (en) * 2008-09-11 2010-03-11 Applied Materials, Inc. Microcrystalline silicon alloys for thin film and wafer based solar applications
US20110052797A1 (en) * 2009-08-26 2011-03-03 International Business Machines Corporation Low Temperature Plasma-Free Method for the Nitridation of Copper
US9155181B2 (en) * 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) * 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US11527774B2 (en) 2011-06-29 2022-12-13 Space Charge, LLC Electrochemical energy storage devices
US10601074B2 (en) 2011-06-29 2020-03-24 Space Charge, LLC Rugged, gel-free, lithium-free, high energy density solid-state electrochemical energy storage devices
US9853325B2 (en) 2011-06-29 2017-12-26 Space Charge, LLC Rugged, gel-free, lithium-free, high energy density solid-state electrochemical energy storage devices
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP6167673B2 (ja) 2013-05-31 2017-07-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
KR101566920B1 (ko) * 2013-06-11 2015-11-17 이이쿠보 유이치 유전체 장벽 방전을 통해 실란가스에서 디실란, 트리실란 가스를 제조하는 제조방법
US20160314964A1 (en) * 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
WO2019028136A1 (en) * 2017-08-04 2019-02-07 Lam Research Corporation SELECTIVE DEPOSITION OF SILICON NITRIDE ON HORIZONTAL SURFACES
WO2019173626A1 (en) 2018-03-07 2019-09-12 Space Charge, LLC Thin-film solid-state energy-storage devices
DE102018110240A1 (de) * 2018-04-27 2019-10-31 Infineon Technologies Ag Halbleitervorrichtung und Herstellung
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US20240047291A1 (en) * 2019-09-10 2024-02-08 Applied Materials, Inc. High density plasma cvd for display encapsulation application

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3627721A (en) 1969-01-02 1971-12-14 Merck & Co Inc A method for the preparation of (cis-1,2-epoxypropyl)phosphonic dihalide
JPS5545223U (ko) * 1978-09-18 1980-03-25
JPS5998726A (ja) 1982-11-26 1984-06-07 Seiko Epson Corp 酸化膜形成法
US4557946A (en) 1983-06-03 1985-12-10 Edward Sacher Moisture impermeability or organosilicone films
JPS6014248A (ja) * 1983-07-06 1985-01-24 Fuji Photo Film Co Ltd 電子写真用感光体
JPS60111480A (ja) 1983-11-22 1985-06-17 Toshiba Corp 薄膜発光素子
US4845054A (en) 1985-06-14 1989-07-04 Focus Semiconductor Systems, Inc. Low temperature chemical vapor deposition of silicon dioxide films
US4812325A (en) 1985-10-23 1989-03-14 Canon Kabushiki Kaisha Method for forming a deposited film
US4789648A (en) 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
GB8630918D0 (en) 1986-12-24 1987-02-04 Pilkington Brothers Plc Coatings on glass
US5028566A (en) 1987-04-10 1991-07-02 Air Products And Chemicals, Inc. Method of forming silicon dioxide glass films
JPH077759B2 (ja) 1987-08-20 1995-01-30 株式会社半導体エネルギ−研究所 絶縁膜形成方法
US4798629A (en) 1987-10-22 1989-01-17 Motorola Inc. Spin-on glass for use in semiconductor processing
JPH01115162A (ja) * 1987-10-29 1989-05-08 Matsushita Electric Ind Co Ltd 薄膜トランジスタ及びその製造方法
US4900591A (en) 1988-01-20 1990-02-13 The United States Of America As Represented By The Secretary Of The Air Force Method for the deposition of high quality silicon dioxide at low temperature
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
US4973511A (en) 1988-12-01 1990-11-27 Monsanto Company Composite solar/safety film and laminated window assembly made therefrom
US5266409A (en) * 1989-04-28 1993-11-30 Digital Equipment Corporation Hydrogenated carbon compositions
FR2651782B1 (fr) 1989-09-14 1993-03-19 Air Liquide Procede pour la realisation d'un depot d'un revetement protecteur inorganique et amorphe sur un substrat polymerique organique.
JPH0740569B2 (ja) 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
US5120680A (en) 1990-07-19 1992-06-09 At&T Bell Laboratories Method for depositing dielectric layers
CA2048168A1 (en) 1990-08-03 1992-02-04 John T. Felts Silicon oxide based thin film vapour barriers
NL9001770A (nl) 1990-08-06 1992-03-02 Philips Nv Werkwijze voor het aanbrengen van een siliciumdioxide-laag op een substraat door middel van chemische reactie uit de dampfase bij verlaagde druk (lpcvd).
US5040046A (en) 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
US5314724A (en) 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
US5352493A (en) 1991-05-03 1994-10-04 Veniamin Dorfman Method for forming diamond-like nanocomposite or doped-diamond-like nanocomposite films
US5246887A (en) 1991-07-10 1993-09-21 At&T Bell Laboratories Dielectric deposition
US5204141A (en) 1991-09-18 1993-04-20 Air Products And Chemicals, Inc. Deposition of silicon dioxide films at temperatures as low as 100 degree c. by lpcvd using organodisilane sources
US5224441A (en) 1991-09-27 1993-07-06 The Boc Group, Inc. Apparatus for rapid plasma treatments and method
JPH06163521A (ja) 1992-11-17 1994-06-10 Nippon Telegr & Teleph Corp <Ntt> 半導体装置の製造方法
JPH05267480A (ja) 1992-03-21 1993-10-15 Ricoh Co Ltd 半導体装置とその製造方法
JP2934353B2 (ja) 1992-06-24 1999-08-16 三菱電機株式会社 半導体装置およびその製造方法
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5874367A (en) 1992-07-04 1999-02-23 Trikon Technologies Limited Method of treating a semi-conductor wafer
JP2884968B2 (ja) 1992-11-17 1999-04-19 東亞合成株式会社 シリコン酸化膜の製造方法
US5753564A (en) 1992-11-24 1998-05-19 Sumitomo Metal Industries, Ltd. Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5465680A (en) 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
US5433786A (en) 1993-08-27 1995-07-18 The Dow Chemical Company Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein
US5364666A (en) 1993-09-23 1994-11-15 Becton, Dickinson And Company Process for barrier coating of plastic objects
JP2899600B2 (ja) 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
US5888593A (en) 1994-03-03 1999-03-30 Monsanto Company Ion beam process for deposition of highly wear-resistant optical coatings
US5508368A (en) 1994-03-03 1996-04-16 Diamonex, Incorporated Ion beam process for deposition of highly abrasion-resistant coatings
US5618619A (en) 1994-03-03 1997-04-08 Monsanto Company Highly abrasion-resistant, flexible coatings for soft substrates
US5858880A (en) 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
JPH0855913A (ja) 1994-06-07 1996-02-27 Texas Instr Inc <Ti> サブミクロン相互接続の選択的空隙充填方法
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
JP3495116B2 (ja) 1994-10-31 2004-02-09 東レ・ダウコーニング・シリコーン株式会社 撥水性薄膜およびその製造方法
JPH08181210A (ja) 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
JPH08181276A (ja) 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
TW285753B (ko) 1995-01-04 1996-09-11 Air Prod & Chem
US5593740A (en) 1995-01-17 1997-01-14 Synmatix Corporation Method and apparatus for making carbon-encapsulated ultrafine metal particles
US5818071A (en) 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
JP3176017B2 (ja) 1995-02-15 2001-06-11 株式会社東芝 半導体装置の製造方法
US5534462A (en) 1995-02-24 1996-07-09 Motorola, Inc. Method for forming a plug and semiconductor device having the same
JPH08236518A (ja) 1995-02-28 1996-09-13 Hitachi Ltd シリコン酸化膜の形成方法
JPH08288286A (ja) 1995-04-19 1996-11-01 Sharp Corp シリコン酸化膜の成膜方法
US5637351A (en) 1995-05-11 1997-06-10 Air Products And Chemicals, Inc. Chemical vapor deposition (CVD) of silicon dioxide films using oxygen-silicon source reactants and a free radical promoter
FR2734402B1 (fr) 1995-05-15 1997-07-18 Brouquet Pierre Procede pour l'isolement electrique en micro-electronique, applicable aux cavites etroites, par depot d'oxyde a l'etat visqueux et dispositif correspondant
JP3463416B2 (ja) 1995-06-23 2003-11-05 ソニー株式会社 絶縁膜の製造方法および半導体装置
US5638251A (en) 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
JPH09116011A (ja) 1995-10-23 1997-05-02 Mitsubishi Electric Corp 半導体装置およびその製造方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US5693563A (en) 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US5807785A (en) 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
JP2001504989A (ja) 1996-08-24 2001-04-10 トリコン エクウィプメンツ リミテッド 平坦化された誘電層を半導体基板上に堆積させるための方法及び装置
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5800878A (en) * 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
US5834162A (en) 1996-10-28 1998-11-10 Regents Of The University Of California Process for 3D chip stacking
EP0885983A1 (en) 1997-06-19 1998-12-23 N.V. Bekaert S.A. Method for coating a substrate with a diamond like nanocomposite composition
US5821168A (en) 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US5891799A (en) 1997-08-18 1999-04-06 Industrial Technology Research Institute Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrates
US6051321A (en) * 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
JP2000286252A (ja) * 1999-03-31 2000-10-13 Nec Corp 半導体装置の製造方法
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101289795B1 (ko) * 2005-01-08 2013-07-26 어플라이드 머티어리얼스, 인코포레이티드 개선된 갭필 애플리케이션들을 위한 고-수율 hdp-cvd 프로세스들
US11011384B2 (en) 2017-04-07 2021-05-18 Applied Materials, Inc. Gapfill using reactive anneal

Also Published As

Publication number Publication date
EP1096038A1 (en) 2001-05-02
TW478098B (en) 2002-03-01
US6399489B1 (en) 2002-06-04
JP4659202B2 (ja) 2011-03-30
KR100743789B1 (ko) 2007-07-30
US6713390B2 (en) 2004-03-30
US20030032282A1 (en) 2003-02-13
JP2001203201A (ja) 2001-07-27

Similar Documents

Publication Publication Date Title
KR100743789B1 (ko) Hdp-cvd를 이용하여 장벽층을 증착하기 위한 방법및 장치
US6559026B1 (en) Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4721510B2 (ja) 基板上に多層誘電膜を堆積させる方法
US6903031B2 (en) In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
EP1064416B1 (en) Method for deposition and etching of a dielectric layer
US6926926B2 (en) Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US6013584A (en) Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6633076B2 (en) Methods and apparatus for producing stable low k FSG film for HDP-CVD
KR100696040B1 (ko) Hdp-fsg 박막과 배리어층의 접착력을 강화시키는 방법
US6468927B1 (en) Method of depositing a nitrogen-doped FSG layer
US6667248B2 (en) Low-bias-deposited high-density-plasma chemical-vapor-deposition silicate glass layers
EP1146142A2 (en) Process for forming fluorosilicate glass layers using high density plasma, for copper damascene integrated circuits
JP5019676B2 (ja) Hdpcvd処理によるトレンチ充填

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130628

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140627

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee