JP6019023B2 - プラズマ源、プラズマ生成方法、プラズマ処理システム - Google Patents

プラズマ源、プラズマ生成方法、プラズマ処理システム Download PDF

Info

Publication number
JP6019023B2
JP6019023B2 JP2013523144A JP2013523144A JP6019023B2 JP 6019023 B2 JP6019023 B2 JP 6019023B2 JP 2013523144 A JP2013523144 A JP 2013523144A JP 2013523144 A JP2013523144 A JP 2013523144A JP 6019023 B2 JP6019023 B2 JP 6019023B2
Authority
JP
Japan
Prior art keywords
plasma
ring
chambers
plasma chambers
ferrites
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2013523144A
Other languages
English (en)
Other versions
JP2013539587A (ja
Inventor
シャジイ・アリ
ゴッチョー・リチャード
ベンゼルーク・スーハイル
カウエ・アンドリュー
ナガルカッチ・シダース・ピー.
エントレー・ウィリアム・アール.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2013539587A publication Critical patent/JP2013539587A/ja
Application granted granted Critical
Publication of JP6019023B2 publication Critical patent/JP6019023B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32669Particular magnets or magnet arrangements for controlling the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明は、一般に、プラズマ反応チャンバに関し、特に、ウエハ処理チャンバから分離されたプラズマ反応チャンバのための方法、システム、および、装置に関する。
図1Aは、典型的な平行板容量性プラズマ処理チャンバ100の側面図である。図1Bは、典型的な平行板容量性プラズマ処理チャンバ100で処理される基板102の上面図である。典型的なプラズマ処理チャンバ100は、上部電極104と、処理される基板102を支持するための基板支持体106とを備える。基板支持体106は、底部電極でもあってよい。上部電極104は、通例、複数の流入口109を備えたシャワーヘッド型の電極である。複数の流入口109は、処理チャンバ100の幅全体にわたって処理ガス110を流入させることを可能にする。
典型的な平行板容量性プラズマリアクタ100は、円形の平面基板を処理するために用いられる。一般に行われる処理は、誘電体エッチングおよびその他のエッチング処理である。かかるプラズマリアクタは、通例、中心からエッジにかけて中性種が不均一になるという問題を抱えている。
これらのシステムは良好に機能するものの、流速、効果的なガスの滞留時間、ならびに、存在する1または複数のガス化学物質、の内の1または複数が基板の中心とエッジとで異なることから起きる中心からエッジへの中性種の不均一性を生じるシステムもある。1または複数のガス化学物質は、ガス相の解離、交換、および、再結合反応によって生じうる。
例えば、処理ガスが処理チャンバの幅にわたって導入されると、プラズマ112が上部電極104および底部電極106の間に形成され、プラズマが形成される。プラズマ112内のラジカルおよび中性種が基板102の表面と反応することにより、プラズマ副生成物118が形成される。プラズマ副生成物118は、基板の側方からポンプ108内に引き出される。プラズマ副生成物は、1または複数の解離反応(例えば、CF4+e-→CF3+F+e-)、ならびに/もしくは、1または複数のイオン化(例えば、CF4+e-→CF3 ++F)、ならびに/もしくは、1または複数の励起(例えば、Ar→Ar++e-)、ならびに/もしくは、1または複数の付着(例えば、CF4+e-→CF3+F-)、ならびに/もしくは、1または複数の二成分反応(例えば、CF3+H→CF2+HF)を含みうる。
プラズマ副生成物118は、エッチャント、F、CFx、SiF2、SiF4、Co、CO2を含むエッチング副生成物も含みうる。また、エッチング副生成物は、プラズマ112内で解離しうる。
プラズマ処理中には、再結合も起きる。再結合は、再結合生成物120を生成する。再結合は、通例、プラズマ112由来のラジカルおよび中性種が表面(上部電極104の下面など)に衝突した時に起きる。次いで、再結合生成物120は、プラズマ副生成物118と同様に、基板102の側方からポンプ108内に引き出される。プラズマ再結合生成物120は、1または複数の壁反応または表面反応(例えば、F+CF→CF2、および/または、H+H→H2、および/または、O+O→O2、および/または、N+N→N2)を含みうる。プラズマ再結合生成物120は、CFxがチャンバ100の壁またはその他の内面上にポリマを形成する蒸着も含みうる。
図1Aでは、単にわかりやすくするために、プラズマ副生成物が、基板102の片側から引き出され、再結合生成物120が、基板102の反対側から引き出されるように図示されていることに注意されたい。実際の実施においては、当業者にとって明らかなように、再結合生成物120およびプラズマ副生成物118の両方が混ざり合い、ポンプ108またはその他の手段に向かって基板102の両側から引き出される。
プラズマ処理が行われる際、再結合生成物120およびプラズマ副生成物118の濃度は、基板102の中心からエッジまで変化する。結果として、プラズマ112における処理ガス、ラジカル、中性種の濃度も、それに応じて変化する。したがって、プラズマ処理(この例では、エッチング)の効果が、基板102の中心からエッジまでで異なったものになる。しかしながら、プラズマを低減または制御するために実装できる多くのチャンバ構成および構造がある。
かかる制御を行った場合、プラズマのラジカルおよび中性種は、基板102の中央部分102Aの上のプラズマ処理領域114Aおよび116Aにおける基板102の中心で最も濃度が高くなる。さらに、ラジカルおよび中性種の濃度は、基板102の中間部分102Bの上の中間プラズマ処理領域114Bおよび116Bで若干低くなる。さらに、ラジカルおよび中性種の濃度は、基板102のエッジ部分102Cの上のエッジプラズマ処理領域114Cおよび116Cでさらに希釈されて低くなる。
したがって、プラズマ処理は、基板102の中央部分102A上の中央プラズマ処理領域114Aおよび116Aで最も速く、それと比較して、基板102の中間部分102B上の中間プラズマ処理領域114Bおよび116Bでは若干遅く、基板のエッジ部分102C上のエッジプラズマ処理領域114Cおよび116Cのプラズマ処理はさらに遅い。これにより、基板102の中心からエッジまでの不均一が生じる。
この中心からエッジまでの不均一性は、非常に大きいアスペクト比を有する小型製品用プラズマ処理チャンバにおいて悪化する。例えば、非常に大きいアスペクト比とは、基板の幅Wがプラズマ処理領域の高さHの約4倍以上である場合として定義される。プラズマ処理領域のアスペクト比が非常に大きいと、プラズマ処理領域114A〜116Cにおけるプラズマ副生成物118および再結合生成物120の濃度がさらに高くなる。
この中性種の中央からエッジまでの不均一性は、中央からエッジまでのプロセスの不均一性の唯一の原因ではないが、多くの誘電体エッチングの応用例において大きく寄与している。具体的には、ゲートまたはビット線のマスク開口、低誘電率薄膜上のフォトレジストストリップ、高選択性のコンタクト/セルおよびビアのエッチングなど、中性種に依存する処理は、特に、これらの影響を受けやすい場合がある。ウエハ誘電体エッチングに用いられるものに加えて、他の平行板プラズマリアクタでも、同様の問題が起こりうる。
上記に鑑みて、プラズマエッチング処理における中央からエッジまでの均一性を改善することが求められている。
概して、本発明は、分散型マルチゾーンプラズマ源を提供することによって、これらの要求を満たす。本発明は、処理、装置、システム、コンピュータ読み取り可能な媒体、または、デバイスなど、種々の形態で実施できることを理解されたい。以下では、本発明の実施形態をいくつか説明する。
本発明の一形態によれば、プラズマ源が提供される。このプラズマ源は:複数のリングプラズマチャンバと;複数の一次巻線であって、前記複数の一次巻線の各々は前記複数のリングプラズマチャンバの1つの外側に巻かれる、複数の一次巻線と;貫通孔が形成された複数のフェライトであって、前記複数のリングプラズマチャンバの各々が前記複数のフェライトのうちの対応するフェライトの前記貫通孔を貫通する、複数のフェライトと、を備え、前記複数のリングプラズマチャンバのうちの径方向に隣り合う2つのリングプラズマチャンバにそれぞれ対応する2群の前記複数のフェライトは、互いに径方向の一部が周方向に見て重なっている。
本発明の第2の形態によれば、プラズマを生成する方法が提供される。このプラズマを生成する方法は:処理ガスを複数のリングプラズマチャンバに供給する工程と;複数の一次巻線に一次電流を印加する工程であって、前記複数の一次巻線の各々は前記複数のリングプラズマチャンバの各々の外側に巻かれている、工程と;前記一次巻線内に磁界を生成する工程と;貫通孔が形成された複数のフェライトによって前記磁界を集中させる工程であって、前記複数のリングプラズマチャンバの各々が前記複数のフェライトのうちの対応するフェライトの前記貫通孔を貫通する、工程と;前記複数のリングプラズマチャンバの各々の中の前記処理ガスに二次電流を誘導する工程と;前記二次電流で前記複数のリングプラズマチャンバの前記処理ガス内にプラズマを生成する工程と、を備え、前記複数のリングプラズマチャンバのうちの径方向に隣り合う2つのリングプラズマチャンバにそれぞれ対応する2群の前記複数のフェライトは、互いに径方向の一部が周方向に見て重なっている
本発明の第3の形態によれば、プラズマ処理システムが提供される。このプラズマ処理システムは:複数のリングプラズマチャンバと;複数の一次巻線であって、前記複数の一次巻線の各々は前記複数のリングプラズマチャンバの1つの外側に巻かれている、複数の一次巻線と;貫通孔が形成された複数のフェライトであって、前記複数のリングプラズマチャンバの各々は前記複数のフェライトのうちの対応するフェライトの前記貫通孔を貫通する、複数のフェライトと;前記複数のリングプラズマチャンバの各々に接続された制御システムと、を備え、前記制御システムは:少なくとも1つの処理監視センサと;処理ガスを前記複数のリングプラズマチャンバの各々に供給するためのロジックと;前記複数のリングプラズマチャンバの各々の対応する外側に巻かれたそれぞれの一次巻線に一次電流を印加するためのロジックと;前記一次巻線の各々に磁界を生成するためのロジックと;前記複数のリングプラズマチャンバの各々の周囲に複数のグループに分けて配置された前記複数のフェライトによって前記磁界を集中させるためのロジックと;前記複数のリングプラズマチャンバの各々の中の前記処理ガスに二次電流を誘導するためのロジックと;前記二次電流で前記複数のリングプラズマチャンバの各々の中の前記処理ガスにプラズマを生成するためのロジックと;前記少なくとも1つの処理監視センサから処理フィードバック信号を受信するためのロジックと;少なくとも1つの設定値を調整するためのロジックと;を含み、前記複数のリングプラズマチャンバのうちの径方向に隣り合う2つのリングプラズマチャンバにそれぞれ対応する2群の前記複数のフェライトは、互いに径方向の一部が周方向に見て重なっている
本発明の第4の形態によれば、基板を処理するためのプラズマシステムが提供される。このプラズマシステムは:処理チャンバであって、底部と、複数の側壁と、前記底部に近接する基板支持体と、前記処理チャンバを囲むように前記側壁と結合されたチャンバ上部と、を有する、処理チャンバと;前記基板支持体の複数の領域に分散されるように前記チャンバ上部の上に配置された複数のフェライトであって、前記領域は、前記基板支持体の外部と前記基板支持体の中心部との間に少なくとも伸び、前記複数のフェライトは、1または複数のフェライトの複数のグループに分けられている、貫通孔が形成された複数のフェライトと;複数の一次巻線であって、前記複数の一次巻線の各々は前記複数のフェライトのグループの1つの外側に巻かれる、複数の一次巻線と;複数のリングプラズマチャンバと;を備え、前記複数のリングプラズマチャンバの各々は、前記複数のフェライトのうちの対応するフェライトの前記貫通孔を貫通し、前記複数のリングプラズマチャンバのうちの径方向に隣り合う2つのリングプラズマチャンバにそれぞれ対応する2群の前記複数のフェライトは、互いに径方向の一部が周方向に見て重なっている
一実施形態は、複数のリングプラズマチャンバと、複数の一次巻線と、複数のフェライトとを備えるプラズマ源を提供する。制御システムが備えられてもよい。一次巻線の各々は、リングプラズマチャンバの1つの外側に巻かれる。複数のリングプラズマチャンバの各々は、複数のフェライトの対応する部分を貫通する。制御システムは、リングプラズマチャンバの各々に接続されている。
リングプラズマチャンバは、実質的に同心であってよい。リングプラズマチャンバの各々は、リングプラズマチャンバの少なくとも別の1つと隣接してよい。プラズマチャンバの各々は、プラズマチャンバの各々を処理チャンバに結合する対応する複数のプラズマチャンバ流出口を備えてよい。
プラズマチャンバは、処理チャンバ上部に含まれてよい。プラズマ源は、さらに、処理ガス源をプラズマチャンバの各々に結合する少なくとも1つの処理ガス流入口を備えてよい。
プラズマチャンバの各々は、少なくとも1つの処理ガス流入口を備えてよく、少なくとも1つの処理ガス流入口は処理ガス源および処理ガスプレナムに結合されており、処理ガスプレナムとプラズマチャンバのそれぞれとの間には複数の流入口が結合されている。流入口は、プラズマチャンバの各々の周囲に分散されてよい。
フェライトは、リングプラズマチャンバの周囲に実質的に均等に分散されてよい。あるいは、フェライトは、リングプラズマチャンバの各々の周囲に複数のグループに分けて配置されてもよい。
リングプラズマチャンバは、略円形、略三角形、略長方形、または、略多角形からなる一群の形状の内の1つであってよい。
別の実施形態は、プラズマを生成する方法を提供する。方法は、処理ガスを複数のリングプラズマチャンバに供給する工程と、リングプラズマチャンバの各々の外側に巻かれた一次巻線に一次電流を印加する工程と、を備える。磁界が一次巻線内に生成され、複数のフェライトによって集中される。リングプラズマチャンバの各々は、フェライトの対応する部分を貫通する。二次電流が、リングプラズマチャンバの各々の中の処理ガス内に誘導される。二次電流は、リングプラズマチャンバの各々の中の処理ガスにプラズマを生成する。
方法は、さらに、リングプラズマチャンバの各々にある複数の流出口を通して処理チャンバに中性種およびラジカル種の少なくとも一方を供給する工程を備えてもよい。流出口は、リングプラズマチャンバを処理チャンバに結合する。
方法は、さらに、処理チャンバ上部の流出口を通して処理チャンバからプラズマ副生成物および再結合生成物の少なくとも一方を除去する工程を備えてもよい。流出口の少なくとも1つは、処理チャンバ上部の実質的に中心の位置に配置されてよい。
処理ガスをリングプラズマチャンバ内に供給する工程は、リングプラズマチャンバの各々への少なくとも1つの処理ガス流入口に処理ガスを入力する工程を含んでよい。処理ガスをリングプラズマチャンバに供給する工程は、さらに、リングプラズマチャンバの各々の流量、圧力、バイアス、および/または、流出口直径の内の少なくとも1つを調節する工程を備えてよい。フェライトは、複数のリングプラズマチャンバの各々の周囲に実質的に均等に分散されてよい。
方法は、さらに、少なくとも1つの処理監視センサから処理フィードバック信号を受信する工程と、複数のリングプラズマチャンバの各々の流量、圧力、バイアス、および/または、流出口直径の少なくとも1つの設定値を調節する工程と、を備える。
別の実施形態は、プラズマ処理システムを提供する。システムは、複数のリングプラズマチャンバと、リングプラズマチャンバの各々の外側に巻かれた一次巻線と、複数のフェライトと、を備える。リングプラズマチャンバの各々は、フェライトの対応する部分を貫通する。システムは、さらに、リングプラズマチャンバの各々に接続された制御システムを備えてもよい。制御システムは、少なくとも1つの処理監視センサと、処理ガスをリングプラズマチャンバの各々に供給するためのロジックと、リングプラズマチャンバの各々の対応する外側に巻かれたそれぞれの一次巻線に一次電流を印加するためのロジックと、一次巻線の各々に磁界を生成するためのロジックと、リングプラズマチャンバの各々の周囲に複数のグループに分けて配置されたフェライトによって磁界を集中させるためのロジックと、複数のリングプラズマチャンバの各々の中の処理ガスに二次電流を誘導するためのロジックと、二次電流でリングプラズマチャンバの各々の中の処理ガスにプラズマを生成するためのロジックと、少なくとも1つの処理監視センサから処理フィードバック信号を受信するためのロジックと、少なくとも1つの設定値を調整するためのロジックと、を備える。
さらに別の実施形態は、基板を処理するためのプラズマシステムを提供する。プラズマシステムは、底部、複数の側壁、底部に近接する基板支持体、および、処理チャンバを囲むように側壁と結合されたチャンバ上部を有する処理チャンバと、複数のフェライトと、複数の一次巻線と、を備える。フェライトは、基板支持体の複数の領域に分散されるように、チャンバ上部の上に配置され、領域は、基板支持体の外部と基板支持体の中心部との間に少なくとも伸びる。フェライトは、1または複数のフェライトを含む複数のグループに分けられる。一次巻線の各々は、フェライトのグループの1つの外側に巻かれる。プラズマシステムは、さらに、一次巻線に電流を供給する電源を備えてもよく、フェライトは、基板支持体の領域に電流を集中させる。
本発明のその他の態様および利点については、本発明の原理を例示した添付図面を参照しつつ行う以下の詳細な説明から明らかになる。
添付の図面を参照して行う以下の詳細な説明から、本発明を容易に理解することができる。
典型的な平行板容量性プラズマ処理チャンバの側面図。
典型的な平行板容量性プラズマ処理チャンバで処理される基板の上面図。
本発明の一実施形態に従って、プラズマ源を示す斜視図。
本発明の一実施形態に従って、プラズマ源を示す上面図。
本発明の一実施形態に従って、プラズマ源の2C−2Cの部分を示す断面図。
本発明の一実施形態に従って、プラズマ源を示す斜視断面図。
本発明の一実施形態に従って、処理チャンバに取り付けられたプラズマ源を示す斜視図。
本発明の一実施形態に従って、処理チャンバに取り付けられたプラズマ源200を示すさらなる斜視図。 本発明の一実施形態に従って、処理チャンバに取り付けられたプラズマ源200を示すさらなる斜視図。
本発明の一実施形態に従って、処理チャンバ230に取り付けられたプラズマ源を示す別の斜視図。
本発明の実施形態に従って、複数のプラズマチャンバ流出口を示す断面図。
本発明の実施形態に従って、複数のプラズマチャンバ流出口を処理チャンバ側から示した図。
本発明の一実施形態に従って、別のプラズマ源を示す斜視図。
本発明の一実施形態に従って、マルチゾーンプラズマ源を示す上面斜視図。
本発明の一実施形態に従って、マルチゾーンプラズマ源を示す底面斜視図。
本発明の一実施形態に従って、別のマルチゾーンプラズマ源を示す上面斜視図。
本発明の一実施形態に従って、マルチゾーンプラズマ源を示す底面斜視図。
本発明の一実施形態に従って、マルチゾーンプラズマ源を示す概略図。 本発明の一実施形態に従って、マルチゾーンプラズマ源を示す概略図。
本発明の一実施形態に従って、任意選択的なプラズマ制限部の様々なサイズに対する流量および圧力を示すグラフ。
本発明の一実施形態に従って、変圧器の一例を示す概略図。
本発明の一実施形態に従って、プラズマ源内のフェライトおよびプラズマチャンバの1つのリングを示す概略図。
本発明の一実施形態に従って、マルチゾーンプラズマ源内のフェライトおよびプラズマチャンバの1つのリングを示す電気接続図。
本発明の一実施形態に従って、電源を示す電気接続図。
本発明の一実施形態に従って、プラズマ源からの流れを示す図。 本発明の一実施形態に従って、プラズマ源からの流れを示す図。 本発明の一実施形態に従って、プラズマ源からの流れを示す図。
本発明の一実施形態に従って、本明細書に記載のプラズマ源の作動時に実行される方法動作を示すフローチャート。
本発明の一実施形態に従って、本明細書に記載のプラズマ源の内の1または複数を含む統合システムを示すブロック図。
ここで、分散型マルチゾーンプラズマ源システム、方法、および、装置のためのいくつかの代表的な実施形態を記載する。当業者にとって明らかなように、本発明は、本明細書に記載する具体的な詳細事項の一部または全てがなくとも実施可能である。
図2Aは、本発明の一実施形態に従って、プラズマ源200を示す斜視図である。プラズマ源200は、処理ガス流入口206、複数のフェライト204、プラズマ源上部208、および、チャンバ上部202を備える。プラズマ源200の要素202〜208の具体的な配置は、図示したものから変更されてもよいことを理解されたい。例えば、チャンバ上部202およびプラズマ源上部208は、処理チャンバ230の単一のカバーに一体化されてもよい。
図2Bは、本発明の一実施形態に従って、プラズマ源200を示す上面図である。図2Cは、本発明の一実施形態に従って、プラズマ源200の2C−2Cの部分を示す断面図である。図2Dは、本発明の一実施形態に従って、プラズマ源200を示す斜視断面図である。図2Eは、本発明の一実施形態に従って、処理チャンバ230に取り付けられたプラズマ源200を示す斜視図である。処理ガスプレナム212が、処理ガス流入口206から供給される処理ガス用の分配プレナムとして図示されている。
処理ガス110が、処理ガスプレナム212への流入口206に流入する。処理ガスプレナム212は、流入口212Aに処理ガス110を分配する。流入口212Aは、処理ガス110をプラズマチャンバ210内に方向付ける。処理ガス流入口212Aは、プラズマチャンバ流出口220と整列されてもよいし、オフセットされてもよい。処理ガス流入口212Aおよび/またはプラズマチャンバ流出口220は、フェライト204の間に配置されてもよいし、フェライトと整列されてもよいし、それらを組み合わせた配置であってもよい。
フェライト204は、選択された間隔でプラズマチャンバ210の周りを囲む。フェライト204は、各フェライトの中心に近接する電界を、プラズマチャンバ210内の対応する点にプラズマを支持するのに十分な強さにするために、十分な磁界を集中させる。
フェライト204は、略正方形として示されているが、以下に示すように、その他の形状であってもよい。フェライト204は、複数の部品224A、224B、224C、224Dで形成されているよう図示されているが、1または複数の部品で形成されうる。複数のフェライト部品224A、224B、224C、224Dは、各フェライト204の中心に近接する電界を集中させるように実質的に隣接している。図に示すように、フェライト204は、チャンバ上部202に分散されている。処理チャンバ230は、側壁230’および底部230’’を有する。基板支持体106は、底部230’’の上に配置されるか、近接または隣接して配置される。
図に示すように、プラズマチャンバ流出口220は、プラズマチャンバ210をチャンバ上部202の下の処理チャンバ230に結合する。プラズマチャンバ流出口220は、プラズマおよび/またはラジカルおよび/または中性種をプラズマチャンバ210から処理チャンバ230に送る。
任意選択的なプラズマ制限部214も図示されている。任意選択的なプラズマ制限部214は、プラズマチャンバ210および処理チャンバ230の間の所望の圧力差を提供するために利用できる。また、任意選択的なプラズマ制限部214は、十分に小さくてもよい、および/または、プラズマがプラズマチャンバ210から処理チャンバ230に通過するのを実質的に防ぐようにバイアスされてもよい。さらに、プラズマ制限部は、プラズマチャンバ210からイオンを引き出して、処理チャンバ内へ、次いでウエハ上へとイオンを引き込むために、バイアスされうる。例として、任意選択的なプラズマ制限部214は、プラズマシース厚さの2倍以下の直径を有しうるため、プラズマシースは、プラズマが任意選択的なプラズマ制限部を通過するのを防ぐことができる。任意選択的なプラズマ制限部214は、約0.1mmから約2.0mmの間で選択された直径(例えば、0.1mm、0.2mm、0.5mm、1.0mm、2.0mm)を有しうる。任意選択的なプラズマ制限部214のアスペクト比を利用して、プラズマ制限部の効果を調節できることに注意されたい。例として、より高いアスペクト比(すなわち、長さ/幅)のプラズマ制限部214は、プラズマを実質的に制限しつつ、中性種またはラジカル種の移動への影響が最小限でありうる。また、より大きい直径の流出オリフィスを用いてもよいことを理解されたい。例えば、任意選択的なプラズマ制限部214は省かれてもよく、効果的な制限部は、プラズマチャンバ流出口220の幅である。プラズマチャンバ流出口220の幅は、プラズマチャンバ210および処理チャンバ230の両方の圧力を実質的に等しくするのに十分なほど実質的に広くてよい。
図2Iは、本発明の実施形態に従って、複数のプラズマチャンバ流出口220を示す断面図である。図2Jは、本発明の実施形態に従って、複数のプラズマチャンバ流出口220を処理チャンバ側から示した図である。プラズマチャンバ流出口220は、所望の幅を有する略長方形の断面形状を持つ真っ直ぐな略円筒形であってよい。プラズマチャンバ流出口220は、任意選択的な円錐台形状220Aを含んでもよい。任意選択的な円錐台形状220Aは、プラズマチャンバ流出口220からの流れの円滑化および/または流れの分散を実現しうる。プラズマチャンバ流出口220は、その他の任意選択的な形状を備えてもよい。例として、プラズマチャンバ流出口220は、より長い幅の同じ形状220Bを備えてもよいし、より狭い幅の同じ形状220Fを備えてもよい。プラズマチャンバ流出口220は、任意選択的な湾曲流出口すなわちボウル形流出口220C、220Eを含んでもよい。任意選択的な湾曲すなわちボウル形流出口220C、220Eは、流出口220Cのように幅の最も広い箇所に開口部を有してもよいし、流出口220Eのように幅の最も広い箇所よりも狭い箇所に開口部を有してもよい。任意選択的な円錐台形状は、円錐台形状220Dであってもよい。
任意選択的なプラズマ制限部は、任意選択的なプラズマ制限部214のように、流出口220の長さ方向に沿って実質的に中心に配置されてよい。あるいは、任意選択的なプラズマ制限部は、任意選択的なプラズマ制限部214’のように、実質的に流出口220のプラズマチャンバ210側の端部に配置されてもよい。あるいは、任意選択的なプラズマ制限部は、任意選択的なプラズマ制限部214’’のように、実質的に流出口220の処理チャンバ230側の端部に配置されてもよい。任意選択的なプラズマ制限部214は、流出口220のプラズマチャンバ210側の端部および処理チャンバ230側の端部の間で流出口220の長さ方向に沿って任意の位置に配置できることを理解されたい。
図2Jに示すように、プラズマチャンバ流出口220は、任意の適切な形状であってよい。例として、略円形220、略楕円形220H、略長方形220I、220J、または、その他の幾何学的形状(例えば、三角形220K、任意の数の辺を持つ多角形220L)が挙げられる。プラズマチャンバ流出口220は、実質的に尖った頂点を有してもよいし(220I、220K、220L)、実質的に曲線状の頂点および/または辺を有してもよい(220J、220M、220N)。複数の形状の組み合わせが、プラズマチャンバ流出口220に備えられてもよい。例として、任意選択的な円錐台形状220Aは、略円形220Aではなく、より楕円形の形状220A’を有してもよい。
チャンバ上部202は、さらに、1または複数の流出口234を備えうる。流出口234は、低圧源(例えば、真空ポンプ)に結合される。流出口234は、低圧源が、処理チャンバ230の中心付近からプラズマ副生成物118および再結合生成物120を引き出すことを可能にする。結果として、プラズマ副生成物118および再結合生成物120は、処理チャンバ内でプラズマ410とプラズマによって生成される中性種412とを妨げない。
処理チャンバ230は、ロードポート232と、処理される基板を支持するための支持構造とを備える。当業者に周知のように、その他の特徴が、処理チャンバ230に備えられてもよい。
図2Fおよび図2Gは、本発明の一実施形態に従って、処理チャンバ230に取り付けられたプラズマ源200を示すさらなる斜視図である。さらに詳細に説明するために、図面では、プラズマ源上部208が持ち上げられ(図2F)、取り除かれている(図2G)。プラズマチャンバ210は、プラズマ源上部208または処理チャンバ230とは異なる材料で構成されうる。例として、プラズマチャンバ210は、セラミックであってよく、プラズマ源上部208または処理チャンバ230は、セラミック、金属(例えば、アルミニウム、鋼鉄、ステンレス鋼など)であってよい。スロット226Aおよび226Bが、フェライト204の支持および設置のために設けられている。
図2Gに示すように、フェライト204は、プラズマチャンバ210の外側の周りを囲んでいる。プラズマチャンバ210は、セラミックまたはその他の誘電材料(例えば、石英、シリカ(SiO2)、アルミナ(Al23)、サファイア(Al23)、窒化アルミニウム(AlN)、酸化イットリウム(Y23)、および/または、同様の材料、ならびに、これらの組み合わせ)などの誘電体で形成されてよい。
図2Hは、本発明の一実施形態に従って、処理チャンバ230に取り付けられたプラズマ源200を示す別の斜視図である。図2Hに示すように、一次導体240が、プラズマチャンバ210の周囲に巻かれている。一次導体240は、後に図7でより詳細に説明するように、誘電素子の一次巻線である。一次導体240は、プラズマチャンバ210の周りに1または複数回巻かれている。ここに示すように、一次導体240は、プラズマチャンバ210の周りに2回巻かれているが、3以上であってもよい。
図3Aは、本発明の一実施形態に従って、別のプラズマ源300を示す斜視図である。プラズマ源300は、選択された間隔でプラズマチャンバを囲む複数のフェライト要素204を有するプラズマチャンバ210を備える。この例において、フェライト要素204は、実質的に等間隔でプラズマチャンバを囲んでいるが、異なる間隔であってもよい。
プラズマチャンバ210は、略円形、または、この例におけるように、5つの辺を有する幾何学的形状であってよい。同様に、プラズマチャンバ210は、円形または3以上の辺を持つ幾何学的形状であってよい。また、プラズマチャンバ210は、略長方形または略円形または丸みを帯びた断面形状を有してよいことに注意されたい。プラズマチャンバ210の内面は、滑らかであり、任意の尖った(例えば、略直角またはより鋭角の)縁部または角部を持たなくてよい。例として、内側の角部は、比較的大きい半径(例えば、プラズマチャンバの断面の半径の約1/2から約2倍の間)を有する丸みを帯びた輪郭を有しうる。図では、単一の処理ガス流入口206がプラズマチャンバ210に結合されているが、プラズマチャンバに処理ガスを供給するために2以上の処理ガス流入口を利用できることにも注意されたい。
図3Bは、本発明の一実施形態に従って、マルチゾーンプラズマ源320を示す上面斜視図である。マルチゾーンプラズマ源320は、例えば入れ子になったリング内に、複数の個別の同心プラズマチャンバ310A〜310Dを備える。同心プラズマチャンバ310A〜310Dの各々は、対応するフェライトのセット204A〜204Dを有する。
図3Cは、本発明の一実施形態に従って、マルチゾーンプラズマ源320を示す底面斜視図である。チャンバ上部202は、複数の処理流出口304A〜304Eおよび複数のプラズマ流出口220A〜220Dを有する。複数のプラズマ流出口220A〜220Dは、対応するプラズマチャンバ310A〜310Dに結合されている。
図3Dは、本発明の一実施形態に従って、別のマルチゾーンプラズマ源330を示す上面斜視図である。図3Eは、本発明の一実施形態に従って、マルチゾーンプラズマ源330を示す底面斜視図である。マルチゾーンプラズマ源330は、複数の同心プラズマチャンバ310A〜310Eを備える。同心プラズマチャンバ310A〜310Eの各々は、対応するフェライトのセット204A〜204Eを有する。
図によると、隣接するプラズマチャンバ310A〜310Eのフェライト204A〜204Eは、領域332A〜332Dに示すように若干重なっていてよい。例として、フェライト204Bの内側の縁部は、領域332Aにおいてフェライト204Aの外側の縁部と重なっている。同様に、フェライト204Bの外側の縁部は、領域332Bにおいてフェライト204Cの内側の縁部と重なっている。フェライト204A〜204Eの重なりによって、マルチゾーンプラズマ源330内に同心プラズマチャンバ310A〜310Eをより密集させて収容することができる。したがって、同心リング310A〜310Dを4つだけ有する図3Bおよび図3Cの重なりのないフェライト実施形態と同じ直径に、より多くの同心リング310A〜310E(例えば、5つの同心リング)を備えることが可能になる。後述するように、各リング310A〜310Eは、バイアス、ガス流量、濃度、RF電力などが個別に制御されうる。したがって、より多くの同心リング310A〜310Eを設ければ、処理チャンバ230内の基板102の直径にわたって処理をより微細に調整制御することができる。
フェライト204A〜204Eは、任意選択的に、マルチゾーンプラズマ源330の複数の放射状部分(すなわち、パイの一切れの形状)334A〜334L内に配置されてよい。後述するように、各放射状部分334A〜334Lは、バイアス、ガス流量、濃度などが個別に制御されうる。したがって、放射状部分334A〜334Lは、さらに、処理チャンバ230内の基板102にわたって放射状に、処理を微細に調整制御することを可能にする。
図4Aおよび図4Bは、本発明の一実施形態に従って、マルチゾーンプラズマ源300、320を示す概略図である。チャンバ上部202は、マルチゾーンプラズマ源300、320を備える。処理チャンバ230は、側壁230’および底部230’’を有する。基板支持体106は、底部230’’の上に配置されるか、近接または隣接して配置される。処理流出口304A〜304Eは、基板102の幅Wにわたって実質的に均等にプラズマ副生成物118および再結合生成物120を引き出す。結果として、プラズマ副生成物118および再結合生成物120は、プラズマ410とプラズマによって生成される中性種412とを妨げない。したがって、中性種412は、基板102の幅にわたって実質的に均一に分散される。中性種412は、基板102の表面と反応する。中性種412が基板102の幅にわたって実質的に均一に分散されるので、処理チャンバ230内で提供されるプラズマ処理(例えば、エッチング、ストリッピング、または、その他のプラズマ処理)の中央からエッジへの不均一性も実質的に排除される。
コントローラ420は、各リング310A〜310Eのための対応する制御部422A〜422E(例えば、ソフトウェア、ロジック、設定値、レシピなど)を備える。処理監視センサ424、426が、処理フィードバックを提供するためにコントローラ420に接続されてもよい。制御部422A〜422Eは、バイアス信号、電力、周波数、処理ガス110の圧力、流量、および、濃度などについて、各リング310A〜310Eを別個に制御できる。したがって、処理チャンバ230内の基板102の直径にわたって解離ガスの放射状のプロファイル制御を提供する。
複数のプラズマチャンバ310A〜310Eの各々を独立的に制御することによって、処理チャンバ230の対応する領域内の処理を操作することができる。
同様に、複数の放射状部分334A〜334Lの各々は、複数のプラズマチャンバ310A〜310Eの各放射状部分を独立的に制御して、処理チャンバ230の対応する領域内の処理を操作することを可能にする。例えば、プラズマチャンバ310B内の処理ガス110の流量および圧力のプロセス変数設定値が、対応する制御部422Bに入力される。処理監視センサ424、426の内の少なくとも一方は、対応する制御部422Bに入力されるプロセス測定値を提供する。処理監視センサ424、426から入力されたプロセス測定値、ならびに、ロジックおよびソフトウェアに基づいて、対応する制御部422Bは、フェライト310BへのRF電力、ならびに、プラズマチャンバ310B内の処理ガス110の流量および圧力について、修正された設定値を出力する。
同様に、処理は、同心リングプラズマチャンバ310A〜Eおよび/またはフェライト204A〜Eおよび/またはマルチゾーンプラズマ源200、300、310、320、330の放射状部分334A〜334Lの内の1または複数もしくはそれらの組み合わせによって規定されたそれぞれの領域の各々において監視および/または制御されうる。また、各ゾーンは、マルチゾーンプラズマ源200、300、310、320、330が効果的には単一ゾーンプラズマ源になるように、同じ方法および設定値で作動されうることを理解されたい。さらに、マルチゾーンプラズマ源200、300、310、320、330のゾーンのいくつかは、マルチゾーンプラズマ源のゾーンが少なくなるように、同じ方法および設定値で動作されうる。
図5は、本発明の一実施形態に従って、任意選択的なプラズマ制限部214の様々なサイズに対する流量および圧力を示すグラフである。グラフ510は、0.2mmの直径を有する任意選択的なプラズマ制限部214に対して標準立方センチメートル毎分(SCCM)で表した流量である。グラフ520は、0.5mmの直径を有する任意選択的なプラズマ制限部214に対する流量である。グラフ530は、1.0mmの直径を有する任意選択的なプラズマ制限部214に対する流量である。図に示すように、様々なサイズの任意選択的なプラズマ制限部214により、プラズマチャンバ210および処理チャンバ230の間の圧力降下を決定できる。チョーク流れがプラズマ制限部214にわたって生じるような圧力降下があれば、処理チャンバ210への質量流量は、プラズマチャンバ210内の圧力が一定である場合、プラズマチャンバ内での減少に伴って増加することがない。
プラズマチャンバ210の圧力を増大させれば、プラズマチャンバ内のプラズマを支持するのに十分な処理ガス110の密度が提供される。一定のRF電圧では、処理ガス110へ誘導される必要のある電流が、処理ガス圧に反比例する。したがって、プラズマチャンバ210内の処理ガス110の圧力を増大させれば、プラズマを生成するために必要な電流が低減される。さらに、プラズマは、プラズマを支持するための処理ガス圧を必要とするため、プラズマチャンバ210内に閉じ込められ、プラズマチャンバから処理チャンバ230に流入しない。結果として、プラズマ制限部214は、プラズマチャンバ210にプラズマを制限することができる。
変圧器は、一次巻線および二次巻線を有する。一次巻線を流れる一次電流が、磁界を生成する。磁界が二次巻線を通過すると、対応する二次電流が二次巻線に誘導される。フェライトコアを備えた変圧器は、磁界をより小さく密度の高い磁界に集結(すなわち、集中)させるため、二次巻線に二次電流をより効率的に誘導する。これは、非常に効率のよい低周波動作を可能にする(例えば、約13MHz未満、より具体的には10kHzから約5MHz未満の間、より具体的には約10kHzから約1MHz未満の間)。また、低周波動作によれば、典型的な高周波RFプラズマシステム(例えば、約13.56MHz以上の周波数)に比べて大幅にコストを下げることができる。
低周波フェライト結合プラズマシステムのさらなる利点は、イオン衝撃エネルギが低いことであり、その結果、高周波RFシステムに比べて、プラズマ腐食が減り、ウエハ上の粒子が少なくなる。プラズマ腐食が少ない結果、プラズマチャンバ210の表面および構成要素の摩耗および損傷が少なくなる。
図6Aは、本発明の一実施形態に従って、変圧器600の一例を示す概略図である。一次電流Ipが、電源から一次巻線620に印加される。一次巻線620を通る一次電流Ipの流れが、フェライト204内に磁界622を生成する。磁界622は、二次巻線630の中心のフェライトから生じ、二次巻線内に二次電流Isを誘導する。
図6Bは、本発明の一実施形態に従って、プラズマ源200、300、310、320、330内のフェライト204およびプラズマチャンバ210の1つのリングを示す概略図である。図7は、本発明の一実施形態に従って、プラズマ源200、300、310、320、330内のフェライト204およびプラズマチャンバ210の1つのリングを示す電気接続図700である。本明細書に記載のプラズマ源200、300、310、320、330において、一次巻線240は、各プラズマチャンバ210の周囲、かつ、フェライト204のそれぞれのセット204A〜Eの内側に巻かれる。二次巻線は、プラズマチャンバ210内の処理ガス110である。
一次電流Ipが、電源702から一次巻線240に印加される。電力はRFであってよい(例えば、約10kHzから約1MHz以上の間、または、約10kHzから約5MHzの間、または、約10kHzから約13MHz未満の間)。一次巻線240を通る一次電流Ipの流れが、フェライト204内に磁界622を生成する。磁界622は、プラズマチャンバ210内の処理ガス110に二次電流Isを誘導する。結果として、処理ガスは、プラズマ410を形成するのに十分に励起される。
図8は、本発明の一実施形態に従って、電源702を示す電気接続図である。電源702は、電力源802からのAC電力をDC電力に変換するための整流器804を備える。フィルタ808が、整流器804の出力をフィルタリングする。フィルタリングされたDCは、フィルタ808からインバータ810に供給される。インバータ810は、フィルタリングされたDCを、所望の周波数、電圧、および、電流のAC信号に変換する。共振回路812は、共振状態の負荷に所望のAC信号を効率的に供給するために、プラズマチャンバ負荷814と共振を一致させる。
コントローラ820は、電源702を制御する。コントローラ820は、システムコントローラまたはより大きいコントロールシステム(図示せず)へのリンク(例えば、ネットワーク)を備えうるユーザインターフェース822を備える。コントローラ820は、構成要素の動作を監視および制御するために、構成要素804、808、810、812と直接的に、または、センサ806A、806B、806Cを介して結合される。例えば、コントローラ820は、電源702内の電力信号の電圧、電流、電力、周波数、および、位相の内の1または複数を監視する。
図9A〜図9Cは、本発明の一実施形態に従って、プラズマ源300、310、320、330からの流れを示す図である。図に示すように、ラジカルおよび中性種の流れ902が、略扇状にプラズマチャンバ304A〜Fから基板102に向かって流れる。扇状は、流出口220から始まり、ウエハ102に近づくにつれて広がる。プラズマチャンバ304A〜Fを流れるガスは、流量Qおよび圧力Psを有する。圧力Pcは、処理チャンバ230内の圧力である。PsおよびPcの間の差により、ラジカルおよび中性種の流れ902がウエハ102に向かって広がることが可能になる。
図9Bによると、ラジカルおよび中性種の流れ902の濃度920は、流出口220の間の距離Lおよび処理チャンバ230の高さHの関数である。流出口220の間の距離Lが大きすぎると、ラジカルおよび中性種の流れ902の濃度920がウエハ102の表面と反応するのに不十分である領域904が生じる。同様に、処理チャンバ230の高さHが小さすぎると、ラジカルおよび中性種の流れ902の濃度920がウエハ102の表面と反応するのに不十分である領域904が生じる。図9Cは、以下のような高さHおよび距離Lの理想的な関係を示す:
Figure 0006019023
距離Lが高さHの1/2にほぼ等しい場合、ウエハ表面にわたるラジカルおよび中性種の濃度の変動は最小化されうる。あるいは、距離Lおよび高さHの関係を増減させると、ウエハの表面にわたるラジカルおよび中性種の濃度の変動を実現できる。
図10は、本発明の一実施形態に従って、プラズマ源200、300、310、320、330の作動時に実行される方法動作を示すフローチャートである。本明細書に示した動作は、例示を目的としたものであり、いくつかの動作はサブ動作を有してもよく、他の例において、本明細書で述べた特定の動作が図の動作に含まれなくてもよいことを理解されたい。このことを念頭に置いて、方法および動作1000について説明する。
動作1005において、処理ガス110がプラズマチャンバ210に供給される。動作1010において、処理ガス110はプラズマチャンバ210内で第1の圧力に維持される。第1の圧力は、プラズマチャンバの1組の流出口220に結合された処理チャンバ230の圧力と同じであるか、その2倍以上までであってよい。
動作1015において、一次電流Ipが、プラズマチャンバ210の外周に巻かれた一次巻線240に印加される。動作1020において、一次電流Ipが磁界を生成する。動作1025において、1または複数のフェライト204が、プラズマチャンバ210のほぼ中心部に磁界を集中させる。フェライト204は、プラズマチャンバ230の周りに形成される。
動作1030において、磁界は、プラズマチャンバ210内の処理ガス110に二次電流Isを誘導する。動作1035において、二次電流Isは、プラズマチャンバ210の処理ガス110内でプラズマを生成する。動作1040において、プラズマならびにプラズマによって生成されたラジカルおよび中性種の一部が、プラズマチャンバ210からプラズマ流出口220を通って処理チャンバ230へ移動する。
動作1045において、ラジカルおよび中性種は、基板102および処理チャンバ230と相互作用して、プラズマ副生成物118および再結合生成物120を生成する。動作1050において、プラズマ副生成物118および再結合生成物120は、1または複数の処理流出口304A〜304Eを通して処理チャンバから引き出される。1または複数の処理流出口304A〜304Eは、処理チャンバ上部202の表面にわたって、または、基板支持体106の縁部に沿って、または、基板支持体の下(処理チャンバの底部内など)に、もしくは、それらを組み合わせた方法で配置されており、これで、方法動作は終了しうる。
図11は、本発明の一実施形態に従って、プラズマ源200、300、320を含む統合システム1100を示すブロック図である。統合システム1100は、プラズマ源200、300、320と、プラズマ源に接続された統合システムコントローラ1110とを備える。統合システムコントローラ1110は、ユーザインターフェース1114を備えるか、もしくは、ユーザインターフェースに(例えば、有線または無線ネットワーク1112を介して)接続される。ユーザインターフェース1114は、ユーザ読み取り可能な出力および指示を提供し、ユーザ入力を受信して、統合システムコントローラ1110へのユーザアクセスを提供する。
統合システムコントローラ1110は、専用コンピュータまたは汎用コンピュータを備えうる。統合システムコントローラ1110は、プラズマ源200、300、320について、データ1118(例えば、実行履歴、性能または欠陥の分析、オペレータログ、履歴など)を監視、制御、収集、および、格納するために、コンピュータプログラム1116を実行できる。例として、統合システムコントローラ1110は、収集したデータが、プラズマ源200、300,320、および/または、それらの構成要素(例えば、同心リングプラズマチャンバ310A〜Eの1つまたはフェライト204、204A〜Eなど)の動作への調整を決定づけた場合に、それらの動作を調整できる。
上述の実施形態を念頭に置いて、本発明は、コンピュータシステムに格納されたデータを含め、コンピュータによって実行される様々な動作を用いてよいことを理解されたい。これらの動作は、物理量の物理操作を必要とするものである。通常、必ずしも当てはまるわけではないが、これらの物理量は、格納、転送、合成、比較、および、その他の操作を施すことが可能な電気または磁気の信号の形態を取る。さらに、実行される操作は、生成、特定、決定、または、比較などの用語で呼ばれることが多い。
本発明の一部を形成する本明細書で説明した動作はいずれも、有用な機械動作である。本発明は、さらに、これらの動作を実行するためのデバイスまたは装置に関する。装置は、必要な目的に対して特別に構成されてもよいし、コンピュータ内に格納されたコンピュータプログラムによって選択的に起動または構成される汎用コンピュータであってもよい。特に、本明細書の教示に従って記述されたコンピュータプログラムと共に、様々な汎用マシンを用いてもよいし、必要な動作を実行することに特化された装置を構成して利便性を向上させてもよい。
本発明は、コンピュータ読み取り可能な媒体上のコンピュータ読み取り可能なコードおよび/またはロジックとして実施されてもよい。コンピュータ読み取り可能な媒体は、コンピュータシステムによって読み出し可能なデータを格納できる任意のデータ格納装置である。コンピュータ読み取り可能な媒体の例としては、ハードドライブ、ネットワーク接続ストレージ(NAS)、読み出し専用メモリ、論理回路、ランダムアクセスメモリ、CD−ROM、CD−R、CD−RW、磁気テープ、および、その他の光学および非光学式のデータ格納装置が挙げられる。コンピュータ読み取り可能な媒体は、コンピュータ読み取り可能なコードが、分散的に格納および実行されるように、ネットワーク接続された複数のコンピュータシステムに分散されてもよい。
上述の図面における動作によって表される命令は、図示した順序で実行される必要はなく、それらの動作によって表される処理すべてが、必ずしも本発明の実施に必要なわけではない。さらに、上述の図面のいずれかに記載された処理は、RAM、ROM、または、ハードディスクドライブのいずれか、もしくは、それらを組み合わせたものに格納されたソフトウェアとして実施されてもよい。
理解を深めるために、上述の発明について、ある程度詳しく説明したが、添付の特許請求の範囲内で、ある程度の変更や変形を行ってもよいことは明らかである。したがって、本実施形態は、例示的なものであって、限定的なものではないとみなされ、本発明は、本明細書に示した詳細に限定されず、添付の特許請求の範囲および等価物の範囲内で変形されてよい。

Claims (22)

  1. プラズマ源であって、
    複数のリングプラズマチャンバと、
    複数の一次巻線であって、前記複数の一次巻線の各々は前記複数のリングプラズマチャンバの1つの外側に巻かれる、複数の一次巻線と、
    貫通孔が形成された複数のフェライトであって、前記複数のリングプラズマチャンバの各々が前記複数のフェライトのうちの対応する複数のフェライトの前記貫通孔を貫通する、複数のフェライトと、を備え
    前記複数のリングプラズマチャンバのうちの径方向に隣り合う2つのリングプラズマチャンバにそれぞれ対応する2群の前記複数のフェライトは、互いに径方向の一部が周方向に見て重なっている、プラズマ源。
  2. 請求項1に記載のプラズマ源であって、さらに、
    前記複数のリングプラズマチャンバの各々に接続された制御システムを備える、プラズマ源。
  3. 請求項1に記載のプラズマ源であって、
    前記複数のリングプラズマチャンバは実質的に同心である、プラズマ源。
  4. 請求項1に記載のプラズマ源であって、
    前記複数のリングプラズマチャンバの各々は、前記複数のリングプラズマチャンバの少なくとも別の1つに隣接する、プラズマ源。
  5. 請求項1に記載のプラズマ源であって、
    前記複数のリングプラズマチャンバの各々は、前記複数のリングプラズマチャンバの各々を処理チャンバに結合する対応する複数のプラズマチャンバ流出口を備える、プラズマ源。
  6. 請求項1に記載のプラズマ源であって、
    前記複数のリングプラズマチャンバは処理チャンバ上部に含まれる、プラズマ源。
  7. 請求項1に記載のプラズマ源であって、さらに、
    処理ガス源を前記複数のリングプラズマチャンバの各々に結合する少なくとも1つの処理ガス流入口を備える、プラズマ源。
  8. 請求項1に記載のプラズマ源であって、
    前記複数のリングプラズマチャンバの各々は、
    処理ガス源および処理ガスプレナムに結合された少なくとも1つの処理ガス流入口と、
    前記処理ガスプレナムと前記複数のリングプラズマチャンバの内の対応する1つとの間に結合された複数の流入口と、を含む、プラズマ源。
  9. 請求項8に記載のプラズマ源であって、
    前記複数の流入口は、前記複数のリングプラズマチャンバの各々の周囲に分散されている、プラズマ源。
  10. 請求項1に記載のプラズマ源であって、
    前記フェライトは、前記リングプラズマチャンバの周囲に実質的に均等に分散されている、プラズマ源。
  11. 請求項1に記載のプラズマ源であって、
    前記フェライトは、前記複数のリングプラズマチャンバの各々の周囲に複数のグループに分けて配置される、プラズマ源。
  12. 請求項1に記載のプラズマ源であって、
    前記リングプラズマチャンバは、略円形、略三角形、略長方形、または、略多角形からなる一群の形状の内の1つである、プラズマ源。
  13. プラズマを生成する方法であって、
    処理ガスを複数のリングプラズマチャンバに供給する工程と、
    複数の一次巻線に一次電流を印加する工程であって、前記複数の一次巻線の各々は前記複数のリングプラズマチャンバの各々の外側に巻かれている、工程と、
    前記一次巻線内に磁界を生成する工程と、
    貫通孔が形成された複数のフェライトによって前記磁界を集中させる工程であって、前記複数のリングプラズマチャンバの各々が前記複数のフェライトのうちの対応する複数のフェライトの前記貫通孔を貫通する、工程と、
    前記複数のリングプラズマチャンバの各々の中の前記処理ガスに二次電流を誘導する工程と、
    前記二次電流で前記複数のリングプラズマチャンバの前記処理ガス内にプラズマを生成する工程と、を備え
    前記複数のリングプラズマチャンバのうちの径方向に隣り合う2つのリングプラズマチャンバにそれぞれ対応する2群の前記複数のフェライトは、互いに径方向の一部が周方向に見て重なっている、方法。
  14. 請求項13に記載の方法であって、さらに、
    前記複数のリングプラズマチャンバの各々の複数の流出口を通して処理チャンバに中性種およびラジカル種の少なくとも一方を供給する工程を備え、
    前記複数の流出口は前記複数のリングプラズマチャンバを前記処理チャンバに結合する、方法。
  15. 請求項13に記載の方法であって、さらに、
    処理チャンバ上部の複数の流出口を通して前記処理チャンバからプラズマ副生成物および再結合生成物の少なくとも一方を除去する工程を備え、
    前記複数の流出口の少なくとも1つは、前記処理チャンバ上部の実質的に中心の位置に配置される、方法。
  16. 請求項13に記載の方法であって、
    前記処理ガスを前記複数のリングプラズマチャンバ内に供給する工程は、前記複数のリングプラズマチャンバの各々への少なくとも1つの処理ガス流入口に前記処理ガスを入力する工程を含む、方法。
  17. 請求項16に記載の方法であって、
    前記処理ガスを前記複数のリングプラズマチャンバに供給する工程は、前記複数のリングプラズマチャンバの各々の流量、圧力、バイアス、および/または、流出口直径の内の少なくとも1つを調節する工程を含む、方法。
  18. 請求項13に記載の方法であって、
    前記フェライトは、前記複数のリングプラズマチャンバの各々の周囲に実質的に均等に分散されている、方法。
  19. 請求項13に記載の方法であって、さらに、
    少なくとも1つの処理監視センサから処理フィードバック信号を受信する工程と、
    前記複数のリングプラズマチャンバの各々の流量、圧力、バイアス、および/または、流出口直径の少なくとも1つの設定値を調節する工程と、を備える、方法。
  20. プラズマ処理システムであって、
    複数のリングプラズマチャンバと、
    複数の一次巻線であって、前記複数の一次巻線の各々は前記複数のリングプラズマチャンバの1つの外側に巻かれている、複数の一次巻線と、
    貫通孔が形成された複数のフェライトであって、前記複数のリングプラズマチャンバの各々は前記複数のフェライトのうちの対応する複数のフェライトの前記貫通孔を貫通する、複数のフェライトと、
    前記複数のリングプラズマチャンバの各々に接続された制御システムと、を備え、
    前記制御システムは、
    少なくとも1つの処理監視センサと、
    処理ガスを前記複数のリングプラズマチャンバの各々に供給するためのロジックと、
    前記複数のリングプラズマチャンバの各々の対応する外側に巻かれたそれぞれの一次巻線に一次電流を印加するためのロジックと、
    前記一次巻線の各々に磁界を生成するためのロジックと、
    前記複数のリングプラズマチャンバの各々の周囲に複数のグループに分けて配置された前記複数のフェライトによって前記磁界を集中させるためのロジックと、
    前記複数のリングプラズマチャンバの各々の中の前記処理ガスに二次電流を誘導するためのロジックと、
    前記二次電流で前記複数のリングプラズマチャンバの各々の中の前記処理ガスにプラズマを生成するためのロジックと、
    前記少なくとも1つの処理監視センサから処理フィードバック信号を受信するためのロジックと、
    少なくとも1つの設定値を調整するためのロジックと、を含み、
    前記複数のリングプラズマチャンバのうちの径方向に隣り合う2つのリングプラズマチャンバにそれぞれ対応する2群の前記複数のフェライトは、互いに径方向の一部が周方向に見て重なっている、プラズマ処理システム。
  21. 基板を処理するためのプラズマシステムであって、
    処理チャンバであって、
    底部と、
    複数の側壁と、
    前記底部に近接する基板支持体と、
    前記処理チャンバを囲むように前記側壁と結合されたチャンバ上部と、を有する、処理チャンバと、
    前記基板支持体の複数の領域に分散されるように前記チャンバ上部の上に配置された複数のフェライトであって、前記領域は、前記基板支持体の外部と前記基板支持体の中心部との間に少なくとも伸び、前記複数のフェライトは、1または複数のフェライトの複数のグループに分けられている、貫通孔が形成された複数のフェライトと、
    複数の一次巻線であって、前記複数の一次巻線の各々は前記複数のフェライトのグループの1つの外側に巻かれる、複数の一次巻線と、
    複数のリングプラズマチャンバと、
    を備え、
    前記複数のリングプラズマチャンバの各々は、前記複数のフェライトのうちの対応する複数のフェライトの前記貫通孔を貫通し、
    前記複数のリングプラズマチャンバのうちの径方向に隣り合う2つのリングプラズマチャンバにそれぞれ対応する2群の前記複数のフェライトは、互いに径方向の一部が周方向に見て重なっている、プラズマシステム。
  22. 請求項21に記載のプラズマシステムであって、さらに
    前記複数の一次巻線に電流を供給する電源を備え、
    前記複数のフェライトは、前記基板支持体の前記領域に前記電流を集中させる、プラズマシステム。
JP2013523144A 2010-08-06 2011-06-30 プラズマ源、プラズマ生成方法、プラズマ処理システム Active JP6019023B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/852,364 US8999104B2 (en) 2010-08-06 2010-08-06 Systems, methods and apparatus for separate plasma source control
US12/852,364 2010-08-06
PCT/US2011/001175 WO2012018366A1 (en) 2010-08-06 2011-06-30 Systems, methods and apparatus for separate plasma source control

Publications (2)

Publication Number Publication Date
JP2013539587A JP2013539587A (ja) 2013-10-24
JP6019023B2 true JP6019023B2 (ja) 2016-11-02

Family

ID=45555335

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013523144A Active JP6019023B2 (ja) 2010-08-06 2011-06-30 プラズマ源、プラズマ生成方法、プラズマ処理システム

Country Status (5)

Country Link
US (1) US8999104B2 (ja)
JP (1) JP6019023B2 (ja)
KR (1) KR101868646B1 (ja)
TW (1) TWI550708B (ja)
WO (1) WO2012018366A1 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9967965B2 (en) * 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9685297B2 (en) * 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US20140062285A1 (en) * 2012-08-29 2014-03-06 Mks Instruments, Inc. Method and Apparatus for a Large Area Inductive Plasma Source
US9630142B2 (en) 2013-03-14 2017-04-25 Mks Instruments, Inc. Toroidal plasma abatement apparatus and method
US10553403B1 (en) 2019-05-08 2020-02-04 Mks Instruments, Inc. Polygonal toroidal plasma source

Family Cites Families (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4276557A (en) 1978-12-29 1981-06-30 Bell Telephone Laboratories, Incorporated Integrated semiconductor circuit structure and method for making it
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
JPS61189642A (ja) 1985-02-18 1986-08-23 Mitsubishi Electric Corp プラズマ反応装置
KR960016218B1 (ko) 1987-06-05 1996-12-07 가부시기가이샤 히다찌세이사꾸쇼 표면처리방법 및 그 장치
RU2094961C1 (ru) 1989-07-20 1997-10-27 Уланов Игорь Максимович Трансформаторный плазмотрон
RU2022917C1 (ru) 1989-09-27 1994-11-15 Уланов Игорь Максимович Способ получения окиси азота
RU2056702C1 (ru) 1990-07-09 1996-03-20 Уланов Игорь Максимович Трансформаторный плазмотрон
US6444137B1 (en) 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US5183990A (en) 1991-04-12 1993-02-02 The Lincoln Electric Company Method and circuit for protecting plasma nozzle
US5302237A (en) 1992-02-13 1994-04-12 The United States Of America As Represented By The Secretary Of Commerce Localized plasma processing
US5505780A (en) 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
US5349271A (en) 1993-03-24 1994-09-20 Diablo Research Corporation Electrodeless discharge lamp with spiral induction coil
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
EP0805475B1 (en) 1996-05-02 2003-02-19 Tokyo Electron Limited Plasma processing apparatus
US5846883A (en) 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
AUPO281896A0 (en) 1996-10-04 1996-10-31 Unisearch Limited Reactive ion etching of silica structures for integrated optics applications
US6190236B1 (en) 1996-10-16 2001-02-20 Vlsi Technology, Inc. Method and system for vacuum removal of chemical mechanical polishing by-products
JP4043089B2 (ja) * 1997-02-24 2008-02-06 株式会社エフオーアイ プラズマ処理装置
US6924455B1 (en) 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
JPH11149998A (ja) * 1997-11-14 1999-06-02 Foi:Kk プラズマ処理装置
US6273022B1 (en) 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US5998933A (en) 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6300643B1 (en) 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6392351B1 (en) 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
WO2000074127A1 (fr) 1999-05-26 2000-12-07 Tokyo Electron Limited Dispositif de traitement au plasma
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
KR20020029743A (ko) * 1999-08-06 2002-04-19 로버트 엠. 포터 가스와 재료를 처리하기 위한 유도결합 링-플라즈마소스장치 및 그의 방법
US6318384B1 (en) 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
JP2003530481A (ja) 1999-11-19 2003-10-14 ナノ スケール サーフェイス システムズ インコーポレイテッド 無機/有機誘電体フィルムを堆積させるシステム及び方法
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6337460B2 (en) 2000-02-08 2002-01-08 Thermal Dynamics Corporation Plasma arc torch and method for cutting a workpiece
AU2001247685A1 (en) 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US7234477B2 (en) 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
TW445540B (en) 2000-08-07 2001-07-11 Nano Architect Res Corp Bundle concentrating type multi-chamber plasma reacting system
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US20020101167A1 (en) * 2000-12-22 2002-08-01 Applied Materials, Inc. Capacitively coupled reactive ion etch plasma reactor with overhead high density plasma source for chamber dry cleaning
US6755150B2 (en) 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
TWI246873B (en) 2001-07-10 2006-01-01 Tokyo Electron Ltd Plasma processing device
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6855906B2 (en) * 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
US6761804B2 (en) 2002-02-11 2004-07-13 Applied Materials, Inc. Inverted magnetron
US6962644B2 (en) 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
FR2838020B1 (fr) 2002-03-28 2004-07-02 Centre Nat Rech Scient Dispositif de confinement de plasma
US20030188685A1 (en) 2002-04-08 2003-10-09 Applied Materials, Inc. Laser drilled surfaces for substrate processing chambers
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US6936546B2 (en) 2002-04-26 2005-08-30 Accretech Usa, Inc. Apparatus for shaping thin films in the near-edge regions of in-process semiconductor substrates
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
JP2004014904A (ja) 2002-06-10 2004-01-15 Tokyo Ohka Kogyo Co Ltd 同時放電化装置
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6902774B2 (en) 2002-07-25 2005-06-07 Inficon Gmbh Method of manufacturing a device
US7256132B2 (en) 2002-07-31 2007-08-14 Applied Materials, Inc. Substrate centering apparatus and method
US20040027781A1 (en) 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US20030015965A1 (en) 2002-08-15 2003-01-23 Valery Godyak Inductively coupled plasma reactor
US6887317B2 (en) 2002-09-10 2005-05-03 Applied Materials, Inc. Reduced friction lift pin
US7411352B2 (en) 2002-09-19 2008-08-12 Applied Process Technologies, Inc. Dual plasma beam sources and method
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7069937B2 (en) 2002-09-30 2006-07-04 Lam Research Corporation Vertical proximity processor
US6988327B2 (en) 2002-09-30 2006-01-24 Lam Research Corporation Methods and systems for processing a substrate using a dynamic liquid meniscus
US7513262B2 (en) 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US7198055B2 (en) 2002-09-30 2007-04-03 Lam Research Corporation Meniscus, vacuum, IPA vapor, drying manifold
KR100488348B1 (ko) * 2002-11-14 2005-05-10 최대규 플라즈마 프로세스 챔버 및 시스템
NL1022155C2 (nl) 2002-12-12 2004-06-22 Otb Group Bv Werkwijze, alsmede inrichting voor het behandelen van een oppervlak van ten minste één substraat.
US7163602B2 (en) 2003-03-07 2007-01-16 Ogle John S Apparatus for generating planar plasma using concentric coils and ferromagnetic cores
JP2004296729A (ja) 2003-03-26 2004-10-21 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
US7824520B2 (en) 2003-03-26 2010-11-02 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus
JP4460940B2 (ja) 2003-05-07 2010-05-12 株式会社ニューパワープラズマ 多重放電管ブリッジを備えた誘導プラズマチャンバ
US8580076B2 (en) 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
JP4607517B2 (ja) 2003-09-03 2011-01-05 東京エレクトロン株式会社 プラズマ処理装置
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US20050103620A1 (en) 2003-11-19 2005-05-19 Zond, Inc. Plasma source with segmented magnetron cathode
US7464662B2 (en) 2004-01-28 2008-12-16 Tokyo Electron Limited Compact, distributed inductive element for large scale inductively-coupled plasma sources
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
JP2006114884A (ja) 2004-09-17 2006-04-27 Ebara Corp 基板洗浄処理装置及び基板処理ユニット
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060236931A1 (en) 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
EP1727186B1 (en) 2005-05-23 2012-01-25 New Power Plasma Co., Ltd. Plasma chamber with discharge inducing bridge
KR100689848B1 (ko) * 2005-07-22 2007-03-08 삼성전자주식회사 기판처리장치
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US7641762B2 (en) 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
KR101247198B1 (ko) 2005-09-09 2013-03-25 가부시키가이샤 알박 이온원 및 플라스마 처리장치
US7895970B2 (en) 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
US8092638B2 (en) 2005-10-11 2012-01-10 Applied Materials Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US7397232B2 (en) 2005-10-21 2008-07-08 The University Of Akron Coulter counter having a plurality of channels
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100785163B1 (ko) * 2006-02-03 2007-12-11 위순임 다중 원격 플라즈마 발생기를 구비하는 기판 처리 시스템
KR100785164B1 (ko) * 2006-02-04 2007-12-11 위순임 다중 출력 원격 플라즈마 발생기 및 이를 구비한 기판 처리시스템
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US8231799B2 (en) 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
JP5069427B2 (ja) 2006-06-13 2012-11-07 北陸成型工業株式会社 シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US7879184B2 (en) 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7837826B2 (en) 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
DE102006048816A1 (de) 2006-10-16 2008-04-17 Iplas Innovative Plasma Systems Gmbh Vorrichtung und Verfahren zur lokalen Erzeugung von Mikrowellenplasmen
US7780866B2 (en) 2006-11-15 2010-08-24 Applied Materials, Inc. Method of plasma confinement for enhancing magnetic control of plasma radial distribution
KR100978754B1 (ko) 2008-04-03 2010-08-30 주식회사 테스 플라즈마 처리 장치
CN101583736A (zh) 2007-01-19 2009-11-18 应用材料股份有限公司 浸没式等离子体室
US20080179289A1 (en) 2007-01-30 2008-07-31 Collins Kenneth S Process for wafer backside polymer removal with a plasma stream
KR100868019B1 (ko) 2007-01-30 2008-11-10 삼성전자주식회사 플라즈마 쉬쓰 제어기를 갖는 이온 빔 장치
US7897213B2 (en) 2007-02-08 2011-03-01 Lam Research Corporation Methods for contained chemical surface treatment
US7824519B2 (en) 2007-05-18 2010-11-02 Lam Research Corporation Variable volume plasma processing chamber and associated methods
WO2008154222A1 (en) 2007-06-06 2008-12-18 Mks Instruments, Inc. Particle reduction through gas and plasma source control
KR101418438B1 (ko) 2007-07-10 2014-07-14 삼성전자주식회사 플라즈마 발생장치
US20090025879A1 (en) 2007-07-26 2009-01-29 Shahid Rauf Plasma reactor with reduced electrical skew using a conductive baffle
US8343305B2 (en) 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
US8771483B2 (en) 2007-09-05 2014-07-08 Intermolecular, Inc. Combinatorial process system
US8039052B2 (en) 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US20090109595A1 (en) 2007-10-31 2009-04-30 Sokudo Co., Ltd. Method and system for performing electrostatic chuck clamping in track lithography tools
US8129288B2 (en) 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
WO2009099661A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation A protective coating for a plasma processing chamber part and a method of use
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
US8409459B2 (en) 2008-02-28 2013-04-02 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
US7713757B2 (en) 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
US7558045B1 (en) 2008-03-20 2009-07-07 Novellus Systems, Inc. Electrostatic chuck assembly with capacitive sense feature, and related operating method
JP5294669B2 (ja) 2008-03-25 2013-09-18 東京エレクトロン株式会社 プラズマ処理装置
US8236133B2 (en) 2008-05-05 2012-08-07 Applied Materials, Inc. Plasma reactor with center-fed multiple zone gas distribution for improved uniformity of critical dimension bias
JP5524453B2 (ja) 2008-05-15 2014-06-18 Sumco Techxiv株式会社 シリコンウェーハのエッチング方法及びエッチング装置
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8206552B2 (en) 2008-06-25 2012-06-26 Applied Materials, Inc. RF power delivery system in a semiconductor apparatus
JP5144594B2 (ja) 2008-06-30 2013-02-13 ヤフー株式会社 サーバ装置、サーバ装置における予測方法及びプログラム
US20100024729A1 (en) 2008-08-04 2010-02-04 Xinmin Cao Methods and apparatuses for uniform plasma generation and uniform thin film deposition
KR20100031960A (ko) * 2008-09-17 2010-03-25 삼성전자주식회사 플라즈마 발생장치
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US8099995B2 (en) 2008-12-16 2012-01-24 Agilent Technologies, Inc. Choked flow isolator for noise reduction in analytical systems
US8503151B2 (en) 2009-09-30 2013-08-06 Lam Research Corporation Plasma arrestor insert
SG178287A1 (en) 2009-08-31 2012-03-29 Lam Res Corp A local plasma confinement and pressure control arrangement and methods thereof
JP5166595B2 (ja) 2011-12-16 2013-03-21 株式会社藤商事 遊技機

Also Published As

Publication number Publication date
US8999104B2 (en) 2015-04-07
JP2013539587A (ja) 2013-10-24
TWI550708B (zh) 2016-09-21
US20120031876A1 (en) 2012-02-09
TW201222660A (en) 2012-06-01
WO2012018366A1 (en) 2012-02-09
KR101868646B1 (ko) 2018-06-18
KR20130137589A (ko) 2013-12-17

Similar Documents

Publication Publication Date Title
JP6412902B2 (ja) プラズマシステム
JP2017050285A (ja) 分散型マルチゾーンプラズマ源システム、方法、および、装置
JP6019023B2 (ja) プラズマ源、プラズマ生成方法、プラズマ処理システム
TWI519215B (zh) 分散式多區電漿源系統、方法及設備
US20180228015A1 (en) Distributed, Non-Concentric Multi-Zone Plasma Source Systems, Methods and Apparatus
US10283325B2 (en) Distributed multi-zone plasma source systems, methods and apparatus

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20140404

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140627

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150514

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150519

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150811

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150915

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160209

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160502

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160805

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160906

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20161003

R150 Certificate of patent or registration of utility model

Ref document number: 6019023

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250