JP5721132B2 - 真空処理装置用シャワーヘッド・アセンブリ及び真空処理装置用シャワーヘッド・アセンブリを真空処理チャンバに締結する方法 - Google Patents

真空処理装置用シャワーヘッド・アセンブリ及び真空処理装置用シャワーヘッド・アセンブリを真空処理チャンバに締結する方法 Download PDF

Info

Publication number
JP5721132B2
JP5721132B2 JP2010275101A JP2010275101A JP5721132B2 JP 5721132 B2 JP5721132 B2 JP 5721132B2 JP 2010275101 A JP2010275101 A JP 2010275101A JP 2010275101 A JP2010275101 A JP 2010275101A JP 5721132 B2 JP5721132 B2 JP 5721132B2
Authority
JP
Japan
Prior art keywords
plate
vacuum processing
showerhead
processing chamber
back plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010275101A
Other languages
English (en)
Other versions
JP2011137228A (ja
Inventor
トーマス ブロニガン,ウエンデル
トーマス ブロニガン,ウエンデル
アレン レンタ,マイケル
アレン レンタ,マイケル
Original Assignee
オルボテック エルティ ソラー,エルエルシー
オルボテック エルティ ソラー,エルエルシー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by オルボテック エルティ ソラー,エルエルシー, オルボテック エルティ ソラー,エルエルシー filed Critical オルボテック エルティ ソラー,エルエルシー
Publication of JP2011137228A publication Critical patent/JP2011137228A/ja
Application granted granted Critical
Publication of JP5721132B2 publication Critical patent/JP5721132B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining
    • Y10T29/49947Assembling or joining by applying separate fastener
    • Y10T29/49948Multipart cooperating fastener [e.g., bolt and nut]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Measuring Or Testing Involving Enzymes Or Micro-Organisms (AREA)
  • Chemical Vapour Deposition (AREA)
  • Apparatus Associated With Microorganisms And Enzymes (AREA)
  • Automatic Analysis And Handling Materials Therefor (AREA)

Description

本発明は、基板もしくはその他のワークピースのエッチングもしくはそれらの上での薄膜形成に使用されるプラズマ・チャンバ等の真空処理装置に関する。
半導体、フラット・パネル・ディスプレイ、ソーラー・パネル等の分野における製造処理は、真空チャンバでの処理を含む。たとえば、薄膜を基板(ワークピース)上に形成し基板上の構造物をエッチングするためのプラズマ増強化学蒸着(PECVD)、プラズマ・エッチング、及びその他の多様な処理に真空チャンバは使用される。そのようなチャンバでは、噴射器(injector)又はシャワーヘッドを介してチャンバに多様なガスが流入される。大型のチャンバでプラズマ処理を均一に行うには、噴射器よりもシャワーヘッドが好ましい。一般的に、シャワーヘッドはチャンバの天井のほぼ全体を覆うので、均一な量のガスがチャンバ内のいずれの場所へも噴射される。
ガスをチャンバに流入させながら、無線周波数又はマイクロ波エネルギーを使用してプラズマを点火し、維持する。これにより、チャンバが加熱される。また、多くの処理において能動的ヒーター(active heater)を使用して基板を加熱するので、チャンバがさらに加熱される。もちろん、処理済みの基板をチャンバから取り出して新しい基板をチャンバに搬入するときには、プラズマを再び点火するまではチャンバは冷たくなる。これらの温度変化によってチャンバの多様な部品が膨張・収縮する。異なる材料で形成された部品は、異なる速度で膨張・収縮する。また、大型チャンバの大型の部品は大幅に膨張する。このことは、特に、フラット・パネル・ディスプレイもしくは太陽電池の製造に使用されるチャンバ等の大型処理チャンバのシャワーヘッドについて当てはまる。
以下の発明の開示は、本発明のいくつかの観点及び特徴について基本的な理解を得られるようにするべく含められている。本発明の開示は、本発明を広範囲に概観したものでなく、したがって本発明の主要もしくは重要な要素を詳細に特定し、本発明の範囲を詳細に記載することを意図していない。本発明の開示の唯一の目的は、以下に提示するより詳細な記載の前段階として、本発明のいくつかのコンセプトを簡易な形式で提示することである。
本発明の実施形態は、熱膨張・収縮に対処した真空処理チャンバを提供する。本発明の特定の実施形態では、チャンバ・ボディに構造的応力を与えたり、ガスシールを破壊したりすることなく熱膨張・収縮することができるシャワーヘッドを備えるプラズマ処理チャンバを提供する。
本発明の実施形態では、シャワーヘッド・アセンブリがシャワーヘッドを一箇所で固定し、その一方でその他の箇所ではシャワーヘッドを摺動させて熱膨張・収縮させるプラズマ・チャンバが提供される。別の実施形態では、固定をせず、シャワーヘッドはガスシールを維持しつつあらゆる方向に摺動し得る。
本発明の実施形態では、チャンバ・ボディと、チャンバ・ボディの上部に結合されたシャワーヘッド・アセンブリとを含む真空処理チャンバが提供される。シャワーヘッド・アセンブリは、チャンバ・ボディに堅固に取り付けられたバック・プレートと、複数の楕円形孔を有する穿孔(perforated)されたシャワーヘッド・プレートと、シャワーヘッド・プレートをバック・プレートの主平面に対し摺動可能に取り付け、それにより、シャワーヘッド・プレートとバック・プレートとの間にガスシールが維持されているときにシャワーヘッド・プレートをバック・プレートに対して摺動可能にする複数の締結アセンブリとを含む。一実施形態では、少なくとも一つのボルトにより、シャワーヘッド・プレートがバック・プレートに堅固に取り付けられる。
本発明の実施形態では、シャワーヘッド・アセンブリを真空処理チャンバに締結する方法が提供される。方法は、バック・プレートを真空処理チャンバに固定的に取り付け、複数の締結アセンブリを使用して穿孔されたシャワーヘッド・プレートをバック・プレートに結合することにより穿孔されたシャワーヘッド・プレートをバック・プレートの主平面に対し摺動可能に取り付け、穿孔されたシャワーヘッド・プレートとバック・プレートとの間にガスシールを提供する。一実施形態では、方法は、さらに、穿孔されたシャワーヘッド・プレートの少なくとも一箇所をバック・プレートに固定的に取り付ける。
本発明のその他の観点や特徴は、本明細書に記載され、添付の特許請求の範囲において請求される本発明の範囲及び趣旨に含まれる多様な実施形態についての記載から明らかになるであろう。
本明細書に組み込まれその一部を構成する添付の図面は、本発明の実施形態を例示し、記載とともに、本発明の原理を説明・解説する。図面は、図示により模範的実施形態の主要な特徴を示すよう意図される。図面は、実際の実施形態の全特徴や図示される要素の相対寸法を示すようには意図されておらず、一定の縮尺で示されていない。
本発明の実施形態を実施したプラズマ処理チャンバの主要な要素を示す図である。 本発明の実施形態に係る、シャワーヘッドの一方の側を固定する一例を示す断面図である。 本発明の実施形態に係る、シャワーヘッドの一方の側を浮遊させる一例を示す断面図である。 本発明の実施形態に係る浮遊機構を示す分解図である。 組み立てられた浮遊取り付け部材の等角図である。 カバー・プレート176の下面を示す下部正面図(lower elevation)である。 本発明の別の実施形態に係る摺動締結機構を示す。 本発明の実施形態に係るバック・プレート及びシャワーヘッド・プレートの下部正面図(bottom elevation view)である。 本発明の実施形態に係るバック・プレート及びシャワーヘッド・プレートの下部正面図(bottom elevation view)である。
図1は、本発明の実施形態を実施したプラズマ処理チャンバ100の主要な要素を示す図である。チャンバ100は、全体的にアルミニウム、ステンレス鋼等の金属で形成されたチャンバ・ボディ102を含む。一枚以上の基板を保持するために台座105が設けられる。台座105は、サセプタ、チャック、及び/又はヒーターを支持してよい。台座はリフト機構115に装着されてよく、それにより台座は図示の位置に下降されてバルブ110を介して基板をロードされ、その後、処理のために上昇される。チャンバは、バック・プレート125に取り付けられたシャワーヘッド・プレート120を含むシャワーヘッド・アセンブリを、その上部に有する。バック・プレート125は、チャンバ・ボディ102に密着されている。ソース130から導管135を通じてガスがシャワーヘッドに供給される。シャワーヘッド・プレート120は、ガスをチャンバへと分散可能にする多数の孔(perforations)を有する。
図2は、本発明の実施形態に係る、シャワーヘッド・プレート120の一箇所をバック・プレート125に固定する一例を示す断面図である。本発明の実施形態によると、シャワーヘッド・プレート120の少なくとも一箇所がバック・プレート125に固定され、ガス漏洩を回避するべくシャワーヘッド・プレート120はバック・プレート125に密閉され、当該箇所においてシャワーヘッド・プレート120はバック・プレート125に対して移動不可能となっている。この箇所は、たとえば、シャワーヘッド・プレートの角の1つ又はシャワーヘッド・プレートの中心であってよい。図2は、シャワーヘッド・プレートの1つの角において固定する例を示している。
他方、図3は、本発明の実施形態に係る、シャワーヘッドをバック・プレートに摺動可能に取り付けるべく使用される摺動締結アセンブリの例を示す。つまり、この実施形態では、シャワーヘッド・プレート120の少なくとも直径方向の正反対の側がバック・プレート125に浮遊するように取り付けられ、シャワーヘッド・プレート120はバック・プレート125に密閉されるが、その箇所においてバック・プレート125に対して摺動可能となっている。このようにすることで、シャワーヘッド・プレート120の膨張・収縮が可能となる。なぜなら、シャワーヘッド・プレート120は、バック・プレート125に対する密閉を維持してシャワーヘッド・プレートとバック・プレートとの間からの処理ガスの漏洩を回避する一方で、なおかつバック・プレート125に対して摺動自在であるからである。
図2及び図3に示すように、シャワーヘッド・プレート120とバック・プレート125との間にOリング140が設けられており、シャワーヘッド・プレート120とバック・プレート125との間にガスシールが提供されている。また、シャワーヘッド・プレート120とバック・プレート125との間にはTeflonブロック(Teflonは登録商標)もしくはスペーサ145が設けられており、これによりシャワーヘッド・プレート120とバック・プレート125との間に小さい隙間が維持され、これらが互いに摺動可能となっている。図2では、シャワーヘッド・プレート120は、ボルト150と座金155を使用してバック・プレート125にしっかりと螺締されている。ボルト150を覆うカバー160が設けられている。
図3は、ガスは密閉可能とする一方で熱膨張・収縮が生じた場合にシャワーヘッド・プレート120を摺動させることができるようにシャワーヘッド・プレート120をバック・プレート125に取り付けるべく使用される摺動締結アセンブリを示す。図2では、ボルト150を収容するのに十分なだけの直径を有する孔152がシャワーヘッド・プレートに形成されている。一方、図3に示す摺動締結アセンブリのためには、孔154は楕円形とされ、段差156を有している。挿入部材170が孔154には挿入されており、段差156に着座されている。挿入部材はセラミックもしくはその他の材料で形成されてよい。
図4は、本発明の一実施形態に係る摺動締結機構の分解図である。図4に示すように、挿入部材170は、直径がボルト150の直径よりもわずかに大きく、形状が楕円形である孔172を有する。また、図4に示すように、挿入部材170はキー171を有しており、これにより挿入部材170を孔154に挿入し得る方向が一つだけとなり、楕円形孔172の長軸が当該箇所でのシャワーヘッド・プレート120の膨張の方向となるようになっている。
挿入部材170の上には摺動プレート173が設けられている。摺動プレート173は4つの楕円形孔174を有しており、これらも摺動方向に方向付けられている。各孔には玉175が挿入されている。各玉175は各々の楕円形孔174の中を自由に走行可能である。摺動プレート173及び玉175のアセンブリを覆うようにカバー・プレート176が設けられている。本質として、挿入部材170、摺動プレート173、玉175、及びカバー・プレート176により「線形」の玉軸受け構成が形成されることは理解されよう。任意に2つの座金177、178がカバー・プレート176を覆うように設けられており、ボルト150によりこれら全アセンブリがバック・プレート125に締め付けられている。理解され得るように、ボルト150が正常に締められている状態では、シャワーヘッド・プレート120はバック・プレート125に密閉されることが可能であるが、バック・プレート125に対して摺動することにより膨張することも可能である。この状態にあるとき、シャワーヘッド・プレート120及び挿入部材170のアセンブリは玉175に「乗って」いる。なぜなら挿入部材170に設けられる孔172が膨張運動方向に楕円形であるからである。この実施形態では、ボルト150以外の全部品はセラミックで形成されるが、アルミニウム、陽極酸化アルミ、Teflon(登録商標)等、その他の材料を多様な部品に使用してよい。
図5は、ボルト150が座金177/178、カバー・プレート176、摺動プレート173、及び挿入部材170に挿入された状態の摺動締結構成の全体を示す。このアセンブリの全体が図3に示す孔154に挿入され、ボルトが締められてOリング140とともにシールを形成するが、Teflonブロックによりシャワーヘッド・プレート120の摺動が可能となっている。
図6は、カバー・プレート176の下面を示す下部正面図(lower elevation)である。図6に示すように、一実施形態において、カバー・プレートの下面には4つの案内溝が設けられており、玉175が案内溝に規定される一つの直線方向に自由に転がることができる。
図7は、本発明の別の実施形態に係る摺動締結機構を示す。この実施形態に係る摺動締結機構は、玉175が取り除かれ摺動が単純な摩擦により可能となる点以外は図3及び図4に示すものに類似している。一実施形態では、カバー176は挿入部材170に「乗って」おり、それらの互いに対する摺動は界面175’で発生する。別の実施形態では、界面175’を形成するカバー176及び挿入部材170それぞれの面は、摩擦を低減させ、摺動により生じる粒子の発生を回避もしくは低減させるべく処理される。これは、たとえばこれらの面に、たとえばTeflon(登録商標)を塗布したり、陽極酸化を施したりすること等により実行できる。さらに別の実施形態では、Teflon等の平滑な材料で形成されるディスクが界面175’に設けられる。
別の実施形態では、シャワーヘッド・プレートはバック・プレートに固定的に取り付けられない。むしろ、複数の摺動締結アセンブリを使用してシャワーヘッド・プレートをバック・プレートに取り付け、シャワーヘッド・プレートがあらゆる方向に膨張自在とされる。しかし、複数の摺動締結アセンブリは、シャワーヘッド・プレートの膨張又は収縮にも拘わらずシャワーヘッド・プレートの中心が同一の位置に存続するように方向付けられる。これは、図8A及び図8Bに示される。
図8A及び図8Bは、本発明の実施形態に係るバック・プレート125(破線により示される)及びシャワーヘッド・プレート120の下部正面図(bottom elevation views)である。破線矢印は、シャワーヘッド・プレートの中心から放射状に延び、締結アセンブリが設けられる各箇所の中心を通る直線方向を示す。図示されるように、締結アセンブリの各楕円形孔154は、その長軸が、シャワーヘッド・プレートの中心から放射状に延びる直線に沿って存在するように方向付けられる。上記したように、各挿入部材170はキーを有しており、挿入部材170が孔154に挿入されたときにその楕円形孔172の長軸もがシャワーヘッド・プレートの中心から放射状に延びる直線に沿って方向付けられるような方向付けとされる。このようにすれば、締結アセンブリが設けられる各箇所において、シャワーヘッドは、シャワーヘッド・プレートの中心から放射状に延びて当該箇所を通る直線の方向に沿ってのみ摺動可能となる。したがって、シャワーヘッドは摺動することもしくは締結アセンブリに乗ることによりあらゆる方向に膨張・収縮することができるが、締結アセンブリの方向付けにより、シャワーヘッド・プレートの膨張・収縮にも拘わらずシャワーヘッドの中心は同一の位置に存続することが理解されよう。つまり、締結機構の方向付けにより、シャワーヘッド・プレートの、放射状の膨張・収縮以外の回転もしくは平行移動が防止される。
図8Aは、膨張位置にあるシャワーヘッド・プレートを示す。図示されるように、ボルト150が楕円形孔154の放射方向内側に位置するまでにシャワーヘッド・プレートは膨張している。反対に、収縮位置を示す図8Bでは、ボルト150は楕円形孔154の放射方向外側に示されている。
本発明をその特定的実施形態を参照して記載したが、本発明はこれらの実施形態に限定されない。特に、多様な変更及び改良が、当業者により、添付の特許請求の範囲により定義される本発明の趣旨及び範囲から逸脱することなく実施され得る。また、上に引用した従来技術文献の全てを参照として本明細書に取り込む。

Claims (19)

  1. チャンバ・ボディと、
    前記チャンバ・ボディの上部に結合されるシャワーヘッド・アセンブリと
    を含む真空処理チャンバであって、
    前記シャワーヘッド・アセンブリは、
    前記チャンバ・ボディに堅固に取り付けられたバック・プレートと、
    穿孔(perforated)されたシャワーヘッド・プレートと、
    前記シャワーヘッド・プレートが前記バック・プレートの主平面に対して摺動するように、前記シャワーヘッド・プレートを前記バック・プレートに摺動可能に締結する複数の締結アセンブリと
    を含み、
    前記シャワーヘッド・プレートと前記バック・プレートとの間に設けられたOリングを含むガスシールが維持される
    ことを特徴とする真空処理チャンバ。
  2. 前記穿孔されたシャワーヘッド・プレートは、その周縁に複数の楕円形孔を有し、
    前記複数の締結アセンブリは、前記複数の楕円形孔にそれぞれ挿入される
    ことを特徴とする請求項1に記載の真空処理チャンバ。
  3. 前記シャワーヘッド・アセンブリは、前記シャワーヘッド・プレートと前記バック・プレートとの間に設けられたスペーサをさらに含み、それにより、前記シャワーヘッド・プレートと前記バック・プレートとの間に小さい隙間を維持する
    ことを特徴とする請求項1に記載の真空処理チャンバ。
  4. 前記シャワーヘッド・プレートは、円形孔と、前記円形孔を貫通して前記シャワーヘッド・プレートを前記バック・プレートに固定的に締結するボルトとをさらに含む
    ことを特徴とする請求項1に記載の真空処理チャンバ。
  5. 前記複数の楕円形孔のそれぞれは、その長軸が前記シャワーヘッド・プレートの中心から放射状に延びて当該楕円形孔を通る直線に沿って存在するように方向付けられている
    ことを特徴とする請求項2に記載の真空処理チャンバ。
  6. 前記締結アセンブリのそれぞれは、前記締結アセンブリが前記楕円形孔の内部に着座されるときに唯一つの方向付けだけを可能とするキーを有する
    ことを特徴とする請求項に記載の真空処理チャンバ。
  7. 前記締結アセンブリのそれぞれは、玉軸受けアセンブリと、前記玉軸受けアセンブリを貫通するボルトとを含む
    ことを特徴とする請求項1に記載の真空処理チャンバ。
  8. 前記玉軸受けアセンブリは、
    楕円形孔を有する挿入部材と、
    複数の非円形孔を有する摺動プレートと、
    それぞれが前記非円形孔の一つに対して設けられる複数の玉と、
    円形の穴を有するカバー・プレートと
    を含むことを特徴とする請求項7に記載の真空処理チャンバ。
  9. 前記挿入部材は、前記シャワーヘッド・プレートの前記楕円形孔のうち一つの内部において前記挿入部材を方向付けるキーをさらに有する
    ことを特徴とする請求項8に記載の真空処理チャンバ。
  10. 前記挿入部材、前記摺動プレート、前記複数の玉、及び前記カバー・プレートの少なくとも一つがセラミックで形成される
    ことを特徴とする請求項8に記載の真空処理チャンバ。
  11. 前記締結アセンブリを覆う覆いプレートをさらに含む
    ことを特徴とする請求項7に記載の真空処理チャンバ。
  12. 前記締結アセンブリのそれぞれは、
    楕円形孔及び摺動面を有する挿入部材と、
    前記摺動面に対面する合わせ面を有するカバー・プレートと、
    前記挿入部材及び前記カバー・プレートを貫通するボルトと
    を含むことを特徴とする請求項1に記載の真空処理チャンバ。
  13. 前記締結アセンブリのそれぞれは、前記挿入部材と前記カバー・プレートとの間に挿入された摺動プレートをさらに含む
    ことを特徴とする請求項12に記載の真空処理チャンバ。
  14. 前記摺動プレートはTeflonのプレートを含む
    ことを特徴とする請求項13に記載の真空処理チャンバ。
  15. シャワーヘッド・アセンブリを真空処理チャンバに締結する方法であって、
    バック・プレートを前記真空処理チャンバに固定的に取り付け、
    複数の締結アセンブリを使用して、穿孔されたシャワーヘッド・プレートを前記バック・プレートに結合することにより、前記穿孔されたシャワーヘッド・プレートを前記バック・プレートの主平面に対し摺動可能に取り付け、
    前記穿孔されたシャワーヘッド・プレートと前記バック・プレートとの間にOリングを含むガスシールを提供する
    ことを特徴とする方法。
  16. 前記摺動可能に取り付けることは、玉軸受けアセンブリを介して前記穿孔されたシャワーヘッド・プレートを前記バック・プレートに螺締すること含む
    ことを特徴とする請求項15に記載の方法。
  17. さらに、前記穿孔されたシャワーヘッド・プレートと前記バック・プレートとの間にスペーサを挿入する
    ことを特徴とする請求項16に記載の方法。
  18. さらに、前記玉軸受けアセンブリのそれぞれを、前記シャワーヘッド・プレートの中心から放射状に延びる仮想線と一直線になるように方向付ける
    ことを特徴とする請求項16に記載の方法。
  19. さらに、前記シャワーヘッド・プレートを前記バック・プレートに一箇所で固定的に取り付ける
    ことを特徴とする請求項15に記載の方法。
JP2010275101A 2009-12-10 2010-12-09 真空処理装置用シャワーヘッド・アセンブリ及び真空処理装置用シャワーヘッド・アセンブリを真空処理チャンバに締結する方法 Active JP5721132B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US28550509P 2009-12-10 2009-12-10
US61/285,505 2009-12-10

Publications (2)

Publication Number Publication Date
JP2011137228A JP2011137228A (ja) 2011-07-14
JP5721132B2 true JP5721132B2 (ja) 2015-05-20

Family

ID=43502633

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2010275100A Active JP5835722B2 (ja) 2009-12-10 2010-12-09 自動順位付け多方向直列型処理装置
JP2010275101A Active JP5721132B2 (ja) 2009-12-10 2010-12-09 真空処理装置用シャワーヘッド・アセンブリ及び真空処理装置用シャワーヘッド・アセンブリを真空処理チャンバに締結する方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2010275100A Active JP5835722B2 (ja) 2009-12-10 2010-12-09 自動順位付け多方向直列型処理装置

Country Status (6)

Country Link
US (4) US8672603B2 (ja)
EP (3) EP2336389B1 (ja)
JP (2) JP5835722B2 (ja)
KR (3) KR101732348B1 (ja)
CN (3) CN102094188B (ja)
TW (3) TWI417984B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020103947A1 (de) 2020-02-14 2021-08-19 AIXTRON Ltd. CVD-Reaktor und Verfahren zum Handhaben einer Prozesskammer-Deckenplatte

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5835722B2 (ja) 2009-12-10 2015-12-24 オルボテック エルティ ソラー,エルエルシー 自動順位付け多方向直列型処理装置
JP6104157B2 (ja) 2010-05-21 2017-03-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 大面積電極にぴったりと嵌合されたセラミックス絶縁体
US20120288355A1 (en) * 2011-05-11 2012-11-15 Ming-Teng Hsieh Method for storing wafers
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US20130092085A1 (en) * 2011-10-17 2013-04-18 Synos Technology, Inc. Linear atomic layer deposition apparatus
JP5840095B2 (ja) * 2011-10-31 2016-01-06 三菱電機株式会社 太陽電池の製造装置、及び太陽電池の製造方法
US20130108406A1 (en) * 2011-11-02 2013-05-02 Varian Semiconductor Equipment Associates, Inc. High-throughput workpiece handling
WO2013077191A1 (ja) * 2011-11-25 2013-05-30 東京エレクトロン株式会社 処理装置群コントローラ、生産処理システム、処理装置群制御方法、生産効率化システム、生産効率化装置および生産効率化方法
TW201332871A (zh) 2011-12-07 2013-08-16 Intevac Inc 高載量太陽能晶圓裝載裝置
CN102544211B (zh) * 2011-12-31 2013-10-30 常州天合光能有限公司 太阳能电池刻蚀方法及其设备
DE102012100929A1 (de) * 2012-02-06 2013-08-08 Roth & Rau Ag Substratbearbeitungsanlage
US20150295124A1 (en) * 2012-04-02 2015-10-15 Koji Matsumaru Manufacturing equipment for photovoltaic devices and methods
KR102064391B1 (ko) * 2012-08-31 2020-01-10 삼성디스플레이 주식회사 기판 처리 장치
EP2891175B1 (en) * 2012-08-31 2019-08-14 Semiconductor Technologies & Instruments Pte Ltd. Single ultra-planar wafer table structure for both wafers and film frames
US9111980B2 (en) * 2012-09-04 2015-08-18 Applied Materials, Inc. Gas exhaust for high volume, low cost system for epitaxial silicon deposition
KR102014299B1 (ko) * 2013-02-07 2019-08-26 주식회사 원익아이피에스 대상물 이송 시스템 및 이를 위한 캐리어 위치 초기화 방법
TWI490956B (zh) * 2013-03-12 2015-07-01 Shinkawa Kk 覆晶接合器以及覆晶接合方法
CN103266310B (zh) * 2013-05-24 2015-05-20 上海和辉光电有限公司 分散板及具有该分散板的镀膜装置
EP2854155B1 (en) 2013-09-27 2017-11-08 INDEOtec SA Plasma reactor vessel and assembly, and a method of performing plasma processing
JP2015088694A (ja) * 2013-11-01 2015-05-07 株式会社日立ハイテクノロジーズ 真空処理装置
US9704762B2 (en) * 2014-02-04 2017-07-11 Applied Materials, Inc. Application of in-line glass edge-inspection and alignment check in display manufacturing
KR101613544B1 (ko) * 2014-02-13 2016-04-19 주식회사 유진테크 기판 처리 장치
US9484243B2 (en) * 2014-04-17 2016-11-01 Lam Research Corporation Processing chamber with features from side wall
US10648927B2 (en) * 2015-05-15 2020-05-12 Taiwan Semiconductor Manufacturing Company Ltd. Method and apparatus for monitoring edge bevel removal area in semiconductor apparatus and electroplating system
JP6753654B2 (ja) * 2015-07-14 2020-09-09 株式会社日立ハイテク プラズマ処理装置
US10249521B2 (en) * 2016-03-17 2019-04-02 Lam Research Ag Wet-dry integrated wafer processing system
JP6739201B2 (ja) * 2016-03-25 2020-08-12 スピードファム株式会社 局所ドライエッチング装置
US9892956B1 (en) 2016-10-12 2018-02-13 Lam Research Corporation Wafer positioning pedestal for semiconductor processing
KR102584339B1 (ko) * 2016-10-12 2023-09-27 램 리써치 코포레이션 반도체 프로세싱용 웨이퍼 포지셔닝 페데스탈의 패드 상승 메커니즘
US10573549B2 (en) 2016-12-01 2020-02-25 Lam Research Corporation Pad raising mechanism in wafer positioning pedestal for semiconductor processing
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US20180230597A1 (en) * 2017-02-14 2018-08-16 Applied Materials, Inc. Method and apparatus of remote plasmas flowable cvd chamber
WO2018163572A1 (ja) * 2017-03-10 2018-09-13 富士フイルム株式会社 画像処理システム、画像処理装置、画像処理方法及び画像処理プログラム
CN107887308A (zh) * 2017-12-01 2018-04-06 合肥芯欣智能科技有限公司 全自动多功能处理设备
CN107919311A (zh) * 2017-12-19 2018-04-17 北京铂阳顶荣光伏科技有限公司 太阳能电池共蒸镀生产线
JP2020033625A (ja) * 2018-08-31 2020-03-05 東京エレクトロン株式会社 成膜装置及び成膜方法
US10901328B2 (en) 2018-09-28 2021-01-26 Applied Materials, Inc. Method for fast loading substrates in a flat panel tool
CN111385955B (zh) * 2018-12-28 2022-08-23 中微半导体设备(上海)股份有限公司 一种等离子体处理器的安装结构及相应的等离子体处理器
TWI766219B (zh) * 2019-01-07 2022-06-01 日商愛發科股份有限公司 真空處理裝置及真空處理裝置之清潔方法
WO2020145190A1 (ja) * 2019-01-07 2020-07-16 株式会社アルバック 真空処理装置
US11637030B2 (en) 2019-06-18 2023-04-25 Kla Corporation Multi-stage, multi-zone substrate positioning systems
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
JP7488442B2 (ja) * 2019-09-26 2024-05-22 シンフォニアテクノロジー株式会社 搬送システム
US11626303B2 (en) 2020-04-23 2023-04-11 Applied Materials, Inc. Compliance components for semiconductor processing system
CN111471965A (zh) * 2020-04-30 2020-07-31 苏州迈正科技有限公司 传送载板、真空镀膜设备及真空镀膜方法
CN111519169A (zh) * 2020-05-28 2020-08-11 深圳市捷佳伟创新能源装备股份有限公司 顶升装置和物料加工设备
CN114188206B (zh) * 2020-09-15 2023-09-29 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其上电极组件的调节方法
US11987884B2 (en) * 2021-04-15 2024-05-21 Jnk Tech Glass and wafer inspection system and a method of use thereof
US11508590B2 (en) * 2021-04-15 2022-11-22 Jnk Tech Substrate inspection system and method of use thereof
CN113757245B (zh) * 2021-08-31 2022-05-20 中国科学院西安光学精密机械研究所 一种适用于低温光学系统的单限位螺垫及其使用方法
CN115247245B (zh) * 2021-11-05 2024-02-02 徐州瑞马智能技术有限公司 一种钢管前处理洗料自动换挂装置
CN115910873A (zh) * 2022-12-07 2023-04-04 西安奕斯伟材料科技有限公司 硅片检测工具及硅片检测方法

Family Cites Families (233)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3158086A (en) * 1960-03-21 1964-11-24 Ralph E Weimer Apparatus for charging hamburger patties onto a griddle
JPS5647287Y2 (ja) 1976-08-23 1981-11-05
JPS57180005A (en) 1981-04-30 1982-11-05 Hitachi Ltd Silicon carbide electric insulator with low dielectric constant
US4490042A (en) 1981-06-04 1984-12-25 Wyatt Philip J Method for determining the properties of wine
JPS57211746A (en) 1981-06-23 1982-12-25 Fujitsu Ltd Wafer conveying apparatus
US4694779A (en) 1984-10-19 1987-09-22 Tetron, Inc. Reactor apparatus for semiconductor wafer processing
JPS61105853A (ja) 1984-10-30 1986-05-23 Anelva Corp オ−トロ−ダ−
US4590042A (en) 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4752180A (en) 1985-02-14 1988-06-21 Kabushiki Kaisha Toshiba Method and apparatus for handling semiconductor wafers
DE3508516A1 (de) 1985-03-09 1986-09-11 Wolfgang 6108 Weiterstadt Köhler Vorrichtung zum transportieren einer platte im reinraum
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
USH422H (en) * 1986-04-25 1988-02-02 American Telephone And Telegraph Company, At&T Bell Laboratories Apparatus for inverting articles and method for using same
JP2564303B2 (ja) 1987-05-08 1996-12-18 株式会社日立製作所 ウエハキャリア治具
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JP2602298B2 (ja) 1988-01-30 1997-04-23 日本電気株式会社 気相成長装置
US5606534A (en) 1989-09-01 1997-02-25 Quantronix, Inc. Laser-based dimensioning system
US5084125A (en) 1989-09-12 1992-01-28 Matsushita Electric Industrial Co., Ltd. Apparatus and method for producing semiconductor substrate
US5167922A (en) 1990-04-27 1992-12-01 Pb Diagnostic Systems Inc. Assay cartridge
US5136975A (en) 1990-06-21 1992-08-11 Watkins-Johnson Company Injector and method for delivering gaseous chemicals to a surface
JP2938160B2 (ja) 1990-07-20 1999-08-23 東京エレクトロン株式会社 真空処理装置
JPH05109683A (ja) 1991-03-27 1993-04-30 Mitsubishi Materials Corp 半導体シリコンウエーハ洗浄液の金属不純物除去方法
JPH0526252A (ja) * 1991-07-16 1993-02-02 Kayseven Co Ltd 軸継手
JP2598353B2 (ja) 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
JPH0569162U (ja) 1992-02-28 1993-09-17 セイコー電子工業株式会社 バッファ付クラスタ形薄膜処理装置
US5404894A (en) 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
JP3155844B2 (ja) * 1992-10-20 2001-04-16 日本真空技術株式会社 真空処理装置の高周波電極
JPH06155197A (ja) * 1992-11-16 1994-06-03 Pfu Ltd 混流生産システムにおける部材供給システム
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5439524A (en) 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP3666512B2 (ja) 1994-06-16 2005-06-29 ローム株式会社 薄板基板の移送装置
US5486080A (en) 1994-06-30 1996-01-23 Diamond Semiconductor Group, Inc. High speed movement of workpieces in vacuum processing
US5795399A (en) 1994-06-30 1998-08-18 Kabushiki Kaisha Toshiba Semiconductor device manufacturing apparatus, method for removing reaction product, and method of suppressing deposition of reaction product
TW295677B (ja) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
US5551327A (en) 1994-08-22 1996-09-03 Hamby; William D. Adjusting means for multi-blade cutting apparatus
JPH0878347A (ja) 1994-09-06 1996-03-22 Komatsu Electron Metals Co Ltd エピタキシャル成長装置のサセプタ
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JP2929948B2 (ja) 1994-09-20 1999-08-03 三菱電機株式会社 プローブ式テストハンドラー及びそれを用いたicのテスト方法
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
JP3151364B2 (ja) * 1994-12-05 2001-04-03 シャープ株式会社 高分子光導波路の製造方法
JP3360098B2 (ja) 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JP3165348B2 (ja) 1995-05-18 2001-05-14 ワイエイシイ株式会社 プラズマ処理装置およびその運転方法
TW318258B (ja) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
JP3606979B2 (ja) 1995-12-22 2005-01-05 株式会社アルバック 枚葉式真空処理装置
US5855468A (en) * 1995-12-22 1999-01-05 Navistar International Transportation Corp. Method and apparatus for setting foundry core assemblies
US5756155A (en) 1996-01-22 1998-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Combination nozzle and vacuum hood that is self cleaning
US5679055A (en) 1996-05-31 1997-10-21 Memc Electronic Materials, Inc. Automated wafer lapping system
US5996528A (en) 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US5944940A (en) 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5653808A (en) 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
JPH10321564A (ja) 1997-05-20 1998-12-04 Tokyo Seimitsu Co Ltd ウェーハ回収装置
US5968275A (en) 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
JP3480271B2 (ja) 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6722834B1 (en) 1997-10-08 2004-04-20 Applied Materials, Inc. Robot blade with dual offset wafer supports
JP3283459B2 (ja) * 1997-12-17 2002-05-20 日本エー・エス・エム株式会社 半導体処理用の基板保持装置
JP4346700B2 (ja) 1998-01-12 2009-10-21 株式会社半導体エネルギー研究所 光電変換装置の作製方法
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
DE59810465D1 (de) * 1998-02-19 2004-01-29 Applied Films Gmbh & Co Kg Schleuseneinrichtung zum Ein- und/oder Ausbringen von Substraten in und/oder aus einer Behandlungskammer
US6176668B1 (en) * 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6202589B1 (en) 1998-05-29 2001-03-20 Advanced Micro Devices, Inc. Grounding mechanism which maintains a low resistance electrical ground path between a plate electrode and an etch chamber
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6016611A (en) 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
US6022178A (en) * 1998-07-20 2000-02-08 The Aerospace Corporation Flexure washer bearing and method
US6036422A (en) * 1998-07-20 2000-03-14 The Aerospace Corporation Roller washer bearing and method
US6517691B1 (en) 1998-08-20 2003-02-11 Intevac, Inc. Substrate processing system
TW432452B (en) 1998-10-15 2001-05-01 Applied Materials Inc Detection of wafer fragments in a wafer processing apparatus
JP3205304B2 (ja) * 1998-10-16 2001-09-04 日本ピラー工業株式会社 摺動部材
US6210067B1 (en) * 1998-12-14 2001-04-03 The Aerospace Corporation Clip flexure slider washer bearing
US6267839B1 (en) 1999-01-12 2001-07-31 Applied Materials, Inc. Electrostatic chuck with improved RF power distribution
JP4204128B2 (ja) 1999-01-18 2009-01-07 東京応化工業株式会社 基板搬送装置及び基板搬送方法
JP2000223546A (ja) * 1999-02-02 2000-08-11 Dainippon Screen Mfg Co Ltd 基板処理装置
US6323616B1 (en) 1999-03-15 2001-11-27 Berkeley Process Control, Inc. Self teaching robotic wafer handling system
JP2000290777A (ja) 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
JP3398936B2 (ja) * 1999-04-09 2003-04-21 日本エー・エス・エム株式会社 半導体処理装置
JP3965258B2 (ja) 1999-04-30 2007-08-29 日本碍子株式会社 半導体製造装置用のセラミックス製ガス供給構造
US6486444B1 (en) 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6156124A (en) 1999-06-18 2000-12-05 Applied Materials, Inc. Wafer transfer station for a chemical mechanical polisher
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6556715B1 (en) * 1999-10-29 2003-04-29 Unisys Corporation Method for CCITT compression of image data
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
JP4526151B2 (ja) 2000-01-28 2010-08-18 キヤノンアネルバ株式会社 基板処理装置の基板移載装置
JP2001284258A (ja) 2000-03-30 2001-10-12 Hitachi Kokusai Electric Inc 半導体製造装置
JP2003529926A (ja) 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6875640B1 (en) * 2000-06-08 2005-04-05 Micron Technology, Inc. Stereolithographic methods for forming a protective layer on a semiconductor device substrate and substrates including protective layers so formed
KR100332314B1 (ko) 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
JP2002045683A (ja) * 2000-08-08 2002-02-12 Hitachi Kokusai Electric Inc 基板処理装置
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
TWI246382B (en) * 2000-11-08 2005-12-21 Orbotech Ltd Multi-layer printed circuit board fabrication system and method
JP2002203885A (ja) 2000-12-27 2002-07-19 Anelva Corp インターバック型基板処理装置
CN1328766C (zh) 2001-01-22 2007-07-25 东京毅力科创株式会社 处理装置和处理方法
JP2002256439A (ja) * 2001-03-06 2002-09-11 Hitachi Kokusai Electric Inc 基板処理装置
KR100421036B1 (ko) 2001-03-13 2004-03-03 삼성전자주식회사 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법
JP2002270880A (ja) 2001-03-14 2002-09-20 Shin Etsu Handotai Co Ltd 太陽電池モジュール及びその製造方法
JP4222589B2 (ja) 2001-03-26 2009-02-12 キヤノンアネルバ株式会社 基板搬送装置及びそれを用いた基板処理装置
JP2003007682A (ja) 2001-06-25 2003-01-10 Matsushita Electric Ind Co Ltd プラズマ処理装置用の電極部材
US20030003767A1 (en) * 2001-06-29 2003-01-02 Plasmion Corporation High throughput hybrid deposition system and method using the same
US6592679B2 (en) 2001-07-13 2003-07-15 Asyst Technologies, Inc. Clean method for vacuum holding of substrates
JP2003028142A (ja) 2001-07-19 2003-01-29 Konica Corp 位置決め機構および画像形成装置
TWI224815B (en) 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
JP2003059999A (ja) 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
CN1996552B (zh) 2001-08-31 2012-09-05 克罗辛自动化公司 晶片机
JP4061044B2 (ja) * 2001-10-05 2008-03-12 住友重機械工業株式会社 基板移動装置
US6719517B2 (en) 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20040060514A1 (en) 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
JP2003258058A (ja) 2002-02-27 2003-09-12 Anelva Corp 基板処理装置の運転方法
JP4220173B2 (ja) * 2002-03-26 2009-02-04 株式会社日立ハイテクノロジーズ 基板の搬送方法
JP2003282462A (ja) 2002-03-27 2003-10-03 Kyocera Corp シャワープレートとその製造方法及びそれを用いたシャワーヘッド
JP2003338492A (ja) 2002-05-21 2003-11-28 Tokyo Electron Ltd プラズマ処理装置
KR100951337B1 (ko) * 2002-05-23 2010-04-08 캐논 아네르바 가부시키가이샤 기판처리장치 및 처리방법
US7217336B2 (en) 2002-06-20 2007-05-15 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
US6902647B2 (en) 2002-08-29 2005-06-07 Asm International N.V. Method of processing substrates with integrated weighing steps
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
TWI591705B (zh) * 2002-11-15 2017-07-11 荏原製作所股份有限公司 基板處理裝置
JP3886046B2 (ja) 2002-12-18 2007-02-28 シャープ株式会社 プラズマcvd装置と、それを用いた成膜方法および半導体装置の製造方法
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6917755B2 (en) * 2003-02-27 2005-07-12 Applied Materials, Inc. Substrate support
JP4197129B2 (ja) * 2003-03-19 2008-12-17 シャープ株式会社 ワーク搬送装置
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
JP2004327761A (ja) * 2003-04-25 2004-11-18 Sumitomo Mitsubishi Silicon Corp エピタキシャル成長用サセプタ
US7010388B2 (en) 2003-05-22 2006-03-07 Axcelis Technologies, Inc. Work-piece treatment system having load lock and buffer
JP2005016582A (ja) * 2003-06-24 2005-01-20 Toshiba Medical System Co Ltd 上下動機構および寝台
JP4517595B2 (ja) 2003-06-26 2010-08-04 東京エレクトロン株式会社 被処理体の搬送方法
US20050011447A1 (en) 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
KR100999104B1 (ko) * 2003-10-01 2010-12-07 삼성전자주식회사 기판의 반송장치
US7827930B2 (en) 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7214027B2 (en) 2003-10-16 2007-05-08 Varian Semiconductor Equipment Associates, Inc. Wafer handler method and system
US20070282480A1 (en) * 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US8403613B2 (en) * 2003-11-10 2013-03-26 Brooks Automation, Inc. Bypass thermal adjuster for vacuum semiconductor processing
JP4739024B2 (ja) 2003-12-04 2011-08-03 三星ダイヤモンド工業株式会社 基板加工方法、基板加工装置および基板搬送機構、基板分離装置
JP2005183834A (ja) 2003-12-22 2005-07-07 Toshiba Ceramics Co Ltd バレル型サセプタ
US7892357B2 (en) 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
JP2005211865A (ja) 2004-02-02 2005-08-11 Masato Toshima プラズマ処理装置
JP4707959B2 (ja) 2004-02-20 2011-06-22 日本エー・エス・エム株式会社 シャワープレート、プラズマ処理装置及びプラズマ処理方法
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US7905960B2 (en) * 2004-03-24 2011-03-15 Jusung Engineering Co., Ltd. Apparatus for manufacturing substrate
JP4349952B2 (ja) * 2004-03-24 2009-10-21 京セラ株式会社 ウェハ支持部材とその製造方法
US7290978B2 (en) * 2004-06-09 2007-11-06 N&K Technology Inc. Photomask flipper and single direction inspection device for dual side photomask inspection
KR101023725B1 (ko) 2004-06-29 2011-03-25 엘지디스플레이 주식회사 이재 로봇
JP2006049544A (ja) 2004-08-04 2006-02-16 Canon Anelva Corp 基板処理装置及びこれを用いた基板処理方法
JP2006054284A (ja) * 2004-08-11 2006-02-23 Shimadzu Corp 真空処理装置
JP2006058769A (ja) 2004-08-23 2006-03-02 Sony Corp 沈胴式レンズ鏡筒および撮像装置
US20060137609A1 (en) 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
TWI287279B (en) * 2004-09-20 2007-09-21 Applied Materials Inc Diffuser gravity support
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
JP2006132579A (ja) * 2004-11-02 2006-05-25 Tokyo Electron Ltd ボルト及びプラズマ処理装置
JP2006173560A (ja) 2004-11-16 2006-06-29 Sumitomo Electric Ind Ltd ウエハガイド、有機金属気相成長装置および窒化物系半導体を堆積する方法
US20060124169A1 (en) * 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US20060177288A1 (en) * 2005-02-09 2006-08-10 Parker N W Multiple loadlocks and processing chamber
KR100747735B1 (ko) 2005-05-13 2007-08-09 주식회사 테스 반도체 제조 장치
JP4596981B2 (ja) * 2005-05-24 2010-12-15 株式会社日立ハイテクノロジーズ インプリント装置、及び微細構造転写方法
US20070017445A1 (en) * 2005-07-19 2007-01-25 Takako Takehara Hybrid PVD-CVD system
JP2007112626A (ja) * 2005-09-20 2007-05-10 Olympus Corp 基板搬送装置及び基板検査装置並びに基板搬送方法
JP2007123684A (ja) 2005-10-31 2007-05-17 Masato Toshima 基板の処理装置
US20070119393A1 (en) 2005-11-28 2007-05-31 Ashizawa Kengo Vacuum processing system
US20070151516A1 (en) 2006-01-03 2007-07-05 Law Kam S Chemical vapor deposition apparatus and electrode plate thereof
WO2007084124A1 (en) 2006-01-18 2007-07-26 Applied Materials, Inc. Sensors for dynamically detecting substrate breakage and misalignment of a moving substrate
US7896967B2 (en) * 2006-02-06 2011-03-01 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
JP4915985B2 (ja) 2006-02-06 2012-04-11 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP2007242648A (ja) 2006-03-04 2007-09-20 Masato Toshima 基板の処理装置
US8268078B2 (en) 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
TWI476855B (zh) 2006-05-03 2015-03-11 Gen Co Ltd 基板傳輸設備、和使用該設備的高速基板處理系統
JP4018120B2 (ja) 2006-05-12 2007-12-05 シャープ株式会社 液滴吐出描画装置
EP1855324A1 (de) 2006-05-12 2007-11-14 Applied Materials GmbH & Co. KG Substratträger aus glaskeramischen Material
KR101346081B1 (ko) * 2006-06-20 2013-12-31 참엔지니어링(주) 플라스마 에칭 챔버
US20080066683A1 (en) 2006-09-19 2008-03-20 General Electric Company Assembly with Enhanced Thermal Uniformity and Method For Making Thereof
JP2008078095A (ja) * 2006-09-25 2008-04-03 Tokki Corp 真空プラズマ装置の接続構造
US7854820B2 (en) 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US7482550B2 (en) 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
TW200900210A (en) 2006-11-09 2009-01-01 Ihi Corp Frog-leg arm robot and control method thereof
WO2008068845A1 (ja) * 2006-12-05 2008-06-12 Shimadzu Corporation パレット搬送装置、および基板検査装置
US7949425B2 (en) 2006-12-06 2011-05-24 Axcelis Technologies, Inc. High throughput wafer notch aligner
US20080138178A1 (en) 2006-12-06 2008-06-12 Axcelis Technologies,Inc. High throughput serial wafer handling end station
CN102127752B (zh) 2007-01-12 2014-06-25 威科仪器有限公司 气体处理系统
JP5047644B2 (ja) * 2007-01-31 2012-10-10 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
JP2008205219A (ja) 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
US20080213477A1 (en) * 2007-03-02 2008-09-04 Arno Zindel Inline vacuum processing apparatus and method for processing substrates therein
KR100927621B1 (ko) 2007-03-22 2009-11-20 삼성에스디아이 주식회사 보호막층을 증착시키는 장치와, 이를 이용한 증착 방법
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
CN101681861A (zh) * 2007-05-31 2010-03-24 株式会社爱德万测试 探针卡的固定装置
US7923660B2 (en) 2007-08-15 2011-04-12 Applied Materials, Inc. Pulsed laser anneal system architecture
US8408858B2 (en) 2007-08-30 2013-04-02 Ascentool International Limited Substrate processing system having improved substrate transport system
US7806641B2 (en) 2007-08-30 2010-10-05 Ascentool, Inc. Substrate processing system having improved substrate transport system
GB0717489D0 (en) 2007-09-08 2007-10-17 Design Factor Ni The Ltd A Glass breaking device
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
WO2009119580A1 (ja) * 2008-03-25 2009-10-01 Toshima Masato 処理装置および処理方法
JP5330721B2 (ja) 2007-10-23 2013-10-30 オルボテック エルティ ソラー,エルエルシー 処理装置および処理方法
JP5253511B2 (ja) * 2007-10-24 2013-07-31 オーツェー・エリコン・バルザース・アーゲー ワークピース製造方法及び装置
WO2009063903A1 (ja) * 2007-11-15 2009-05-22 Nikon Corporation マスクケース、搬送装置、露光装置、マスク搬送方法及びデバイス製造方法
US8876024B2 (en) 2008-01-10 2014-11-04 Applied Materials, Inc. Heated showerhead assembly
JP2009174236A (ja) * 2008-01-28 2009-08-06 Kuwata:Kk 免制震補助具、その使用方法及び連結構造
DE102008009090B3 (de) * 2008-02-14 2009-06-04 MAG Industrial Automation Systems, LLC., Sterling Heights Beschickungs- und Entnahme-Anlage für Werkzeug-Maschinen
JP5434910B2 (ja) * 2008-03-27 2014-03-05 株式会社ニコン 接合装置および接合方法
JP4472005B2 (ja) * 2008-04-24 2010-06-02 キヤノンアネルバ株式会社 真空処理装置及び真空処理方法
WO2009130790A1 (ja) * 2008-04-25 2009-10-29 キヤノンアネルバ株式会社 トレイ搬送式インライン成膜装置
KR101396469B1 (ko) * 2008-07-15 2014-05-23 가부시키가이샤 아루박 공작물 전달 시스템 및 방법
WO2010042577A2 (en) * 2008-10-07 2010-04-15 Applied Materials, Inc. Advanced platform for processing crystalline silicon solar cells
US20100089319A1 (en) 2008-10-09 2010-04-15 Applied Materials, Inc. Rf return path for large plasma processing chamber
US20100136261A1 (en) 2008-12-03 2010-06-03 Applied Materials, Inc. Modulation of rf returning straps for uniformity control
TWI366546B (en) 2009-01-09 2012-06-21 Chimei Innolux Corp Transmission apparatus
WO2010091205A2 (en) 2009-02-04 2010-08-12 Applied Materials, Inc. Ground return for plasma processes
US20100203242A1 (en) * 2009-02-06 2010-08-12 Applied Materials, Inc. self-cleaning susceptor for solar cell processing
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US8246284B2 (en) 2009-03-05 2012-08-21 Applied Materials, Inc. Stacked load-lock apparatus and method for high throughput solar cell manufacturing
JP3151364U (ja) * 2009-04-09 2009-06-18 株式会社島津製作所 プラズマ化学気相堆積装置
ITUD20090214A1 (it) 2009-11-24 2011-05-25 Applied Materials Inc Effettore d'estremita' per la manipolazione di substrati
TWM366667U (en) 2009-05-25 2009-10-11 Aidc Aerospace Ind Dev Corp Auto movement and inspection device for solar energy panel
US8894767B2 (en) 2009-07-15 2014-11-25 Applied Materials, Inc. Flow control features of CVD chambers
US8454850B2 (en) 2009-09-02 2013-06-04 Air Products And Chemicals, Inc. Method for the removal of surface oxides by electron attachment
SI2482784T1 (sl) 2009-09-28 2013-11-29 Manfred Schwaiger-Shah Naprava, ki omogoča hujšanje z izboljšanjem krvnega pretoka v območju trebuha
TWI430714B (zh) 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
JP5835722B2 (ja) 2009-12-10 2015-12-24 オルボテック エルティ ソラー,エルエルシー 自動順位付け多方向直列型処理装置
KR101329303B1 (ko) * 2010-06-17 2013-11-20 세메스 주식회사 기판들의 로딩 및 언로딩을 위한 기판 처리 장치
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
US20120267049A1 (en) 2011-04-25 2012-10-25 Craig Lyle Stevens Grounding assembly for vacuum processing apparatus
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
KR20120131105A (ko) 2011-05-24 2012-12-04 오보텍 엘티 솔라 엘엘씨 손상된 웨이퍼 복구 시스템
WO2014035768A1 (en) 2012-08-30 2014-03-06 Orbotech Lt Solar, Inc. System, architecture and method for simultaneous transfer and process of substrates

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020103947A1 (de) 2020-02-14 2021-08-19 AIXTRON Ltd. CVD-Reaktor und Verfahren zum Handhaben einer Prozesskammer-Deckenplatte

Also Published As

Publication number Publication date
KR101730322B1 (ko) 2017-05-11
KR20110066113A (ko) 2011-06-16
TW201131683A (en) 2011-09-16
US20110142572A1 (en) 2011-06-16
CN102122609B (zh) 2015-08-19
CN102122610A (zh) 2011-07-13
KR101814202B1 (ko) 2018-01-02
EP2333813A3 (en) 2015-05-20
KR20110066107A (ko) 2011-06-16
TW201127496A (en) 2011-08-16
CN102094188B (zh) 2015-02-18
JP5835722B2 (ja) 2015-12-24
TWI436831B (zh) 2014-05-11
TW201140733A (en) 2011-11-16
TWI485799B (zh) 2015-05-21
KR101732348B1 (ko) 2017-05-04
TWI417984B (zh) 2013-12-01
US8444364B2 (en) 2013-05-21
US20110139372A1 (en) 2011-06-16
CN102122610B (zh) 2016-06-15
JP2011124579A (ja) 2011-06-23
EP2336389B1 (en) 2014-05-21
CN102122609A (zh) 2011-07-13
EP2333814B1 (en) 2021-02-03
US9287152B2 (en) 2016-03-15
EP2336389A1 (en) 2011-06-22
EP2333813A2 (en) 2011-06-15
US20130294678A1 (en) 2013-11-07
CN102094188A (zh) 2011-06-15
US20110142573A1 (en) 2011-06-16
EP2333814A2 (en) 2011-06-15
EP2333814A3 (en) 2015-05-27
KR20110066111A (ko) 2011-06-16
JP2011137228A (ja) 2011-07-14
US8672603B2 (en) 2014-03-18
EP2333813B1 (en) 2021-02-24

Similar Documents

Publication Publication Date Title
JP5721132B2 (ja) 真空処理装置用シャワーヘッド・アセンブリ及び真空処理装置用シャワーヘッド・アセンブリを真空処理チャンバに締結する方法
US6942753B2 (en) Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US11387137B2 (en) Self-centering susceptor ring assembly
JP5544907B2 (ja) ガスシャワー用の構造体及び基板処理装置
KR20040063828A (ko) 가변식 가스 분배 플레이트 조립체
KR102282723B1 (ko) 엘라스토머 밴드용 설치 픽스처
US10294565B2 (en) Substrate processing apparatus
TWI819137B (zh) 用以減少粒子產生的氣體擴散器組件
TW201310521A (zh) 用於邊緣輪廓控制之具有邊緣氣體偏轉板的底座
TWI740182B (zh) 減少粒子產生的氣體擴散器支撐結構
CN101728242B (zh) 衬底处理设备
KR20110049988A (ko) 기판처리장치
TWI722744B (zh) 真空處理裝置
US20220098737A1 (en) Showerhead and substrate processing apparatus having the same
TW202417678A (zh) 用以減少粒子產生的氣體擴散器組件
JP2011204754A (ja) プラズマ処理装置用電極板及びプラズマ処理装置
JP2016039282A (ja) 基板処理装置
KR20120056342A (ko) 플라스마 처리장치의 기판 홀더

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110329

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20131206

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140418

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140520

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140815

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140916

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141114

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150127

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150130

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150224

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150318

R150 Certificate of patent or registration of utility model

Ref document number: 5721132

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250