KR20110066111A - 오토시퀀싱 다방향성 인라인 처리 장치 - Google Patents

오토시퀀싱 다방향성 인라인 처리 장치 Download PDF

Info

Publication number
KR20110066111A
KR20110066111A KR1020100126495A KR20100126495A KR20110066111A KR 20110066111 A KR20110066111 A KR 20110066111A KR 1020100126495 A KR1020100126495 A KR 1020100126495A KR 20100126495 A KR20100126495 A KR 20100126495A KR 20110066111 A KR20110066111 A KR 20110066111A
Authority
KR
South Korea
Prior art keywords
chamber
substrate
load lock
hanger
susceptor
Prior art date
Application number
KR1020100126495A
Other languages
English (en)
Other versions
KR101730322B1 (ko
Inventor
웬델 토마스 블로니간
마사토 도시마
캄 에스 라우
데이비드 에릭 버크스트레서
스티브 클라인크
크래이그 라일 스티븐슨
Original Assignee
오보텍 엘티 솔라 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 오보텍 엘티 솔라 엘엘씨 filed Critical 오보텍 엘티 솔라 엘엘씨
Publication of KR20110066111A publication Critical patent/KR20110066111A/ko
Application granted granted Critical
Publication of KR101730322B1 publication Critical patent/KR101730322B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining
    • Y10T29/49947Assembling or joining by applying separate fastener
    • Y10T29/49948Multipart cooperating fastener [e.g., bolt and nut]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Measuring Or Testing Involving Enzymes Or Micro-Organisms (AREA)
  • Automatic Analysis And Handling Materials Therefor (AREA)
  • Apparatus Associated With Microorganisms And Enzymes (AREA)

Abstract

수개의 기판의 동시 처리를 위한 장치 및 방법. 시스템은, 선형적이면서, 처리를 자율적으로 시퀀싱하고 필요에 따라 기판을 상이한 방향으로 운동시킬 수도 있는 신규한 아키텍쳐를 채용한다. 시스템은 수개의 기판을 동시에 운동시킨다. 하지만, 종래기술과 달리, 시스템은 트레이를 이용하지 않는다.

Description

오토시퀀싱 다방향성 인라인 처리 장치{AUTO-SEQUENCING MULTI-DIRECTIONAL INLINE PROCESSING APPARATUS}
본 발명은 깨끗한 환경에서 반도체, 태양 전지 및 다른 용도용 실리콘 웨이퍼와 같은 기판을 처리하기 위한 시스템 아키텍처, 장치 및 방법에 관한 것이다. 깨끗한 환경은 진공 또는 대기압 상태일 수 있다. 또한, 상기 시스템은 LCD 및 태양관련 용도용 유리, 박막 태양관련 용도용 스테인리스강과 같은 다른 기판에 이용될 수 있다.
광기전 (photovoltaic, PV) 태양 전지 산업은 크게 2 개의 세그먼트, 즉 박막 기반 PV 전지 및 실리콘 웨이퍼 기반 PV 전지로 분류될 수 있다. 솔라 패널에 대한 요구가 최근 급증하여, 실리콘 웨이퍼 및 박막 발현의 다양한 종류의 태양 전지의 높은 출력 제조를 가능하게 하기 위한 다양한 시스템이 개발되고 있다.
반도체 웨이퍼의 제조를 위한 최첨단 시스템은 일반적으로 여러 개의 처리 챔버가 주위에 설치되어 있는 메인프레임을 이용한다. 메인프레임은 진공으로 유지되고, 로봇식 아암을 수납하고 있다. 아암은 로드락을 통해 메인프레임 및 각 처리 챔버에 개별 웨이퍼를 넣고 꺼낸다. 비록 플랫 패널 디스플레이 기판의 경우 메인프레임 및 처리 챔버가 훨씬 더 크지만, 플랫 패널 디스플레이용 패널의 제조를 위해 동일한 아키텍처가 채용된다. 최근, 그러한 플랫 패널 제조 시스템은, 비록 제한된 성공이기는 하지만, 박막 태양 전지의 제조에 맞게 수정되었다. 박막을 위해 개발된 다른 시스템으로는, 한 롤에서 가요성 기판이 제공되어 제조 시스템을 통과한 후 다른 측에 있는 스풀링 (spooling) 롤에 수집되는 롤투롤 (roll-to-roll) 시스템이 있다.
시스템 아키텍처용 다른 포맷은 선형 운송 시스템이다. 박막의 경우, 이 시스템은 일반적으로 대형 유리 기판을 롤러에서 선형 방식으로 이동시키고, 대형 유리 기판은 깨끗한 유리로서 일 단부로부터 시스템에 들어가서 제조된 태양 전지로서 타 단부에서 시스템을 나온다. 한편, 실리콘 기반 제조의 경우, 내부 시스템이 다중 실리콘 웨이퍼가 놓여 있는 트레이를 이동시킨다. 트레이는 챔버로부터 챔버로 선형 방식으로 이동하고, 따라서 각 챔버 내에서, 많은 실리콘 웨이퍼 (예컨대, 각각 125 ㎜ × 125 ㎜ 의 64 개의 기판) 가 단일 트레이에서 동시에 처리된다. 트레이는 시스템의 일 측으로 들어가서 타 측에서 나오고, 그리고 나서, 예컨대 일련의 제조 챔버 아래에 위치되는 운송 시스템을 이용하여, 다시 입구측으로 이동될 필요가 있다.
제조 아키텍처의 이점 중 하나는, 하나의 챔버가 고장나거나 셧다운될 필요가 있는 경우, 시스템은 나머지 챔버를 이용하여 계속 작동할 수 있다는 것이다. 부가적으로, 시스템은 모듈러 (modular) 이고, 따라서 사용자는 스루풋 요구 또는 다른 고려사항에 따라 임의의 개수의 처리 챔버로 시스템을 운전할 수 있다. 반대로, 선형 아키텍처에서, 챔버 중 하나가 다운되는 때, 전체 시스템이 셧다운되어 이용될 수 없다. 또한, 선형 시스템은 모듈러가 아니므로, 일단 시스템이 셋업되면, 처리 챔버의 개수가 용이하게 변경될 수 없다.
선형 시스템의 이점 중 하나는, 높은 스루풋으로 기판을 처리할 수 있다는 것이다. 즉, 처리 사이에 유지 로봇의 오버헤드를 조작할 필요없이, 기판이 한 처리 챔버로부터 다음 챔버로 직접 이동한다. 반대로, 메인프레임 아키텍처에서는, 한 챔버에서 프로세스가 완료될 때마다, 로봇식 아암으로 기판을 집어 올려 다른 챔버로 이동시켜야 하고, 이는 운송 오버헤드를 증가시키고 스루풋을 감소시킨다. 또한, 트레이없이 웨이퍼를 이동시키는 시스템의 경우, 웨이퍼의 파괴가 청소 및 복구를 위해 전체 시스템의 셧다운을 야기할 수 있다. 트레이를 이용하는 시스템은, 트레이가 부서진 웨이퍼를 유지하고 파편을 시스템 밖으로 운반할 수 있다면, 이러한 문제를 피할 수 있다.
태양 전지 제조에 대한 요구가 계속 증가함에 따라, 선형 시스템의 스루풋의 이점을 가지면서 메인프레임 아키텍처의 유연성을 제공할 수 있는 아키텍처에 대한 요구가 존재한다.
본 발명의 다양한 실시형태가 다양한 크기의 실리콘 웨이퍼를 처리할 수 있고 또한 높은 스루풋을 가질 수 있는 독특한 선형 시스템 아키텍처를 제공한다. 본 발명의 다양한 실시형태는, 깨진 기판의 검출 및 회수를 가능하게 하면서, 여러 기판을 높은 정확도와 스루풋으로 동시에 처리하기 위한 장치 및 방법을 제공한다. 시스템은, 선형이면서, 처리를 자율적으로 순위결정 (sequence) 하고 필요에 따라 기판을 상이한 방향으로 이동시킬 수 있는 신규 아키텍처를 채용한다. 시스템은 여러 기판을 동시에 이동시키지만, 종래 기술과 달리, 트레이를 이용하지 않는다. 더욱이, 시스템은 다양한 재료로 이루어진 개별 대형 기판의 처리를 위해 이용될 수 있다.
본 발명의 다양한 실시형태에 따르면, 웨이퍼의 한 측면 또는 양 측면을 처리하는데 이용될 수 있는 선형 시스템이 제공된다. 시스템은 웨이퍼의 일 측면을 처리하고, 웨이퍼를 뒤집은 다음, 웨이퍼의 각 개별 측면에 대해 유사한 또는 상이한 프로세스 레시피를 이용하여 다른 측면을 처리할 수 있다.
본 발명의 다양한 실시형태에 따르면, 시스템의 양측 또는 일측으로부터 웨이퍼가 공급될 수 있는 선형 아키텍처가 제공된다. 시스템은 그의 처리 및 웨이퍼 운송을 자율적으로 순위결정하고, 따라서 웨이퍼가 일측 또는 양측으로부터 공급되는지 여부에 관계없이, 계속하여 작동하고 웨이퍼를 처리한다.
본 발명의 실시형태에 따르면, 듀얼-로드 처리 시스템의 오토시퀀싱 (auto-sequencing) 작동을 위해 컨트롤러에서 실행되는 전산화된 방법이 제공되며, 진공 처리 시스템이 2 개의 로딩 포트, 및 각각 진공 밸브를 통해 2 개의 포트 중 하나에 연결되는 2 개의 로드락 챔버를 구비하는 진공 처리 챔버를 포함하고, 상기 방법은 다음과 같은 단계로 진행된다: 새로운 작업대상이 로드락 챔버 중 하나로 도입될 때, 로드락 챔버에서 진공을 일으키는 단계; 로드락 챔버 중 하나에서 원하는 진공 레벨이 도달되었을 때, 준비 신호를 컨트롤러에 보내고, 로드락 챔버가 작업대상 교환을 위한 준비가 되었음을 나타내는 단계; 진공 처리 챔버에서 처리가 완료될 때, 어느 로드락 챔버가 준비 신호를 보냈는지를 컨트롤러가 결정하게 하고, 그 로드락 챔버로 작업대상 교환을 개시하는 단계. 이와 관련하여, 작업대상은 플랫 패널 디스플레이 또는 솔라 패널 제조용 유리 기판과 같은 하나의 대형 기판, 복수의 기판 (실리콘 웨이퍼 등) 을 유지하는 트레이, 또는 복수의 기판 (실리콘 웨이퍼 등) 을 유지하는 행거를 의미할 수 있다.
본 발명의 다른 태양 및 특징은 본원에 기재되는 다양한 실시형태에 대한 설명으로부터 분명해질 것이고, 첨부된 청구항에서 청구되는 본 발명의 범위 내에 포함된다.
도 1 은, 본 발명의 일 실시형태에 따른 시스템 아키텍처의 주된 부품의 일반적인 개략도로서, 양방향 기판 유동을 보여준다.
도 2 는, 본 발명의 일 실시형태에 따른 시스템 아키텍처의 주된 부품의 일반적인 개략도로서, 복귀경로 기판 유동을 보여준다.
도 3 은, 본 발명의 일 실시형태에 따른 시스템 아키텍처의 주된 부품의 일반적인 개략도로서, 기판 플립 (flip) 기능을 갖는 복귀경로 기판 유동을 보여준다.
도 41 ∼ 도 466 은, 본 발명의 실시형태에 따른 프로세스 시퀀싱을 보여주는 도면이다.
도 5 는, 본 발명의 실시형태에 따른 하부 행거의 평면도이다.
도 6 은, 본 발명의 일 실시형태에 따른 상부 행거를 보여준다.
도 7 은, 본 발명의 일 실시형태에 따른 상부 행거의 상세도이다.
도 8 은, 본 발명의 일 실시형태에 따른 서셉터 제거 특징부를 보여준다.
도 9 는, 본 발명의 일 실시형태에 따른, 상부 및 하부 행거를 갖는 로드락 어셈블리를 보여주는 도면이다.
도 10 ∼ 도 12 는, 본 발명의 일 실시형태에 따른 기판 플립핑 또는 역전 어셈블리의 실시형태를 보여준다.
도 13 ∼ 도 19 는, 다양한 로딩/언로딩 위치에 있는 처리 챔버의 단면도이다.
도 20 ∼ 도 21 은, 다양한 서셉터 로딩/언로딩 위치에 있는 처리 챔버의 단면도이다.
도 22 는, 처리 챔버의 단면도로서, 본 발명의 일 실시형태에 따른 정렬 특징부를 보여준다.
도 23 은, 본 발명에 따른 서셉터의 일 실시형태를 보여준다.
도 24 는, 본 발명의 다양한 실시형태에 따른 로드 챔버에서 이용될 수 있는 트레이를 보여준다.
도 25 는, 처리 챔버 내부에서 웨이퍼 파괴를 검출할 수 있는 본 발명의 다른 특징부를 보여준다.
도면에 예시되어 있는 본 발명의 특정 실시형태를 참조하여 본 발명을 설명한다. 그러나, 도면에 도시된 다양한 실시형태는 단지 예시적이며 첨부된 청구항에 기재되는 본 발명을 제한할 수 없다는 것을 이해해야 한다.
본 발명의 다양한 실시형태는, 예컨대, 반도체 집적 회로, 태양 전지, 플랫 패널 디스플레이, LED 및 다른 용도를 위한 기판을 제조하기 위한 장치 및 방법을 제공한다. 시스템 아키텍처는 실리콘기판 태양 전지 제조에 특히 유리하고, 따라서 예시 목적으로, 그러한 용도를 참조하여 설명한다. 시스템은 자율적으로 기판의 운송을 순위결정하고 기판의 제조를 순위결정하여, 시스템 공급에 유연성을 제공한다. 먼저 도 1 ∼ 도 3 을 참조하여 일반적인 용어로 이러한 특징을 설명한다. 더 상세한 설명은 그 다음에 이어진다.
도 1 에서, 선형 시스템의 중앙에 단일 처리 챔버 (100) 가 위치된다. 이 실시형태에서, 처리 챔버는 예컨대, PECVD 처리 챔버와 같은 플라즈마 처리 챔버이다. 챔버 (100) 의 각 측에, 하나의 진공 밸브 (102, 104) 가 제공된다. 챔버 (100) 의 한 측에 로드락 챔버 (110) 가 제공되고, 챔버 (100) 의 다른 측에 유사한 로드락 챔버 (115) 가 제공된다. 로드락 (110) 의 입구에 진공 밸브 (112) 가 제공되고, 로드락 챔버 (115) 의 입구측에 유사한 밸브 (114) 가 제공된다. 로드락 챔버 (110) 의 입구측에 로딩 챔버 (120) 가 제공되고, 로드락 챔버 (115) 의 입구측에 로딩 챔버 (125) 가 제공된다. 화살표 L 은 시스템의 좌측으로부터 공급되는 웨이퍼의 유동을 나타내고, 화살표 R 은 시스템의 우측으로부터 공급되는 웨이퍼의 유동을 나타낸다.
이제, 시스템의 우측에서 로딩되는 웨이퍼를 시작으로 하여, 도 1 에 나타낸 웨이퍼의 유동을 더 상세히 설명한다. 기판이 실린 트레이가 로드 챔버 (120) 에 로딩된다. 트레이는 예컨대, 2차원 배열로 배치된 64 개의 기판을 운반할 수 있다. 로드 챔버 (120) 내에서, 웨이퍼는 트레이로부터 제거되어, 웨이퍼 행거 (도 1 에 나타내지 않았지만, 후술함) 에 로딩된다. 행거는 로드락 챔버 (110) 내로 이동되고, 그리고 나서 밸브 (112) 가 닫힌다. 그리고 나서, 로드락 챔버 (110) 가 진공으로 된다. 일단 적절한 진공 레벨에 도달하면, 밸브 (102) 가 개방되고, 웨이퍼 행거가 처리 챔버 (100) 내로 이동하고, 그곳에서 웨이퍼가 웨이퍼 행거로부터 제거되어 서셉터상에 위치된다. 그리고 나서, 웨이퍼 행거가 처리 챔버 (100) 로부터 다시 로드락 챔버 (110) 로 제거되고, 밸브 (102) 가 닫힌다. 그리고 나서, 처리 챔버에 전원을 넣어, 챔버 내에 있는 기판을 처리한다.
한편, 상기한 처리와 함께, 전술한 것처럼 행거에 로딩되는 새로운 기판으로 가득찬 웨이퍼 행거가 로드 챔버 (125) 로부터 로드락 (115) 으로 전달되고, 로드락 (115) 이 진공으로 된다. 또한, 로드락 (115) 은 개별 수평면에 위치된 비어 있는 (로드락 (110) 과 같이) 부가적인 웨이퍼 행거를 갖고, 상부 및 하부 웨이퍼 행거를 규정한다. 챔버 (100) 내 처리가 완료되면, 밸브 (104) 가 개방되고, 양 웨이퍼 행거가 로드락 (115) 으로부터 챔버 (100) 내로 이동된다. 처리된 기판을 수집하기 위해, 하부 행거가 로드락 (115) 으로부터 챔버 (100) 내로 이동되고, 챔버 (100) 에서 처리될 새로운 기판을 쌓기 위해, 상부 행거가 로드락 챔버 (115) 로부터 챔버 (100) 내로 이동된다. 양 행거가 다시 로드락 (115) 내로 제거되면, 밸브 (104) 가 닫히고, 새로운 웨이퍼를 처리하기 위해, 챔버 (100) 에 전원을 넣고, 로드락 (115) 을 대기압으로 배기시킨다. 그리고 나서, 밸브 (114) 가 개방되고, 상부 행거에 새로운 기판을 로딩하기 위해, 상부 행거가 로드 챔버 (125) 내로 이동되고, 그리고 나서, 처리된 기판을 언로딩하기 위해, 하부 행거가 로딩 챔버 (125) 로 이동된다. 상부 및 하부 행거 기능이 반대로 되어, 하부 행거가 새로운 기판을 위해 이용되고, 상부 행거가 처리된 기판을 위해 이용될 수 있지만, 설명한 구성이 우수한 시스템 스루풋을 제공한다는 것에 유의해야 한다.
이해할 수 있는 바와 같이, 우측으로부터 시스템에 로딩된 기판은 시스템의 좌측으로부터 제거되고, 이로써 선형 방식으로 작동한다. 반대로, 좌측으로부터 시스템에 로딩된 기판은 시스템의 우측으로부터 제거되고, 이로써 이러한 기판의 경우에도 선형 방식으로 작동한다. 그러므로, 개시된 시스템은 사실상 양방향 선형 시스템이다. 이러한 아키텍처에 의하면, 처리 챔버를 완전히 이용할 수 있고, 따라서 웨이퍼의 로딩 및 언로딩 역학 (mechanics) 은 메인프레임 시스템 내에 있는 것과 같이 제한 인자가 아니다. 또한, 후술하는 것처럼, 상부 및 하부 행거의 매 운동 동안, 각 기판 위치에서의 기판의 존재 또는 부존재를 확인하기 위해, 그리고 각 행거의 이동과 위치를 확인하기 위해, 센서가 제공되고, 따라서 시스템의 작동은 자율적으로 유지될 수 있다.
도 1 은 양측으로부터 공급되는 시스템의 작동을 보여주지만, 시스템은 단지 일 측으로부터 공급받으면서 작동할 수 있다. 예컨대, 시스템은 로드락 (110), 밸브 (112) 및 챔버 (120) 없이 구입될 수 있다. 반대로, 로드락 (110), 밸브 (112) 및 로드 챔버 (120) 중 어느 하나가 서비스를 필요로 하거나 또는 시스템의 우측이 어떤 이유로 아이들 상태에 놓일 수 있다. 후술하는 것처럼, 시스템은 우측에 기판이 로딩되지 않았음을 자동으로 검출하고, 도 2 에 도시한 방식으로 자율적으로 작동한다. 즉, 기판을 지탱하는 트레이가 로드 챔버 (125) 에 로딩된다. 로드 챔버 (125) 에서, 웨이퍼가 트레이로부터 제거되고 상부 행거 위에 로딩된다. 행거가 로드락 챔버 (115) 로 이동되며, 이후 밸브 (114) 가 폐쇄된다. 이후, 로드락 챔버 (115) 및 처리 챔버 (100) 가 진공처리된다. 밸브 (104) 가 개방되고, 이후, 상부 행거가 처리 챔버 (100) 로 이동하며, 웨이퍼는 상부 행거로부터 제거되어 서셉터 위에 배치된다. 이후, 상부 행거가 처리 챔버 (100) 로부터 제거되고, 밸브 (104) 가 폐쇄된다. 이후 처리 챔버는 챔버에 있는 기판을 처리하기 위해서 활성화된다. 처리가 완료되면, 밸브 (104) 가 개방된다. 후술하는 바와 같이, 로드 락 (115) 은 처리될 기판이 이미 로딩된 상부 행거를 갖는다. 또한, 빈 하부 행거를 갖는다. 하부 행거는 처리된 기판을 모으기 위해서 로드락 (115) 으로부터 챔버 (100) 로 움직이며, 상부 행거는 챔버 (100) 에서의 처리를 위해 그 기판을 부착시키기 위해서 로드락 챔버 (115) 로부터 챔버 (100) 로 이동된다. 양 행거가 로드락 (115) 으로 다시 이동되는 경우, 밸브 (104) 는 폐쇄되며, 챔버 (100) 는 처리를 위해 활성화되고, 로드락 (115) 은 대기압으로 통기된다. 하부 행거로부터 처리된 기판을 제거하고, 상부 행거 위에 새로운 기판을 로딩하기 위해서, 밸브 (114) 가 개방되고, 양 행거가 로드 챔버 (125) 에서 제거된다. 상정될 수 있는 바와 같이, 본 실시예에서, 기판은 좌측으로부터 시스템 내에 로딩되었고 또한 시스템으로부터 좌측에서 제거되었으며, 이에 의해 선형의 복귀 경로 형식으로 작동한다.
상정될 수 있는 바와 같이, 도 1 및 도 2 도시된 실시예에서, 기판은 단지 한쪽에서만 처리되었다. 도 3 은, 양쪽에서 기판을 처리하기 위해 사용된 시스템의 예시를 도시한다. 도 3 의 시스템은, 로드 챔버중 하나, 여기서는 로드 챔버 (120) 가 대기 또는 진공을 기반으로 할 수 있는 플립핑 챔버 (130) 를 형성하도록 웨이퍼 플립핑 기구가 설치되는 것을 제외하고는, 도 1 및 도 2 의 시스템과 유사하다. 이 시스템에서, 기판을 지탱하는 트레이가 로드 챔버 (125) 내에 로딩된다. 로드 챔버 (125) 에서, 웨이퍼가 트레이로부터 제거되고 상부 행거 상에 로딩된다. 상부 행거가 로드락 챔버 (115) 내로 이동되고, 이후 밸브 (114) 가 폐쇄된다. 로드락 챔버 (115) 와 처리 챔버 (100) 가 진공처리된다. 밸브 (104) 가 개방되고, 이후 상부 행거가 처리 챔버 (100) 내로 이동되며, 웨이퍼는 상부 행거로부터 제거되어 서셉터 위에 배치된다. 이후, 상부 행거가 처리 챔버 (100) 로부터 제거되고, 밸브 (104) 가 폐쇄된다. 이후, 처리 챔버는 챔버에 있는 기판을 처리하기 위해서 활성화된다. 처리가 완료되면, 밸브 (102) 가 개방된다. 후술하는 바와 같이, 로드 락 (110) 은 진공을 위해 미리 펌핑처리되고, 처리될 플립핑된 (flipped) 기판이 로딩된 상부 행거를 이미 갖고 있다. 또한, 빈 하부 행거를 갖는다. 하부 행거는 처리된 기판을 모으기 위해서 로드락 (110) 으로부터 챔버 (100) 로 움직이며, 상부 행거는 챔버 (100) 에서의 처리를 위해 그 플립핑된 기판을 부착하기 위해서 로드락 챔버 (110) 로부터 챔버 (100) 로 이동된다. 양 행거가 로드락 (110) 으로 다시 이동되는 경우, 밸브 (102) 는 폐쇄되며, 챔버 (100) 는 처리를 위해 활성화되며, 로드락 (110) 은 대기압으로 통기된다. 하부 행거로부터 처리된 기판을 제거하고, 기판을 플립핑하고, 상부 행거 위에 기판을 반대로 로딩 (플립핑은 아님) 하기 위해서, 밸브 (112) 가 개방되고, 양 행거가 플립핑 챔버 (120) 에서 제거된다. 다음 사이클에서, 상부 행거는 처리 챔버 (100) 내로 이동될 것이고, 기판의 타측이 처리될 것이다. 이후, 기판은 로드 챔버 (125) 에서 좌측으로부터 시스템에서 제거될 것이다. 상정될 수 있는 바와 같이, 본 실시예에서, 좌측으로부터 시스템 내에 로딩되었던 기판은, 시스템으로부터 좌측에서 제거되었으며, 이에 의해 선형의 복귀 경로 형식으로 작동한다.
본 명세서에 기재된 도 1 ~ 도 3 의 실시형태 및 다른 실시형태의 하나의 유리한 특징은 시스템의 작동이 자동 시퀀스라는 것이다. 이 특징은 하기의 명세서로부터 명확해질 수 있다. 도 1 을 참조하면, 컨트롤러 (140) 는 시스템의 다양한 부재와 연통하여 작동한다. 그러나, 종래의 시스템 컨트롤러와는 달리, 컨트롤러 (140) 는 전체 프로세스 흐름을 지향하도록 그 안에 프로그램된 처리 시스템의 시퀀스를 가질 필요는 없다. 오히려, 본 발명의 특징에 따르면, 각각의 단계는 그의 기능을 실행하여 컨트롤러에 임무 완료를 전한다. 이후, 컨트롤러는 다음 임무를 실행하도록 지령을 내린다. 예컨대, 로드 챔버 (120) 가 처리를 위해 기판을 보내도록 준비할 것을 컨트롤러에 전하는 경우, 컨트롤러 (140) 는 밸브 (112) 를 개방하고, 로드 챔버 (120) 로부터 로드락 (110) 으로 상부 행거를 이동시키도록 이동 기구에 명령을 내린다. 이는 시스템의 임의의 다른 부분에 의해 실행된 임의의 다른 작동 및 상태에 관계없이 이루어질 수 있다. 행거가 로드락 (110) 내부에 있는 경우, 컨트롤러는 밸브 (112) 를 폐쇄하고, 로드락 (110) 을 진공 압력으로 펌핑한다. 이후, 챔버 (110) 는, 새로운 기판을 챔버 (110) 로 전달하고 처리된 기판을 제거하도록 준비할 것을 컨트롤러 (140) 에 명령하는 경우, 컨트롤러 (140) 는 기판의 교환이 가능하도록 밸브 (102) 를 개방한다.
도 1 의 실시형태를 참조하면, 기판이 우선 처리될 양쪽 로드 챔버 (120, 125) 에 기판이 로딩된다면, 로드락 챔버 (110 또는 115) 는 대기압이며, 처리된 기판을 새로운 기판으로 교환할 것을 준비할 것을 지시하는 메시지를 우선 컨트롤러에 전달하는 것에 따른다. 컨트롤러 (140) 는, 로드 챔버가 작동되어 기판이 로딩되는 것을 감지하지 못한다. 모든 컨트롤러가 알아야 하는 것은 로드락이 기판을 교환할 준비가 되었냐는 것이다. 따라서, 도 2 를 참조하면, 우측이 아주 느리게 로딩되었으며, 로드락 챔버 (115) 가 우선 준비되었다면, 처리된 기판이 어디서 오는지에 관계없이 로드락 (115) 에 의해 기판의 교환이 행해질 것이다. 게다가, 우측이 유지보수를 위해 하강되거나 시스템으로부터 제거된다면, 컨트롤러 (140) 는 이를 완전히 감지하지 못할 것이다. 컨트롤러 (140) 는 로드락 (115) 으로부터만 간단히 준비 신호를 얻을 것이며, 따라서 좌측으로부터 오는 기판만을 처리하기 위해 자동으로 시퀀스처리될 것이다.
본 발명의 자동 시퀀스 처리 특징을 설명하기 위해서, 다소 단순한 처리 플로우가 도 3A 에 도시된다. 다만, 더욱 상세한 처리예는 후술된다. 단계 300 은, 챔버가 그안에서 웨이퍼의 처리를 완료하는 것을 컨트롤러가 식별할 때까지 반복된다. 이 때, 컨트롤러는 로드락 A 또는 로드락 B 가 준비되었는지, 즉 펌핑 다운되어 새로운 웨이퍼를 전달할 준비가 되었는지를 체크한다. 로드락 A 가 준비되었다면, 단계 315 에서, 컨트롤러는 로드락 A 와 챔버를 분리하는 진공 밸브의 개방을 개시한다. 이후, 단계 320 에서, 컨트롤러는 로드락 A 으로부터 챔버로 상부 및 하부 행거를 구동시키기 위해서 구동 기구를 작동시킨다. 단계 325 에서, 시스템은 웨이퍼 교환을 실행하고, 단계 330 에서, 프로세서는 행거를 챔버 밖으로 구동한다. 일 실시형태에 따르면, 웨이퍼 교환 및 챔버 밖으로의 행거의 구동은 다음 방식으로 실행된다. 먼저, 처리된 웨이퍼가 서셉터로부터 언로딩되어, 하부 행거 위에 배치되고, 다음으로 하부 행거는 챔버 밖으로 로드락을 다시 구동한다. 이후, 신규의 웨이퍼가 상부 행거로부터 언로딩되어, 서셉터 위에 배치되고, 이후 컨트롤러는 챔버 밖으로 상부 행거를 구동하기 위해서 구동 기구를 다시 작동시킨다. 단계 335 에서, 컨트롤러는 처리 챔버에서 웨이퍼의 처리를 개시한다. 후속되는 정확히 동일한 처리는 단계 310 에서, 로드락 B 가 로드락 A 이전에 준비되었는지에 관한 것이다. 그 결과, 컨트롤러는 처리의 사전 프로그램된 시퀀스를 가질 필요가 없다. 로드락 A 로부터 로딩되었된 웨이퍼가 처리된 후, 로드락 A 가 준비된다면, 이 웨이퍼는 로드락 A 에서 언로딩될 것이며, 원래 로딩되었던 곳으로부터 언로딩될 것이다. 다른 한편으로, 로드락 B 가 준비된다면, 이 웨이퍼는 로드락 B 를 통해 언로딩될 것이고, 즉 로딩되었던 곳으로부터 반대 방향으로 내려질 것이다.
이하, 시스템의 다양한 특징의 추가의 상세와 함께 본 발명의 실시형태의 자동 시퀀싱 특징의 추가의 설명이 제공된다. 하기의 설명은 시스템의 양측이 완전 작동되는 것으로 제공되지만, 동일한 처리가 단지 일측에서만 작동되는 것이 상정될 수 있다.
도 41 에서는, 처리 챔버 (400) 가 다시 중앙에 도시되어 있으며, 좌우측에는 로딩 챔버와 로드락이 도시되어 있다. 웨이퍼는 로드 챔버 (420, 425) 에서 트레이 상에 배치되지만, 상부 행거 (416, 418) 와 하부 행거 (417, 419) 는 로드락 (410, 415) 에 "놓여 있다 (parked)". 이는 시스템의 초기 상태이며, 시스템이 작동하자마자, 시스템 내에 웨이퍼가 항상 존재할 것이며, 처리 챔버 내에서 처리되고, 이는 후술하는 처리 시퀀스의 설명으로부터 명확해질 것이다. 이는, 이는 메인 프레임 구조체의 경우에서와 같이, 처리 챔버가 최대 처리 용량으로 이용되어 아이들링은 아니지만 웨이퍼가 로딩되는 것을 보장한다.
이 실시형태의 일 특징은 행거 (416 ~ 419) 를 이동 및/또는 안내하는 롤러의 농담 (shading) 에 의해 도시된다. 도 41 에서, 진한 농담으로 도시된 롤러는 모터식 롤러 즉, 다이렉트 구동부, 체인, 벨트 등과 같은 모터에 연결된 롤러이다. 일 실시형태에 따르면, 하나의 레벨에서 모든 모터식 롤러가 동기적으로 활성화된다. 예컨대, 이는 링크, 벨트, 체인 등을 통해 하나의 레벨에서 모터식 롤러 모두를 구동하기 위해서 단일 모터를 사용함으로써 이루어질 수 있다. 이러한 구조에서, 전체 시스템은 단지 2 개의 모터만을 사용하여 모터구동된다 (에컨대, 도 9 참조). 다른 한편으로, 2 개의 동심원으로 도시된 롤러는 아이들 롤러이며, 모터와 연결되지 않는다. 알 수 있는 바와 같이, 처리 챔버 (400) 내에는 모터식 롤러는 제공되지 않는다. 이는 플라즈마 챔버 (400) 내부의 오염의 어떠한 가능성 뿐만 아니라 공격적인 고온 위치에서 야기될 수도 있는 기구 파손을 회피하기 위해서이다. 하기에서 부연설명될 수 있는 바와 같이, 이러한 특징은 각각의 행거에의 연장부 (413) 의 제공에 의해 가능하다.
다른 특징으로는 카메라 (442, 444) 가 도 1 에 도시되어 있다. 이 실시형태에서, 리니어 카메라 어레이 (442, 444) 가 행거 상에서의 각 웨이퍼 위치 내의 웨이퍼의 존재 및 상태를 검증하기 위해서 로드락 챔버 각각에 제공된다. 여기서는 카메라에 대해 논의되었지만, 스루 빔 (thru beam) 센서가 사용될 수도 있다. 후술되는 바와 같이, 행거는, 행거가 특정 위치에 있을 때 카메라를 활성화시키는 트리거를 가지므로, 웨이퍼의 하나의 라인이 리니어 카메라 어레이에 노출되도록 위치된 행거의 이미지를 매시간 마다 얻는다. 2차원 카메라 어레이가 전체 행거를 이미지화하는데 사용될 수도 있고, 많은 부재와 광학기를 필요로 할 수 있다. 따라서, 이 실시형태에서, 리니어 어레이는 행거로부터의 트리거 활성화에 따라 한번에 단지 웨이퍼의 한 라인만을 이미지화하도록 사용된다. 후술되는 바와 같이, 이미지는 행거상의 각각의 웨이퍼의 존재 및 조건을 검증하기 위해 처리된다.
처리 챔버 (400) 에는 리프트 기구 (406) 가 설치되며, 처리중 기판을 지지하도록 설계된 가열 프로세스 서셉터 (408) 가 설치된다. 리프트 기구 (406) 는 행거와 서셉터 (408) 로부터 웨이퍼를 로딩/언로딩하도록 설계된다. 리프트 기구 (426) 는 트레이 (422) 를 상승 및 하강시키기 위해서 로드 챔버 (425) 에 제공되어 트레이로부터 웨이퍼를 로딩/언로딩한다. 유사한 배열체가 로드 챔버 (420) 에 제공된다. 도 41 에 도시된 특정 상황에서, 진공 도어 (414, 412) 는 개방된 것으로 도시되어, 로드 챔버 및 로드락의 분위기를 균등하게 하여 웨이퍼 전달을 용이하게 한다. 다른 한편으로, 진공 도어 (402, 404) 는, 처리 챔버 (400) 가 분위기와 고립되도록 폐쇄된다.
도 42 에서는, 웨이퍼가 트레이 상에 배치된 후에, 리프트 기구가 상부 교환으로서 언급되는 위치에서 로드 챔버 내로 트레이를 하강시켰다. 도 43 에서는, 리프트 기구는 트레이로부터 기판을 상승시키고, 도 44 에서는, 상부 행거가 로드 챔버 내로 이동되고, 그리고 도 45 에서는, 리프트 기구는 행거 상에 기판을 부착하기 위해서 하강된다. 도 46 에서, 상부 행거는 로드락으로 되돌아 가고, 도 47 에서, 로드 챔버 및 로드락 사이의 밸브가 폐쇄된다. 그 후, 리프팅 기구는, 도 48 에 도시된 바와 같이, 더 많은 기판을 수용하도록 트레이를 상승시킬 수 있다.
알 수 있는 바와 같이, 처리 시스템 전체에 걸쳐 트레이를 이동시키는 종래의 선형 시스템과는 다르게, 본 실시형태에 있어서, 기판은 트레이로부터 제거되고 또한 처리 챔버안으로 이송하기 위한 행거에 배치된다. 트레이는 기판을 로드 챔버안으로 도입하는데에만 사용되지만 기판와 함께 시스템안으로 이동하지 않는다. 이러한 방식으로, 트레이는 처리 챔버에서 유발되는 오염 및 손상 걱정없이 재사용될 수 있다. 또한, 기판을 이송하는 트레이를 이용하는 시스템에서는 트레이를 가열 및 냉각시키는 문제가 있다. 즉, 기판의 가열을 요구하는 처리에 대해서, 이 트레이도 가열되어야 하고, 이는 트레이의 질량으로 인해 많은 에너지를 필요로 한다. 그 후, 처리 후에, 트레이는 냉각되어야 하고, 종종 특별한 냉각 스테이션 또는 챔버를 요구한다. 여기에서, 기판은 트레이없이 처리되기 때문에, 상기 문제는 없어진다. 가장 중요하게는, 트레이를 프로세스 챔버안으로 이동시키는 종래의 선형 시스템에서, 조건, 제조 및 이전의 부착물 모두는 처리 성능을 변경할 수 있고, 그리하여 본 실시형태에서는 프로세스 챔버에서 트레이 사용을 없애음으로써 보다 안정적이고 반복가능한 프로세스 성능 및 제품 수율을 제공한다.
펌프 다운 작동에 대비한 컨트롤러에 지시하도록 제 1 로드락은 진공 상태로 펌핑된다. 이 실시형태에 있어서, 단일의 펌프가 사용되고, 컨트롤러는 어떤 로드락이 펌핑되는지를 제어하도록 덕트 시스템의 밸브를 작동시킨다. 도 49 에서, 우측 로드락이 처음이고 또한 펌핑된다. 동시에, 새로운 기판이 양측에서 트레이에 로딩될 수 있다. 우측 로드락에서 진공 레벨이 얻어지면, 챔버로의 게이트 또는 진공 도어 (402) 는 도 410 에 도시된 바와 같이 개방된다. 또한, 좌측의 로드락이 이제 펌핑될 수 있다. 하지만, 2 개의 펌프가 사용되면, 로드락 둘 다가 동시에 펌핑될 수 있다. 이 실시형태에 있어서, 양방향 구성으로 인해 단지 하나의 진공 펌프를 사용하여 공구의 전체 출력을 실현하여 로드락 둘 다를 서비스할 수 있게 된다.
도 411 에서, 상부 행거는 프로세스 챔버안으로 이동되고, 도 412 에서 챔버 내측의 서셉터 (408) 는 리프트 (406) 에 의해, 도 413 에 도시한 상부 로딩 위치까지 상승된다. 이는, 본원의 다른 유리한 특징이고, 상기 서셉터 (408) 는 그 베이스 (도시하지 않음) 로부터 상승될 수 있다. 상기 베이스는 가열 부재 및 서셉터 접지 하드웨어를 유지하고, 필요하다면, 서셉터는 행거에 의해 서비스하기 위한 프로세스 챔버 외부로 이동될 수 있다. 도 414 에서, 리프트 핀 (409) 은 행거 (418) 로부터 기판을 제거하도록 상승되고, 도 415 에서, 상부 행거 (418) 는 로드락 (410) 으로 다시 재이동된다. 도 416 에서, 상부 행거 (418) 는 로드락 (410) 내측에 있고, 진공 밸브 (402) 는 폐쇄된다. 이하의 설명에서 이해되는 바와 같이, 기판이 우측 로드락 (410) 에서부터 행거 (418) 를 통하여 챔버 (400) 안으로 공급되지만, 이 행거 (418) 는 로드락 (410) 으로 복귀되지만, 기판은 좌측 로드락 (415) 을 통하여 챔버 (400) 로부터 제거된다.
도 417 에서, 로드락 (410) 은 통기되고, 처리 챔버 (400) 는 처리 압력에 대하여 조절된다. 또한, 리프트 핀 (409) 은 기판을 서셉터 (408) 에 부착시키도록 하강된다. 도 418 에서, 서셉터 (408) 는 처리 위치로 더 상승된다. 도 419 에서, 프로세스 챔버 (400) 내에서 처리가 실시되고, 우측 로드 챔버의 트레이는 로드 챔버안으로 하강된다. 새로운 기판을 상부 행거 및 로드락안으로 도입하는 프로세스는 도 420 에 도시된 바와 같이 진행될 수 있다.
반면, 처리가 완료되면, 도 421 및 도 422 에 도시한 바와 같이, 서셉터는 그 언로딩 위치로 이동되고, 챔버는 베이스 압력으로 펌핑된다. 도 423 에서, 좌측 로드락은 펌핑 다운되어, 챔버의 리프트 핀은 서셉터로부터 기판을 제거하도록 상승된다. 도 424 에서, 챔버 좌측의 밸브는 개방되고, 도 425 및 도 426 에서, 상부 및 하부 행거 둘다는 프로세스 챔버안으로 이동한다. 도 427 에서, 리프트 핀은 처리된 기판을 하부 행거에 부착시키도록 하강되고, 도 428 에서 하부 행거는 챔버로부터 로드락안으로 다시 제거된다. 이해할 수 있는 바와 같이, 본 실시예에서, 처리된 기판은, 우측에서부터 시스템안으로 실제로 로딩되는 장소에서, 시스템의 좌측안으로 재이동된다.
그 후, 서셉터는 그 로딩 위치로 이동되고, 리프트 핀은 상부 트레이로부터 새로운 기재를 제거하는데 사용되고, 이 새로운 트레이를 서셉터안으로 배치한다 (도 429 ~ 도 431). 그 후, 이러한 시컨스는 새롭게 로딩된 기판을 계속 처리할 수 있고, 처리된 기판을 시스템으로부터 제거할 수 있고, 새로운 기판을 시스템 좌측의 상부 행거에 로딩할 수 있다 (도 432 ~ 도 447). 이러한 처리가 완료되면, 이 시컨스는 처리된 기판을 언로딩하도록 진행하며, 이 때, 시스템의 우측으로 진행된다 (시스템의 좌측으로부터 상기 기판이 로딩됨을 상기) (도 448 ~ 도 466).
이하, 이점 및 이용가능성을 향상시키는 시스템의 특징 및 다양한 요소에 대해 설명한다. 도 5 에서는 본원의 실시형태에 따른 하부 행거 (500) 의 평면도를 도시한다. 이와 관련하여, 하부 행거 및 상부 행거는 하나의 특별한 실시형태로서 기재되었지만, 이러한 행거의 역할은 반전될 수 있고 또한 시스템은 하부 행거로부터 새로운 웨이퍼를 로딩하고 상부 행거와 함께 처리된 웨이퍼를 제거하도록 구성됨을 이해해야 한다. 행거는, 일반적으로 구동 레일 (505, 510) 및 기판을 유지하는 플레이트/구조물 (515) 을 포함한다.
도 5 에 도시된 바와 같이, 하부 행거 (500) 의 플레이트/구조물 (515) 에는 구멍 또는 윈도우 (520) 가 위치되고, 기판 위치 각각에는 하나의 윈도우 (520) 가 있다. 이는, 광학 시스템으로 기판이 각각의 위치에 위치되는지를 결정하고 또한 소실되거나 깨진 기판을 식별할 수 있도록 실시된다. 또한, 확대된 콜아웃 (callout) 에서, 센서 타이밍을 위한 트리거 (triggers) 및 구동 레일과 함께 센서 윈도우가 도시되어 있다. 트리거는 시스템내의 행거의 위치를 결정할 수 있도록 한다. 트리거 (522) 는 구동 제어를 위한 것이고 레일 (510) 에 드릴링된 구멍 형태이다. 각 열의 기판에 대하여 레일 (510) 상에는 하나의 트리거 (524) 가 제공되어, 시스템이 각 열의 기판 위치의 시간을 잴 수 있도록 한다. 예를 들어, 시스템이 하나의 트리거 (524) 로부터 신호를 검출할 때마다, 이 시스템은 광학 기판 검출 시스템을 작동시켜, 상기 열의 모든 위치에서 웨이퍼를 가짐을 증명한다 (도 41 참조). 추가로, 단부 지점의 트리거 (526) 는 행거 레일의 단부 위치를 나타내도록 제공된다. 시스템의 컨트롤러는 5 ㎜ 이상일 수 있는 열 팽창을 계산하도록 시스템의 온도를 측정한다. 이러한 계산 및 단부 지점의 트리거를 사용하여, 컨트롤러는 각각의 위치에서 트레이를 얼마나 많이 이동시키는지를 결정한다.
도 6 에서는 상부 이송 캐리어 어셈블리라고 하는 상부 행거를 도시한다. 상부 행거가 서셉터에 기판을 정렬시킴을 보장하도록 제공되기 때문에 상부 행거는 하부 행거와는 상이하다. 도 6 에 도시된 바와 같이, 상부 행거는 2 개의 정지부 (600, 610) 및, 레일 (615, 625) 사이에 위치되는 하나의 "부유" 트레이/구조물 (605) 를 포함한다. 상부 행거는 또한 각각의 위치에서 기판의 존재를 감지하도록 광학 시스템용 윈도우 (630) 를 포함한다. 상승된 위치의 부유 트레이 (605) 및 웨이퍼 네스트 (635) 를 나타내는 상세한 단면도가 콜아웃에 도시되어 있다. 웨이퍼 네스트는 정렬된 웨이퍼를 제자리에 유지하여, 상부 트레이가 서셉터에 정렬될 때, 웨이퍼 각각이 서셉터상에 그 위치에 정렬된다. 전체 상부 행거는 행거는 행거 정렬기 (645) 를 사용하여 제자리에 정렬된다.
도 7 에서는 정렬에 사용되는 특징을 나타내도록 상부 행거의 상세도를 도시한다. 도 7 에서, 상부 행거의 부유 트레이 (705) 를 서셉터 (750) 에 정렬시키도록, 행거 정렬 오리피스 (740) 는 행거 정렬 핀 (745) 과 결합한다. 이렇게 함으로써 트레이 이송 기구에서의 공차를 감소시키게 된다. 이송 기구는 단지 트레이를 가져오도록 하여, 오리피스 (740) 가 정렬 핀에 도달하는 범위에 있어서, 서셉터를 상승시키면, 핀이 오리피스를 포획하고 또한 부유 트레이 (705) 가 서셉터에 정렬되도록 오리피스를 조심시킨다. 또한, 상부 행거의 부유부내에 각각의 기판을 정확하게 착석시키도록 기판 네스트 (730) 가 제공된다. 또한 도 7 에는, 서셉터 (750) 를 가열기 (760) 에 정렬시키는데 사용되는 서셉터 정렬 핀 (755) 이 도시되어 있다. 이러한 방식으로, 모든 3 개의 부품, 즉, 가열기, 서셉터 및 트레이는 서로에 대하여 "자동-정렬" 된다. 결과적으로, 트레이로부터 웨이퍼를 제거하고 이 웨이퍼를 서셉터에 배치하면, 웨이퍼는 적절한 위치에 모두 정렬된다.
도 8 에서는 처리 챔버내에서 이로부터 서셉터를 제거하고 교체하기 위한 본원의 다른 특징을 도시한다. 주목할 만한 점으로, 종래 기술에서는, 챔버의 뚜껑을 개방시키고 또한 서셉터를 수동으로 제거하는 것 이외에, 챔버로부터 서셉터를 제거하는 것이 제공되지 않는다. 이는, 오프라인으로 취급되고, 실온으로 냉각되며 또한 챔버가 대기에 노출되도록 개방, 즉 "진공 해제 (breaking vacuum)" 되는 시스템을 필요로 하고 또한 시스템 재가열 및 안정화 뿐만 아니라 서셉터를 교체한 후 진공 압력으로 펌핑하는 것을 필요로 한다. 반대로, 본원의 특징에 따라서, 서셉터는, 시스템을 냉각시키고 또한 챔버를 개방시켜 대기에 노출시키지 않고, 제거될 수 있다.
도 8 에 도시된 바와 같이, 이 실시형태에 있어서, 하나의 행거, 본 실시예에서는 하부 행거 (800) 는 처리 챔버로부터 또한 로드 챔버 외부로 서셉터를 제거하는 것을 제공한다. 전술한 바와 같이, 행거 (800) 는 기재 "네스트" 또는 "시트" (810) 를 가진 다수의 기판 훅 (805) 을 포함하고, 이는 기판 각각의 적절한 위치결정을 보장해준다 (설명을 위해 하나의 기판 (802) 이 파선으로 도시되어 있음). 상기 도 41 에 대하여 설명한 바와 같이, 행거 (800) 는 일부가 통전될 수 있는 롤러 (820) 및 일부 아이들에 올라 간다. 가열기 (850) 는 도 7 에 대하여 전술한 바와 같이 서셉터 및 행거를 정렬하도록 서셉터 정렬 핀 (852) 및 행거 정렬 핀 (854) 을 포함한다. 행거 (800) 는, 서셉터 (860) 를 걸고 또한 이송하는 서셉터 훅 (840) 을 포함한다. 이러한 작동을 위해서, 하부 행거 (800) 는 처리 챔버안으로 도입되고, 서셉터 (860) 와 함께 가열기 (850) 는 그 후 서셉터 제거 위치로 이동되며, 서셉터는 하부 행거 (800) 에 제공되는 훅 (840) 과 결합한다. 그 후, 가열기 (850) 는 훅 (840) 에 걸린 서셉터 (860) 를 나가면서 하강된다. 그러면, 하부 행거 (800) 는 로드 챔버로 다시 이송될 수 있어서, 서셉터는 세척 또는 교체를 위해 제거될 수 있다. 세척된 또는 새로운 서셉터는 하부 행거에 로딩될 수 있고, 하부 행거는 새로운 서셉터를 가열기에 부착시키도록 챔버로 다시 이송될 수 있다.
도 9 에서는, 본원의 일실시형태에 따라서, 상부 행거 (905) 및 하부 행거 (907) 를 가진 로드락 어셈블리의 개략도를 도시한다. 이 로드락 어셈블리는, 처리 챔버에 연결되는 구조의 개구부 (902) 와, 로드 챔버에 연결되는 구조의 개구부 (904) 를 가진 로드락 본체 (900) 를 포함한다. 진공 도어 (도시하지 않음) 는 개구부 (902) 를 챔버와 분리시키고, 도어 (932) 는 개구부 (904) 를 로드 챔버로부터 분리시키며 또한 구동부 (934) 에 의해 작동된다. 로드락 어셈블리의 내부 부품을 볼 수 있도록, 본체 (900) 로부터 뚜껑 (906) 이 제거 도시되어 있다. 하부 행거 (907) 는 레일 연장부 (909) 를 구비하지만, 상부 행거 (905) 의 유사한 연장부는 정지 트레이 (908) 에 의해 도면에서 가려져 있다. 이러한 구동 연장부는, 오염을 방지하도록 처리 챔버내에 작동 구동부를 제공하지 않으면서, 행거를 처리 챔버내로 또한 외부로 구동시킬 수 있다. 즉, 상기 연장부와 결합하는 구동 롤러 (910) (구동 어셈블리 (930) 에 의해 구동됨) 를 가짐으로써, 로드락 챔버로부터 구동 운동이 제공된다. 행거의 운동을 동기화시키기 위해 하나의 모터를 사용하는데, 이 모터는 체인 및/또는 직접 구동을 사용해서 다양한 구동 롤러를 구동하게 된다.
도 9 는 또한 상부 센서 어레이 (922) 와 하부 센서 어레이 (924) 를 나타내는데, 이는 기판이 각각의 기판 위치에서 상부 또는 하부 행거에 존재하는지의 여부를 검출하는데 사용된다. 이 실시 형태에서는 선형 센서 어레이가 제공되는데, 도 5 와 관련하여 설명한 바와 같이, 센서 트리거가 검출될 때마다 상기 선형 센서 어레이가 작동하여 웨이퍼를 검출하게 된다.
도 10 - 12 는 여기에 개시된 시스템과는 독립적으로 제공될 수 있는 기판 플립핑 또는 인버팅 어셈블리의 실시 형태를 나타낸다. 도 10 - 12 에 나타난 실시 형태에서, 플립핑 어셈블리 (1005) 는 한 로딩 챔버 (1000) 위에 제공된다. 도 10 에서 플립핑 어셈블리 (1005) 는 행거 없이 도시되어 있고, 도 11 은 행거 (1110) 가 있는 시스템을 나타낸다. 또한, 도 11 은 웨이퍼가 플리퍼를 갖는 로딩 챔버에 로딩되고 그 챔버로부터 언로딩되는 실시 형태를 위한 로딩 트레이 (1125) 를 도시한다. 이 경우, 필립핑 기구 (1105) 는 z-구동 어셈블리 (1130) 에 연결되어 있으며, 이 어셈블리는 로딩 트레이 (1125) 가 들어갈 필요가 있을 때는 플립핑 기구 (1105) 를 들어 올리고 또한 플립핑 작동을 위해 플립핑 기구 (1105) 를 하강시킨다. z-구동 어셈블리는 도 10 의 실시 형태에도 제공되어 "충돌 회피" 기구로 사용될 수 있는데, 즉 절차가 벗어나거나 포스트 (1010) 가 플립핑의 완료 전에 상승하는 경우, z 구동부는 플립핑 기구를 상승시켜 포스트와 플립핑 기구 또는 웨이퍼 간의 충돌을 피할 수 있다.
도 10 으로 되돌아 가면, 리프트 포스트 (1010) 를 사용하여 행거로부터 웨이퍼를 들어 올려 플립핑 기구에 놓고 반대로 그 플립핑 기구에서 웨이퍼를 제거하여 행거에 놓게 된다. 이 리프트 포스트는 리프팅 기구 (1015) 에 의해 작동된다. 플립핑 어셈블리 (1005) 는 다수의 개별적인 웨이퍼 홀더 (1020) 를 포함하며, 각각의 홀더는 진공을 사용해서 하나의 웨이퍼를 잡게 되며, 물론 웨이퍼를 잡기 위한 다른 수단, 예컨대 기계식 클램핑도 제공할 수 있다. 도 10 에 도시된 바와 같이, 각각의 포스트 (1010) 에 공기를 전달하는 매니폴드에 공기압이 공급된다. 각각의 포스트 (1010) 는 오리피스 (1025) 를 갖고 있는데, 웨이퍼가 그의 플립핑 홀더 (1020) 의 진공에서 풀릴 때 공기가 상기 오리피스를 통과하여 그 웨이퍼를 위한 쿠션을 형성하게 된다. 이렇게 해서, 포스트상으로 웨이퍼를 놓아 주는 것이 매우 섬세하게 이루어질 수 있어 파손을 피할 수 있다. 이는 웨이퍼가 플립핑된 후에 포스트가 상승하고 공기 쿠션이 활성화되도록 배열될 수 있다. 그런 다음 진공이 끝나 웨이퍼가 쿠션상으로 떨어지게 된다. 그러면 상기 공기압은 꺼질 때 까지 서서히 감소하게 되고, 따라서 웨이퍼는 그의 포스트에 안전하게 놓여 있게 된다.
도 12 는 플립핑 어셈블리 (1200) 를 더욱 상세히 도시한다. 이 플립핑 어셈블리 (1200) 는 프레임 (1205) 을 포함하며, 이 프레임에는 여러 열의 플립핑 피봇 (1210) 이 회전가능하게 부착되어 있다. 모든 피봇들은 함께 동기 링크 (1235) 에 연결되어 있어 회전 구동부 (1240) 에 의해 모두 함께 회전된다. 각각의 피봇 (1210) 에는 여러개의 기판 홀더 (1215) 가 부착되어 있는데, 각각의 홀더 (1215) 는 웨이퍼를 적절히 잡아 정렬하기 위한 시트(seat)(1220)를 갖고 있다. 도 12 에는 각각의 기판(이경우 정사각형 또는 사각형 기판)을 위한 상기 시트 (1220) 가 자세히 도시되어 있다. 각각의 기판 홀더는 리프트 포스트가 접근할 수 있게 하여 기판 홀더로부터 기판을 상승시킬 수 있게 해주는 접근 포트를 또한 갖고 있다.
도 13 은 본 발명의 일 실시 형태에 따른 처리 챔버 (1300) 의 부분 단면도를 나타내는데, 샤워헤드 플레이트 (1304), 측벽 (1306) 및 플로어 (1308) 와 함께 샤워헤드 어셈블리 (1302) 를 보여준다. 기판 (1310) 이 있는 상부 행거 (1305) 가 챔버내의 위치에서 도시되어 있다. 도시의 목적으로 웨이퍼 (1310) 는 행거 (1305) 에서 이용가능한 한쌍의 위치에서만 나타나 있는데, 하지만 일반적으로는 이용가능한 모든 위치가 웨이퍼로 채워진다. 웨이퍼는 각각의 매달기 위치의 매달기 포스트 (1344) 에 제공되는 정렬 포켓 (1342) 내에 놓인다. 이리 하여, 각각의 웨이퍼는 그의 위치에서 행거에 적절하게 정렬하여 놓이게 된다. 도 13 은 새로운 웨이퍼가 처리 챔버 안으로 막 들어왔을 때의 위치, 또는 처리된 웨이퍼가 처리 챔버 밖으로 막 인출되려고 할 때의 위치를 나타낸다. 전술한 바와 같이, 행거 (1305) 는 아이들러 롤러 (1315) 에 타고 있고 구동 롤러(도 13 에는 미도시)와 결합하는 연장부를 사용하여 구동된다. 서셉터 (1320) 가 받침대 (1325) 상에 배치되어 있는데, 이 실시 형태에서 상기 받침대는 서셉터를 가열하여 기판을 가열하는 가열기를 포함한다. 서셉터는 각각의 웨이퍼 위치에 하나씩 있는 포트 및 각 포트내에 하나씩 위치하는 퍽 (puck)(1330) 을 갖고 있다. 또한, 도 13 에 나타낸 바와 같이, 퍽 (1330) 을 사용해서 각각의 기판을 들어 올리기 위한 리프트 핀 (1335) 이 배치되어 있다. 즉, 이 실시 형태에서, 리프트 핀 (1335) 은 기판과 직접 결합하는 것이 아니라, 서셉터로부터 퍽 (1330) 을 들어 올려 기판과 결합되게 한다. 리프트 핀 (1335) 은 리프트 기구 (1336) 에 의해 함께 작동한다. 이번 및 다음의 도시에는 한쌍의 리프트 핀 (1335) 만 도시되어 있지만, 실제로는 각각의 웨이퍼 위치에 리프트 핀이 있을 것이다.
도 14 는 처리 챔버의 단면도를 도시하는 것으로, 서셉터 (1420) 가 들어 올려져 상부 행거의 매달기 연장부 (1442) 와 접촉한 상태가 나타나 있다. 이는 새로운 웨이퍼가 행거로부터 서셉터에 막 전달되려고 할 때의 위치, 또는 처리된 웨이퍼가 서셉터로부터 행거 안으로 막 전달된 위치를 나타낸다.
도 15 에서 상부 행거의 플로팅부 (1540) 는 서셉터 (1520) 에 의해 약간 들어 올려져 전술한 바와 같이 정렬핀을 사용해서 그것이 서셉터 (1520) 와 정렬된다. 그런 다음 서셉터 (1520) 는 도 13 에 나타난 위치로 복귀하고, 도 16 에 도시된 바와 같이, 기판 (1610) 이 들어 올려지기 전에, 리프트 핀 (1635) 은 상부 위치로 이동하여 리프트 패드 (1630) 를 들어 올려 기판 (1610) 과 접촉하게 한다. 도 17 은 리프트 핀 (1735) 이 그의 연장 위치에 있어 상부 행거 (1740) 로부터 기판 (1710) 을 들어 올리는 것을 도시한다. 그런 다음 상부 행거는 처리 챔버에서 제거되고 도 18 에서 보는 바와 같이 서셉터 (1820) 는 그 위에 기판 (1810) 이 놓인 상태에서 상부 처리 위치로 상승하게 된다. 보는 보와 같이, 리프트 핀 (1835) 의 리프트 정상부 (1837) 은 약간 하강되는데, 따라서 퍽 (1830) 이 웨이퍼 아래의 시트에 안착된다.
도 19 는 서셉터 (1920) 가 그의 언로딩 위치에 있는 것을 도시하는데, 이때 리프트 핀 (1935) 은 연장되어 리프트 패드 (1930) 와 결합하여 서셉터 (1920) 로부터 기판 (1910) 을 제거한다. 그런 다음, 처리 챔버에서 기판 (1910) 이 제거될 수 있도록 리프트 핀 (1935) 이 하강하여 웨이퍼 (1910) 가 하부 행거 (1945) 상에 놓이게 된다. 보는 바와 같이, 하부 행거 (1945) 는 하부 아이들 롤러 (1915) 에 타고 있다.
도 20 - 21 는 다양한 서셉터 로딩/언로딩 위치에서 처리 챔버의 단면도를 도시한다. 전술한 바와 같이, 본 발명의 특징은 챔버를 열어 주변 분위기에 노출시킬 필요 없이 서셉터를 제거할 수 있다는 것이다. 이 작동은 서셉터가 서비스를 필요로 할 때 마다 수행될 수 있다. 또한, 이 작동은 웨이퍼가 처리 챔버 내에서 판손될 때 유리하다. 알 수 있는 바와 같이, 웨이퍼가 처리 챔버내에서 파손되면 웨이퍼의 일부가 서셉터에 붙게 된다. 다음의 작동을 사용해서 서셉터를 제거하여 파손 조각을 치워 그 서셉터를 챔버에 복귀시킬 수 있다. 이렇게 해서, 웨이퍼가 파손되면 챔버를 열 필요가 없게 된다.
도 20 에서 하부 행거 (2045) 는 처리 챔버 안으로 들어가 아이들 롤러 (2015) 에 타고 있다. 서섭터 (2020) 는 하부 행거 (2045) 에 제공된 서셉터 훅크 (2050) 상으로 하강되어 있다. 도 21 에서 받침대 (2125) 가 하강하여 서셉터 (2120) 로부터 분리되어 있다. 보는 바와 같이, 리프트 패드 또는 퍽 (2130) 은 서셉터 (2120) 내에 남아 있고, 이 서셉터 (2120) 는 훅크 (2150) 에 의해 유지된다. 그러면 하부 행거 (2145) 는 서셉터의 제거를 위해 챔버 밖으로 나갈 수 있다. 이렇게 해서 처리 챔버를 열 필요 없이 서셉터를 교체 또는 정화할 수 있다. 더우기, 시스템의 다른 쪽에서 교체 서셉터를 로딩할 수 있어 그 시스템은 계속 작동할 수 있다. 사실, 일 실시 형태에 따르면, 교체 서셉터를 필요할 때 사용할 수 있도록 하나 또는 두개의 로드 챔버안에 대기시켜 둘 수 있다.
상기 처리 챔버가 다소 클 때는 서셉터를 샤워헤드에 정확히 평행하게 위치시킬 필요가 있을 수 있다. 이는 특히 서셉터가 로딩/언로딩 및 처리를 위해 수직으로 이동할 때 그렇다. 도 22 는 처리 챔버의 단면도를 도시하는데, 본 발명의 일 실시 형태에 따른 정렬 구성이 나타나 있다. 도 22 에서, 구형 시트(seat)(2210)가 챔버의 바닥면 (2220) 에 부착되어 있다. 이 시트 (2210) 는 주어진 직경을 갖는 구의 일 부분의 형태로 된 절개부를 갖고 있다. 서셉터를 지지하는 리프팅 기구는 상대 구형 코어 (2205) 를 가지며, 이 코어는 상기 구형 시트 (2210) 위에 "타고" 있다. 이리 하여, 휘어진 이중 화살표로 나타낸 바와 같이, 서셉터가 샤워헤드에 정확히 평행하게 될 때까지 리프팅 어셈블리 (2215) 가 약간 기울어질 수 있다. 그런 다음 앵커링 볼트 (2225) 를 조여 리프팅 어셈블리를 원하는 경사 위치에서 고정시킨다.
도 23 은 본 발명에 따른 서셉터의 일 실시 형태를 나타낸다. 도 23 에서 보는 바와 같이, 서셉터 (2320) 는 일반적으로 다수의 기판 시트 (2305) 를 갖고 있는 사각형 플레이트이다. 각각의 기판 시트 (2305) 는 리프트 핀이 접근하여 기판 패드 또는 퍽 (2330) 과 결합할 수 있도록 해주는 리프트 핀 접근 개구 (2310) 를 갖고 있다. 각각의 퍽은 상기 핀 접근 개구 (2310) 의 외측에서 서셉터에 형성된 퍽 시트 (2335) 에 놓이게 된다. 리프트 핀을 사용하여 기판 패드 (2330) 를 들어 올려 서셉터에서 각각의 개별 기판을 들어 올린다. 또한 도 23 에는 서셉터를 받침대에 정렬시키기 위한 구멍 (2360) 및 행거 정렬핀이 통과하여 상부 행거의 정렬 오리피스와 결합하게 해주는 노치 (2365) 가 나타나 있다.
도 24 는 본 발명의 다양한 실시 형태의 로드 챔버에 사용될 수 있는 트레이 (2400) 를 도시한다. 이 트레이 (2400) 는 일반적으로 각각의 기판 위치에 대한 기판 네스트 (2405, 2415) 를 갖는 플레이트이다. 이 네스트는 기판을 그의 네스트에서 중심 맞춤시키도록 구성된 네개의 네스트 요소 (2415) 를 포함한다. 도 24 로부터 알 수 있듯이, 트레이 (2405) 의 가장자리에 제공된 네스트 요소는 제외하고 각각의 네스트 요소 (2415) 는 4개의 웨이퍼 위치를 맡고 있다. 트레이 (2400) 의 가장자리에 제공된 네스트 요소 (2405) 는 하나 또는 두개의 웨이퍼 위치를 맡을 수 있다. 도 24 의 상세한 도시에서 기판 (2410) 이 그의 네스트에 놓여 있는 것으로 나타나 있다. 또한, 각 기판 시트의 중앙에는 리프트 핀이 트레이에서 기판을 들어 올릴 수 있게 해주는 접근 구멍 (2470) 이 있다.
도 25 는 처리 챔버내의 웨이퍼 파손을 검출할 수 있게 해주는 본 발명의 다른 특징적 구성을 도시한다. 이 특징적 구성에 따르면, 디지털 카메라를 사용해서 처리 챔버내의 웨이퍼의 이미지를 찍고 그 이미지를 처리하여 웨이퍼 파손을 검사하게 된다. 도 25 에서, 처리 챔버 (2500) 는 외형으로 개략적으로 나타나 있다. 진공 도어 어셈블리 (2505) 또한 외형으로 개략적으로 나타나 있다. 카메라 (2510) 가 진공 도어 어셈블리 (2505) 안에 배치되어 있어 도어가 열리면 그 카메라가 챔버 내부의 이미지를 찍을 수 있다. 파선은 각 카메라의 시야 (field of view) 를 나타낸다. 처리 챔버 (2500) 내에서 처리가 끝나면, 도어를 열어 웨이퍼를 제거한다. 트레이를 안으로 들여 넣기 전에, 카메라를 작동시켜 챔버 내의 웨이퍼의 이미지를 찍어 챔버내에 파손 웨이퍼가 있는지를 판정한다. 있으면 전술한 바와 같이 하부 행거를 안으로 들여 넣어 서셉터를 제거할 수 있다.
진공 도어 어셈블리내에 두대의 카메라를 배치하는 것은 단지 하나의 예일뿐이고 카메라의 수와 배치는 변할 수 있다.
여기서, 주요 아이디어는 웨이퍼가 챔버로부터 제거되기 전에 웨이퍼의 이미지를 포착하여 어떤 웨이퍼가 파손되었는지의 여부를 결정하는 것이다. 다른 실시예가 도 25 에 도시되어 있으며, 이 도면에서 3 대의 카메라 (2510') 가 챔버 본체의 측부에 배치되어 있으며 창 (2515) 을 통해 챔버 본체의 내부를 바라보고 있다.
카메라로부터의 이미지는 처리를 위해 프로세서 (2520) 로 보내진다. 이미지는 카메라의 화각에 의해 표시된 시각을 가지기 때문에, 프로세서 (2520) 는 이미지를 카메라의 화각으로부터 통상적인 코디네이트로 바꾸고 그리고/또는 보정한다. 이런 작동에서, 프로세서는 렌즈 왜곡을 제거할 수도 있고 일정한 크기 및 배향을 위해 이미지를 조정할 수도 있다. 프로세서는 그 후 예상된 웨이퍼 위치를 확인한 후 웨이퍼가 실제로 예상된 위치에 존재하는 지의 여부를 검출한다. 프로세서는 그 후 각각의 확인된 웨이퍼를 검사하여 이 웨이퍼를 파손된 것과 파손되지 않은 것으로 분류한다. 프로세서는 이 프로세스를 수 N 또는 어레이의 카메라에 대해 반복할 수도 있고, 또한 이 프로세스를 카메라 위치의 수 M 회 반복할 수도 있다. 대안적으로는, 카메라로부터의 이미지는 운영자가 어떤 파손된 웨이퍼가 있는지의 여부를 보고 결정할 수 있도록 디스플레이 (2530) 로 간단하게 보내질 수 있다. 물론, 이미지는 프로세서 및 디스플레이 양자로 보내질 수 있고, 따라서 운영자는 파손된 웨이퍼가 존재하는지 또는 아닌지의 여부의 프로세서의 결정의 검사자 또는 확인자로서의 역할을 한다. 게다가, 카메라는 또한 챔버 내에서 처리가 시작되기 전에 이미지를 찍도록 사용될 수 있어, 모든 웨이퍼가 서셉터에 적절히 위치되는 것을 보장한다. 인식될 수 있는 바와 같이, 웨이퍼가 서셉터에 적절히 위치되지 않는 경우, 웨이퍼는 플라즈마 아칭을 유발할 수도 있다.
또한, 이미지가 찍힐 때 처리 챔버의 내부를 조명하는 조명 기구 (2535) 가 제공된다. 조명 기구 (2535) 는, 자기 창 (its own window) 이 제공되는 LED, 플래쉬 라이트 등과 같은 독립적인 광원의 형태일 수도 있다. 대안적으로는, 조명 기구는 각각의 카메라 주위에 제공되며 카메라와 유사한 시야를 갖는 LED 의 형태일 수 있다. 또 다른 실시형태에서, 조명은 샤워헤드로부터 반사되도록 챔버의 천장을 향한다. 이런 방식에 있어서, 빛은 챔버 내측에 균일하게 분배된다.
본 발명을 본 발명의 특정 실시형태를 참조하여 설명하였지만, 본 발명은 이런 실시형태로 제한되지 않는다. 구체적으로는, 다양한 변경 및 변형이 첨부의 청구항에 의해 규정되는 바와 같이 본 발명의 사상 및 범위 내에서 당업자에 의해 실시될 수도 있다. 부가적으로는, 위에서 인용된 종래기술 참조 모두는 여기 참조로서 통합된다.

Claims (24)

  1. 진공 처리 챔버;
    진공 처리 챔버의 제 1 측에 연결된 제 1 로드락 챔버;
    제 1 측의 반대쪽에 있는 진공 처리 챔버의 제 2 측에 연결된 제 2 로드락 챔버;
    진공 처리 챔버의 반대쪽에 있는 제 1 로드락에 연결된 제 1 교환 구역;
    진공 처리 챔버의 반대쪽에 있는 제 2 로드락에 연결된 제 2 교환 구역;
    제 1 교환 구역에 위치되어 있으며, 새로운 기판을 제 1 교환 구역 안으로 로딩하고 처리된 기판을 제 1 교환 구역으로부터 제거하도록 작동가능한 제 1 교환 기구를 포함하는, 인라인 기판 처리 시스템.
  2. 제 1 항에 있어서,
    제 2 교환 구역에 위치되어 있으며, 새로운 기판을 제 2 교환 구역 안으로 로딩하고 처리된 기판을 제 2 교환 구역으로부터 제거하도록 작동가능한 제 2 교환 기구를 더 포함하는, 인라인 기판 처리 시스템.
  3. 제 1 항에 있어서,
    상기 제 2 교환 구역은 기판 플립핑 기구를 포함하는, 인라인 기판 처리 시스템.
  4. 제 3 항에 있어서,
    상기 기판 플립핑 기구는:
    프레임;
    프레임에 회전가능하게 연결된 복수의 피벗;
    적어도 하나는 각각의 피벗에 연결되는 복수의 기판 홀더;
    피벗에 연결되고 피벗을 동기식으로 회전시키는 회전 드라이버를 포함하는, 인라인 기판 처리 시스템.
  5. 제 4 항에 있어서,
    상기 각각의 피벗은 진공 도관을 포함하는, 인라인 기판 처리 시스템.
  6. 제 1 항에 있어서,
    상기 제 1 및 제 2 로드락 챔버 각각은:
    적어도 하나의 기판을 지지하도록 구성된 상부 행거;
    적어도 하나의 기판을 지지하도록 구성된 하부 행거; 및
    상부 행거 및 하부 행거를 진공 처리 챔버 안으로 그리고 밖으로 운동시키도록 구성된 운반 기구를 포함하는, 인라인 기판 처리 시스템.
  7. 제 6 항에 있어서,
    상기 진공 처리 챔버 내부에 위치된 서셉터; 및
    상부 행거와 서셉터 사이 및 하부 행거와 서셉터 사이에서 기판을 교환하도록 구성된 교환기를 더 포함하는, 인라인 기판 처리 시스템.
  8. 제 7 항에 있어서,
    상기 서셉터를 수직 운동에서 운동시키고, 서셉터를 행거 운반을 위한 하부 위치, 기판 교환을 위한 중간 위치, 및 기판 처리를 위한 상부 위치의 적어도 3 개의 위치 중 하나에 위치시키도록 구성된 리프트 기구를 더 포함하는, 인라인 기판 처리 시스템.
  9. 제 8 항에 있어서,
    상기 하부 행거는, 서셉터에 결합하여 이 서셉터를 제 1 또는 제 2 교환 구역 중 하나로 운반하기 위한 훅을 더 포함하는, 인라인 기판 처리 시스템.
  10. 제 9 항에 있어서,
    상기 처리 챔버는 가열기를 포함하고, 서셉터는 가열기의 상부에 자유롭게 위치되는, 인라인 기판 처리 시스템.
  11. 제 10 항에 있어서,
    상기 서셉터를 가열기에 정렬시키도록 위치된 정렬 핀을 더 포함하는, 인라인 기판 처리 시스템.
  12. 제 11 항에 있어서,
    상기 상부 행거 또는 하부 행거 중 하나는 부유 플레이트를 포함하고, 리프트 기구는 부유 플레이트에 결합하여 이 부유 플레이트를 상승시켜 부유 플레이트를 서셉터에 정렬시키도록 구성되는, 인라인 기판 처리 시스템.
  13. 제 7 항에 있어서,
    상기 서셉터는 복수의 기판 시트를 포함하고, 각각의 기판 시트는 그 안에 위치된 리프트 패드를 구비하는, 인라인 기판 처리 시스템.
  14. 제 13 항에 있어서,
    상기 진공 처리 챔버는 복수의 리프트 핀을 구비하는 리프트 핀 기구를 더 포함하고, 각각의 리프트 핀은 리프트 패드 중 하나에 결합하도록 구성되는, 인라인 기판 처리 시스템.
  15. 제 6 항에 있어서,
    상기 상부 행거 또는 하부 행거 중 하나는 새로운 기판을 진공 처리 챔버 안으로 배타적으로 도입시키도록 구성되고, 상부 행거 또는 하부 행거 중 다른 하나는 처리된 기판을 진공 처리 챔버로부터 배타적으로 제거하도록 구성되는, 인라인 기판 처리 시스템.
  16. 제 15 항에 있어서,
    상기 제 1 및 제 2 로드락 챔버 각각은 액티브 드라이버를 포함하고, 상부 및 하부 행거 각각은 구동 연장부를 포함하며, 따라서 상부 및 하부 행거 각각은 구동 연장부에 결합하는 액티브 드라이버에 의해 진공 처리 챔버 안으로 구동될 수 있는, 인라인 기판 프로레싱 시스템.
  17. 제 16 항에 있어서,
    액티브 드라이버에 동력을 공급하여, 진공 처리 챔버 내측의 기판을 처리하기 전에 기판 교환을 위해 상부 및 하부 행거 양자를 제 1 또는 제 2 로드락 챔버 중 하나로부터 진공 처리 챔버 안으로 도입시키고, 상부 및 하부 행거를 진공 처리 챔버 밖으로 제거하도록 프로그램화된 컨트롤러를 더 포함하는, 인라인 기판 처리 시스템.
  18. 제 16 항에 있어서,
    상기 컨트롤러는, 제 1 또는 제 2 로드락 챔버가 준비됐는지의 여부를 나타내는 준비 신호를 기다리고, 준비 신호에 대응하여 액티브 드라이버에 동력을 공급하도록 프로그램화되는, 인라인 기판 처리 시스템.
  19. 제 6 항에 있어서,
    상기 상부 및 하부 행거 중 적어도 하나에 기판이 존재/부재하는지를 검출하도록 위치된 센서 어레이를 더 포함하는, 인라인 기판 처리 시스템.
  20. 제 19 항에 있어서,
    상기 상부 행거 또는 하부 행거 중 적어도 하나는 센서 어레이를 활성화시키기 위한 트리거 기구를 포함하는, 인라인 기판 처리 시스템.
  21. 제 1 항에 있어서,
    상기 제 1 로드락 챔버와 진공 처리 챔버 사이에 위치된 진공 도어; 및
    처리 챔버 외측에 위치되고 진공 도어를 통해 챔버 내측의 시야를 갖는 적어도 하나의 카메라를 더 포함하는, 인라인 기판 처리 시스템.
  22. 제 1 항에 있어서,
    처리 챔버 외측에 위치되고 창을 통해 챔버 내측의 시야를 갖는 적어도 하나의 카메라;
    카메라로부터 이미지를 수신하고, 어떤 파손된 웨이퍼가 이미지에 나타나는지의 여부를 결정하기 위해 이미지를 검사하는 프로세서를 더 포함하는, 인라인 기판 처리 시스템.
  23. 듀얼-로드 처리 시스템의 오토-시퀀싱 작동을 위해 컨트롤러에서 실행되는 전산화된 방법으로서, 진공 처리 시스템은, 2 개의 로딩 포트를 가지는 진공 처리 챔버, 및 각각 진공 밸브를 통해 2 개의 포트 중 하나에 연결되는 2 개의 로드락 챔버를 포함하고:
    새로운 작업대상이 로드락 챔버 중 하나로 도입될 때, 해당 로드락 챔버에서 진공을 일으키는 단계;
    로드락 챔버 중 하나에서 원하는 진공 레벨이 도달될 때, 준비 신호를 컨트롤러에 보내고, 로드락 챔버가 작업대상 교환을 위한 준비가 되었음을 나타내는 단계;
    진공 처리 챔버에서 처리가 완료될 때, 컨트롤러에 의해 어느 로드락 챔버가 준비 신호를 보냈는지를 결정하여, 해당 로드락 챔버로 작업대상 교환을 개시하는 단계를 포함하는, 전산화된 방법.
  24. 제 23 항에 있어서,
    작업대상 교환을 개시하는 단계는:
    준비 신호가 수신된 로드락 챔버에 대응하는 진공 밸브를 개방하는 단계;
    처리된 작업대상을 진공 처리 챔버 내부로부터 준비 신호가 수신된 로드락 밖으로 운반하는 단계;
    새로운 작업대상을 진공 처리 챔버 안으로 운반하는 단계를 포함하는, 전산화된 방법.
KR1020100126495A 2009-12-10 2010-12-10 오토시퀀싱 다방향성 인라인 처리 장치 KR101730322B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US28550509P 2009-12-10 2009-12-10
US61/285,505 2009-12-10

Publications (2)

Publication Number Publication Date
KR20110066111A true KR20110066111A (ko) 2011-06-16
KR101730322B1 KR101730322B1 (ko) 2017-05-11

Family

ID=43502633

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020100126495A KR101730322B1 (ko) 2009-12-10 2010-12-10 오토시퀀싱 다방향성 인라인 처리 장치
KR1020100126107A KR101814202B1 (ko) 2009-12-10 2010-12-10 진공 처리 장치용 샤워헤드 조립체
KR1020100126497A KR101732348B1 (ko) 2009-12-10 2010-12-10 오토시퀀싱 인라인 처리 장치

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020100126107A KR101814202B1 (ko) 2009-12-10 2010-12-10 진공 처리 장치용 샤워헤드 조립체
KR1020100126497A KR101732348B1 (ko) 2009-12-10 2010-12-10 오토시퀀싱 인라인 처리 장치

Country Status (6)

Country Link
US (4) US8444364B2 (ko)
EP (3) EP2336389B1 (ko)
JP (2) JP5835722B2 (ko)
KR (3) KR101730322B1 (ko)
CN (3) CN102094188B (ko)
TW (3) TWI436831B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9287152B2 (en) 2009-12-10 2016-03-15 Orbotech LT Solar, LLC. Auto-sequencing multi-directional inline processing method
US9462921B2 (en) 2011-05-24 2016-10-11 Orbotech LT Solar, LLC. Broken wafer recovery system

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011146571A2 (en) * 2010-05-21 2011-11-24 Applied Materials, Inc. Tightly-fitted ceramic insulator on large-area electrode
US20120288355A1 (en) * 2011-05-11 2012-11-15 Ming-Teng Hsieh Method for storing wafers
US20130092085A1 (en) * 2011-10-17 2013-04-18 Synos Technology, Inc. Linear atomic layer deposition apparatus
JP5840095B2 (ja) * 2011-10-31 2016-01-06 三菱電機株式会社 太陽電池の製造装置、及び太陽電池の製造方法
US20130108406A1 (en) * 2011-11-02 2013-05-02 Varian Semiconductor Equipment Associates, Inc. High-throughput workpiece handling
KR101930981B1 (ko) * 2011-11-25 2018-12-19 도쿄엘렉트론가부시키가이샤 처리 장치군 컨트롤러, 생산 처리 시스템, 처리 장치군 제어 방법, 생산 효율화 시스템, 생산 효율화 장치 및 생산 효율화 방법
WO2013086432A2 (en) 2011-12-07 2013-06-13 Intevac, Inc. High throughput load lock for solar wafers
CN102544211B (zh) * 2011-12-31 2013-10-30 常州天合光能有限公司 太阳能电池刻蚀方法及其设备
DE102012100929A1 (de) * 2012-02-06 2013-08-08 Roth & Rau Ag Substratbearbeitungsanlage
US20150295124A1 (en) * 2012-04-02 2015-10-15 Koji Matsumaru Manufacturing equipment for photovoltaic devices and methods
KR102111183B1 (ko) * 2012-08-31 2020-05-14 세미컨덕터 테크놀로지스 앤드 인스트루먼츠 피티이 엘티디 다기능 웨이퍼 및 필름 프레임 조작 시스템
KR102064391B1 (ko) * 2012-08-31 2020-01-10 삼성디스플레이 주식회사 기판 처리 장치
US20140060435A1 (en) * 2012-09-04 2014-03-06 Applied Materials, Inc. Doors for high volume, low cost system for epitaxial silicon deposition
KR102014299B1 (ko) * 2013-02-07 2019-08-26 주식회사 원익아이피에스 대상물 이송 시스템 및 이를 위한 캐리어 위치 초기화 방법
TWI490956B (zh) * 2013-03-12 2015-07-01 Shinkawa Kk 覆晶接合器以及覆晶接合方法
CN103266310B (zh) * 2013-05-24 2015-05-20 上海和辉光电有限公司 分散板及具有该分散板的镀膜装置
EP2854155B1 (en) 2013-09-27 2017-11-08 INDEOtec SA Plasma reactor vessel and assembly, and a method of performing plasma processing
JP2015088694A (ja) * 2013-11-01 2015-05-07 株式会社日立ハイテクノロジーズ 真空処理装置
US9704762B2 (en) * 2014-02-04 2017-07-11 Applied Materials, Inc. Application of in-line glass edge-inspection and alignment check in display manufacturing
KR101613544B1 (ko) * 2014-02-13 2016-04-19 주식회사 유진테크 기판 처리 장치
US9484243B2 (en) * 2014-04-17 2016-11-01 Lam Research Corporation Processing chamber with features from side wall
US10648927B2 (en) * 2015-05-15 2020-05-12 Taiwan Semiconductor Manufacturing Company Ltd. Method and apparatus for monitoring edge bevel removal area in semiconductor apparatus and electroplating system
JP6753654B2 (ja) * 2015-07-14 2020-09-09 株式会社日立ハイテク プラズマ処理装置
US10249521B2 (en) * 2016-03-17 2019-04-02 Lam Research Ag Wet-dry integrated wafer processing system
JP6739201B2 (ja) * 2016-03-25 2020-08-12 スピードファム株式会社 局所ドライエッチング装置
KR102461066B1 (ko) * 2016-10-12 2022-10-28 램 리써치 코포레이션 반도체 프로세싱용 웨이퍼 포지셔닝 페데스탈의 패드 상승 메커니즘
US10573549B2 (en) 2016-12-01 2020-02-25 Lam Research Corporation Pad raising mechanism in wafer positioning pedestal for semiconductor processing
US9892956B1 (en) 2016-10-12 2018-02-13 Lam Research Corporation Wafer positioning pedestal for semiconductor processing
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US20180230597A1 (en) * 2017-02-14 2018-08-16 Applied Materials, Inc. Method and apparatus of remote plasmas flowable cvd chamber
WO2018163572A1 (ja) * 2017-03-10 2018-09-13 富士フイルム株式会社 画像処理システム、画像処理装置、画像処理方法及び画像処理プログラム
CN107887308A (zh) * 2017-12-01 2018-04-06 合肥芯欣智能科技有限公司 全自动多功能处理设备
CN107919311A (zh) * 2017-12-19 2018-04-17 北京铂阳顶荣光伏科技有限公司 太阳能电池共蒸镀生产线
JP2020033625A (ja) * 2018-08-31 2020-03-05 東京エレクトロン株式会社 成膜装置及び成膜方法
US10901328B2 (en) * 2018-09-28 2021-01-26 Applied Materials, Inc. Method for fast loading substrates in a flat panel tool
CN111385955B (zh) * 2018-12-28 2022-08-23 中微半导体设备(上海)股份有限公司 一种等离子体处理器的安装结构及相应的等离子体处理器
KR102503465B1 (ko) * 2019-01-07 2023-02-24 가부시키가이샤 아루박 진공 처리 장치, 진공 처리 장치의 클리닝 방법
CN113261390B (zh) * 2019-01-07 2024-06-14 株式会社爱发科 真空处理装置
US11637030B2 (en) 2019-06-18 2023-04-25 Kla Corporation Multi-stage, multi-zone substrate positioning systems
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
JP7488442B2 (ja) * 2019-09-26 2024-05-22 シンフォニアテクノロジー株式会社 搬送システム
DE102020103947A1 (de) 2020-02-14 2021-08-19 AIXTRON Ltd. CVD-Reaktor und Verfahren zum Handhaben einer Prozesskammer-Deckenplatte
US11626303B2 (en) 2020-04-23 2023-04-11 Applied Materials, Inc. Compliance components for semiconductor processing system
CN111471965A (zh) * 2020-04-30 2020-07-31 苏州迈正科技有限公司 传送载板、真空镀膜设备及真空镀膜方法
CN111519169A (zh) * 2020-05-28 2020-08-11 深圳市捷佳伟创新能源装备股份有限公司 顶升装置和物料加工设备
CN114188206B (zh) * 2020-09-15 2023-09-29 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其上电极组件的调节方法
US11987884B2 (en) * 2021-04-15 2024-05-21 Jnk Tech Glass and wafer inspection system and a method of use thereof
US11508590B2 (en) * 2021-04-15 2022-11-22 Jnk Tech Substrate inspection system and method of use thereof
CN113757245B (zh) * 2021-08-31 2022-05-20 中国科学院西安光学精密机械研究所 一种适用于低温光学系统的单限位螺垫及其使用方法
CN115247245B (zh) * 2021-11-05 2024-02-02 徐州瑞马智能技术有限公司 一种钢管前处理洗料自动换挂装置
CN115910873A (zh) * 2022-12-07 2023-04-04 西安奕斯伟材料科技有限公司 硅片检测工具及硅片检测方法

Family Cites Families (233)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3158086A (en) * 1960-03-21 1964-11-24 Ralph E Weimer Apparatus for charging hamburger patties onto a griddle
JPS5647287Y2 (ko) 1976-08-23 1981-11-05
JPS57180005A (en) 1981-04-30 1982-11-05 Hitachi Ltd Silicon carbide electric insulator with low dielectric constant
US4490042A (en) 1981-06-04 1984-12-25 Wyatt Philip J Method for determining the properties of wine
JPS57211746A (en) 1981-06-23 1982-12-25 Fujitsu Ltd Wafer conveying apparatus
US4694779A (en) 1984-10-19 1987-09-22 Tetron, Inc. Reactor apparatus for semiconductor wafer processing
JPS61105853A (ja) 1984-10-30 1986-05-23 Anelva Corp オ−トロ−ダ−
US4590042A (en) 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4752180A (en) * 1985-02-14 1988-06-21 Kabushiki Kaisha Toshiba Method and apparatus for handling semiconductor wafers
DE3508516A1 (de) 1985-03-09 1986-09-11 Wolfgang 6108 Weiterstadt Köhler Vorrichtung zum transportieren einer platte im reinraum
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
USH422H (en) * 1986-04-25 1988-02-02 American Telephone And Telegraph Company, At&T Bell Laboratories Apparatus for inverting articles and method for using same
JP2564303B2 (ja) 1987-05-08 1996-12-18 株式会社日立製作所 ウエハキャリア治具
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JP2602298B2 (ja) 1988-01-30 1997-04-23 日本電気株式会社 気相成長装置
US5606534A (en) * 1989-09-01 1997-02-25 Quantronix, Inc. Laser-based dimensioning system
US5084125A (en) 1989-09-12 1992-01-28 Matsushita Electric Industrial Co., Ltd. Apparatus and method for producing semiconductor substrate
US5167922A (en) 1990-04-27 1992-12-01 Pb Diagnostic Systems Inc. Assay cartridge
US5136975A (en) 1990-06-21 1992-08-11 Watkins-Johnson Company Injector and method for delivering gaseous chemicals to a surface
JP2938160B2 (ja) 1990-07-20 1999-08-23 東京エレクトロン株式会社 真空処理装置
JPH05109683A (ja) 1991-03-27 1993-04-30 Mitsubishi Materials Corp 半導体シリコンウエーハ洗浄液の金属不純物除去方法
JPH0526252A (ja) * 1991-07-16 1993-02-02 Kayseven Co Ltd 軸継手
JP2598353B2 (ja) 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
JPH0569162U (ja) 1992-02-28 1993-09-17 セイコー電子工業株式会社 バッファ付クラスタ形薄膜処理装置
US5404894A (en) 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
JP3155844B2 (ja) * 1992-10-20 2001-04-16 日本真空技術株式会社 真空処理装置の高周波電極
JPH06155197A (ja) * 1992-11-16 1994-06-03 Pfu Ltd 混流生産システムにおける部材供給システム
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5439524A (en) 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP3666512B2 (ja) 1994-06-16 2005-06-29 ローム株式会社 薄板基板の移送装置
US5795399A (en) 1994-06-30 1998-08-18 Kabushiki Kaisha Toshiba Semiconductor device manufacturing apparatus, method for removing reaction product, and method of suppressing deposition of reaction product
US5486080A (en) 1994-06-30 1996-01-23 Diamond Semiconductor Group, Inc. High speed movement of workpieces in vacuum processing
TW295677B (ko) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
US5551327A (en) 1994-08-22 1996-09-03 Hamby; William D. Adjusting means for multi-blade cutting apparatus
JPH0878347A (ja) 1994-09-06 1996-03-22 Komatsu Electron Metals Co Ltd エピタキシャル成長装置のサセプタ
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JP2929948B2 (ja) 1994-09-20 1999-08-03 三菱電機株式会社 プローブ式テストハンドラー及びそれを用いたicのテスト方法
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
JP3151364B2 (ja) * 1994-12-05 2001-04-03 シャープ株式会社 高分子光導波路の製造方法
JP3360098B2 (ja) 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JP3165348B2 (ja) 1995-05-18 2001-05-14 ワイエイシイ株式会社 プラズマ処理装置およびその運転方法
TW318258B (ko) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
US5855468A (en) * 1995-12-22 1999-01-05 Navistar International Transportation Corp. Method and apparatus for setting foundry core assemblies
JP3606979B2 (ja) 1995-12-22 2005-01-05 株式会社アルバック 枚葉式真空処理装置
US5756155A (en) 1996-01-22 1998-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Combination nozzle and vacuum hood that is self cleaning
US5679055A (en) 1996-05-31 1997-10-21 Memc Electronic Materials, Inc. Automated wafer lapping system
US5996528A (en) 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US5944940A (en) 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5653808A (en) 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
JPH10321564A (ja) 1997-05-20 1998-12-04 Tokyo Seimitsu Co Ltd ウェーハ回収装置
US5968275A (en) 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
JP3480271B2 (ja) 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6722834B1 (en) 1997-10-08 2004-04-20 Applied Materials, Inc. Robot blade with dual offset wafer supports
JP3283459B2 (ja) * 1997-12-17 2002-05-20 日本エー・エス・エム株式会社 半導体処理用の基板保持装置
JP4346700B2 (ja) 1998-01-12 2009-10-21 株式会社半導体エネルギー研究所 光電変換装置の作製方法
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
EP0943699B1 (de) * 1998-02-19 2003-12-17 Applied Films GmbH & Co. KG Schleuseneinrichtung zum Ein- und/oder Ausbringen von Substraten in und/oder aus einer Behandlungskammer
US6176668B1 (en) * 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6202589B1 (en) 1998-05-29 2001-03-20 Advanced Micro Devices, Inc. Grounding mechanism which maintains a low resistance electrical ground path between a plate electrode and an etch chamber
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6016611A (en) * 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
US6022178A (en) * 1998-07-20 2000-02-08 The Aerospace Corporation Flexure washer bearing and method
US6036422A (en) * 1998-07-20 2000-03-14 The Aerospace Corporation Roller washer bearing and method
US6517691B1 (en) 1998-08-20 2003-02-11 Intevac, Inc. Substrate processing system
EP1127369A1 (en) 1998-10-15 2001-08-29 Applied Materials, Inc. Detection of wafer fragments in a wafer processing apparatus
JP3205304B2 (ja) * 1998-10-16 2001-09-04 日本ピラー工業株式会社 摺動部材
US6210067B1 (en) * 1998-12-14 2001-04-03 The Aerospace Corporation Clip flexure slider washer bearing
US6267839B1 (en) 1999-01-12 2001-07-31 Applied Materials, Inc. Electrostatic chuck with improved RF power distribution
JP4204128B2 (ja) 1999-01-18 2009-01-07 東京応化工業株式会社 基板搬送装置及び基板搬送方法
JP2000223546A (ja) * 1999-02-02 2000-08-11 Dainippon Screen Mfg Co Ltd 基板処理装置
US6323616B1 (en) 1999-03-15 2001-11-27 Berkeley Process Control, Inc. Self teaching robotic wafer handling system
JP2000290777A (ja) 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
JP3398936B2 (ja) * 1999-04-09 2003-04-21 日本エー・エス・エム株式会社 半導体処理装置
JP3965258B2 (ja) 1999-04-30 2007-08-29 日本碍子株式会社 半導体製造装置用のセラミックス製ガス供給構造
US6486444B1 (en) 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6156124A (en) 1999-06-18 2000-12-05 Applied Materials, Inc. Wafer transfer station for a chemical mechanical polisher
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6556715B1 (en) * 1999-10-29 2003-04-29 Unisys Corporation Method for CCITT compression of image data
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
JP4526151B2 (ja) 2000-01-28 2010-08-18 キヤノンアネルバ株式会社 基板処理装置の基板移載装置
JP2001284258A (ja) 2000-03-30 2001-10-12 Hitachi Kokusai Electric Inc 半導体製造装置
AU2001247685A1 (en) 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6875640B1 (en) * 2000-06-08 2005-04-05 Micron Technology, Inc. Stereolithographic methods for forming a protective layer on a semiconductor device substrate and substrates including protective layers so formed
KR100332314B1 (ko) 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
JP2002045683A (ja) * 2000-08-08 2002-02-12 Hitachi Kokusai Electric Inc 基板処理装置
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
TWI246382B (en) * 2000-11-08 2005-12-21 Orbotech Ltd Multi-layer printed circuit board fabrication system and method
JP2002203885A (ja) 2000-12-27 2002-07-19 Anelva Corp インターバック型基板処理装置
JP3946641B2 (ja) 2001-01-22 2007-07-18 東京エレクトロン株式会社 処理装置
JP2002256439A (ja) * 2001-03-06 2002-09-11 Hitachi Kokusai Electric Inc 基板処理装置
KR100421036B1 (ko) 2001-03-13 2004-03-03 삼성전자주식회사 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법
JP2002270880A (ja) 2001-03-14 2002-09-20 Shin Etsu Handotai Co Ltd 太陽電池モジュール及びその製造方法
JP4222589B2 (ja) 2001-03-26 2009-02-12 キヤノンアネルバ株式会社 基板搬送装置及びそれを用いた基板処理装置
JP2003007682A (ja) 2001-06-25 2003-01-10 Matsushita Electric Ind Co Ltd プラズマ処理装置用の電極部材
US20030003767A1 (en) 2001-06-29 2003-01-02 Plasmion Corporation High throughput hybrid deposition system and method using the same
US6592679B2 (en) 2001-07-13 2003-07-15 Asyst Technologies, Inc. Clean method for vacuum holding of substrates
JP2003028142A (ja) 2001-07-19 2003-01-29 Konica Corp 位置決め機構および画像形成装置
JP4236882B2 (ja) 2001-08-01 2009-03-11 東京エレクトロン株式会社 ガス処理装置およびガス処理方法
JP2003059999A (ja) 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
CN1996553A (zh) 2001-08-31 2007-07-11 阿赛斯特技术公司 用于半导体材料处理系统的一体化机架
JP4061044B2 (ja) * 2001-10-05 2008-03-12 住友重機械工業株式会社 基板移動装置
US6719517B2 (en) 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20040060514A1 (en) 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
JP2003258058A (ja) 2002-02-27 2003-09-12 Anelva Corp 基板処理装置の運転方法
JP4220173B2 (ja) * 2002-03-26 2009-02-04 株式会社日立ハイテクノロジーズ 基板の搬送方法
JP2003282462A (ja) 2002-03-27 2003-10-03 Kyocera Corp シャワープレートとその製造方法及びそれを用いたシャワーヘッド
JP2003338492A (ja) 2002-05-21 2003-11-28 Tokyo Electron Ltd プラズマ処理装置
AU2003242422A1 (en) * 2002-05-23 2003-12-12 Anelva Corporation Substrate processing device and substrate processing method
US7217336B2 (en) 2002-06-20 2007-05-15 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
US6902647B2 (en) 2002-08-29 2005-06-07 Asm International N.V. Method of processing substrates with integrated weighing steps
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
EP1577421A1 (en) * 2002-11-15 2005-09-21 Ebara Corporation Substrate processing apparatus and method for processing substrate
JP3886046B2 (ja) 2002-12-18 2007-02-28 シャープ株式会社 プラズマcvd装置と、それを用いた成膜方法および半導体装置の製造方法
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6917755B2 (en) * 2003-02-27 2005-07-12 Applied Materials, Inc. Substrate support
JP4197129B2 (ja) * 2003-03-19 2008-12-17 シャープ株式会社 ワーク搬送装置
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
JP2004327761A (ja) * 2003-04-25 2004-11-18 Sumitomo Mitsubishi Silicon Corp エピタキシャル成長用サセプタ
US7010388B2 (en) 2003-05-22 2006-03-07 Axcelis Technologies, Inc. Work-piece treatment system having load lock and buffer
JP2005016582A (ja) * 2003-06-24 2005-01-20 Toshiba Medical System Co Ltd 上下動機構および寝台
JP4517595B2 (ja) 2003-06-26 2010-08-04 東京エレクトロン株式会社 被処理体の搬送方法
US20050011447A1 (en) 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
KR100999104B1 (ko) * 2003-10-01 2010-12-07 삼성전자주식회사 기판의 반송장치
US7827930B2 (en) 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7214027B2 (en) 2003-10-16 2007-05-08 Varian Semiconductor Equipment Associates, Inc. Wafer handler method and system
US20070282480A1 (en) * 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US8403613B2 (en) * 2003-11-10 2013-03-26 Brooks Automation, Inc. Bypass thermal adjuster for vacuum semiconductor processing
CN1890074B (zh) * 2003-12-04 2011-03-30 三星钻石工业股份有限公司 基板加工方法、基板加工装置、基板输送方法、基板输送机构
JP2005183834A (ja) 2003-12-22 2005-07-07 Toshiba Ceramics Co Ltd バレル型サセプタ
US7892357B2 (en) 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
JP2005211865A (ja) 2004-02-02 2005-08-11 Masato Toshima プラズマ処理装置
JP4707959B2 (ja) 2004-02-20 2011-06-22 日本エー・エス・エム株式会社 シャワープレート、プラズマ処理装置及びプラズマ処理方法
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
JP4349952B2 (ja) * 2004-03-24 2009-10-21 京セラ株式会社 ウェハ支持部材とその製造方法
US7905960B2 (en) * 2004-03-24 2011-03-15 Jusung Engineering Co., Ltd. Apparatus for manufacturing substrate
US7290978B2 (en) * 2004-06-09 2007-11-06 N&K Technology Inc. Photomask flipper and single direction inspection device for dual side photomask inspection
KR101023725B1 (ko) 2004-06-29 2011-03-25 엘지디스플레이 주식회사 이재 로봇
JP2006049544A (ja) 2004-08-04 2006-02-16 Canon Anelva Corp 基板処理装置及びこれを用いた基板処理方法
JP2006054284A (ja) * 2004-08-11 2006-02-23 Shimadzu Corp 真空処理装置
JP2006058769A (ja) 2004-08-23 2006-03-02 Sony Corp 沈胴式レンズ鏡筒および撮像装置
US20060137609A1 (en) 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
TWI287279B (en) * 2004-09-20 2007-09-21 Applied Materials Inc Diffuser gravity support
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
JP2006132579A (ja) * 2004-11-02 2006-05-25 Tokyo Electron Ltd ボルト及びプラズマ処理装置
JP2006173560A (ja) 2004-11-16 2006-06-29 Sumitomo Electric Ind Ltd ウエハガイド、有機金属気相成長装置および窒化物系半導体を堆積する方法
US20060124169A1 (en) * 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US20060177288A1 (en) * 2005-02-09 2006-08-10 Parker N W Multiple loadlocks and processing chamber
KR100747735B1 (ko) 2005-05-13 2007-08-09 주식회사 테스 반도체 제조 장치
JP4596981B2 (ja) * 2005-05-24 2010-12-15 株式会社日立ハイテクノロジーズ インプリント装置、及び微細構造転写方法
US20070017445A1 (en) * 2005-07-19 2007-01-25 Takako Takehara Hybrid PVD-CVD system
JP2007112626A (ja) * 2005-09-20 2007-05-10 Olympus Corp 基板搬送装置及び基板検査装置並びに基板搬送方法
JP2007123684A (ja) 2005-10-31 2007-05-17 Masato Toshima 基板の処理装置
US20070119393A1 (en) 2005-11-28 2007-05-31 Ashizawa Kengo Vacuum processing system
US20070151516A1 (en) 2006-01-03 2007-07-05 Law Kam S Chemical vapor deposition apparatus and electrode plate thereof
KR101009092B1 (ko) 2006-01-18 2011-01-18 어플라이드 머티어리얼스, 인코포레이티드 이동중인 기판의 오정렬 및 기판 파손을 동적으로 탐지하기위한 센서
US7896967B2 (en) * 2006-02-06 2011-03-01 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
JP4915985B2 (ja) 2006-02-06 2012-04-11 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP2007242648A (ja) 2006-03-04 2007-09-20 Masato Toshima 基板の処理装置
US8268078B2 (en) 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
TWI476855B (zh) 2006-05-03 2015-03-11 Gen Co Ltd 基板傳輸設備、和使用該設備的高速基板處理系統
JP4018120B2 (ja) 2006-05-12 2007-12-05 シャープ株式会社 液滴吐出描画装置
EP1855324A1 (de) 2006-05-12 2007-11-14 Applied Materials GmbH & Co. KG Substratträger aus glaskeramischen Material
KR101346081B1 (ko) * 2006-06-20 2013-12-31 참엔지니어링(주) 플라스마 에칭 챔버
US20080066683A1 (en) 2006-09-19 2008-03-20 General Electric Company Assembly with Enhanced Thermal Uniformity and Method For Making Thereof
JP2008078095A (ja) * 2006-09-25 2008-04-03 Tokki Corp 真空プラズマ装置の接続構造
US7482550B2 (en) 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US7854820B2 (en) 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
TW200900210A (en) 2006-11-09 2009-01-01 Ihi Corp Frog-leg arm robot and control method thereof
KR101073271B1 (ko) * 2006-12-05 2011-10-12 가부시키가이샤 시마쓰세사쿠쇼 팔레트 반송 장치 및 기판 검사 장치
US20080138178A1 (en) 2006-12-06 2008-06-12 Axcelis Technologies,Inc. High throughput serial wafer handling end station
US7949425B2 (en) 2006-12-06 2011-05-24 Axcelis Technologies, Inc. High throughput wafer notch aligner
WO2008088743A1 (en) 2007-01-12 2008-07-24 Veeco Instruments Inc. Gas treatment systems
JP5047644B2 (ja) * 2007-01-31 2012-10-10 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
JP2008205219A (ja) 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
CN102505115B (zh) * 2007-03-02 2014-09-03 欧瑞康太阳能股份公司(特吕巴赫) 真空涂覆装置
KR100927621B1 (ko) 2007-03-22 2009-11-20 삼성에스디아이 주식회사 보호막층을 증착시키는 장치와, 이를 이용한 증착 방법
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8212579B2 (en) * 2007-05-31 2012-07-03 Advantest Corporation Fixing apparatus for a probe card
US7923660B2 (en) * 2007-08-15 2011-04-12 Applied Materials, Inc. Pulsed laser anneal system architecture
US7806641B2 (en) * 2007-08-30 2010-10-05 Ascentool, Inc. Substrate processing system having improved substrate transport system
US8408858B2 (en) 2007-08-30 2013-04-02 Ascentool International Limited Substrate processing system having improved substrate transport system
GB0717489D0 (en) 2007-09-08 2007-10-17 Design Factor Ni The Ltd A Glass breaking device
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
JP5330721B2 (ja) * 2007-10-23 2013-10-30 オルボテック エルティ ソラー,エルエルシー 処理装置および処理方法
US8834969B2 (en) * 2007-10-24 2014-09-16 Oerlikon Advanced Technologies Ag Method for manufacturing workpieces and apparatus
CN104008987B (zh) * 2007-11-15 2018-01-30 株式会社尼康 掩模盒、搬送装置、曝光装置、掩模搬送方法及器件制造方法
US8876024B2 (en) 2008-01-10 2014-11-04 Applied Materials, Inc. Heated showerhead assembly
JP2009174236A (ja) * 2008-01-28 2009-08-06 Kuwata:Kk 免制震補助具、その使用方法及び連結構造
DE102008009090B3 (de) * 2008-02-14 2009-06-04 MAG Industrial Automation Systems, LLC., Sterling Heights Beschickungs- und Entnahme-Anlage für Werkzeug-Maschinen
CN102751158B (zh) 2008-03-25 2015-05-20 奥宝科技Lt太阳能有限公司 处理装置
WO2009119096A1 (ja) * 2008-03-27 2009-10-01 株式会社ニコン 接合装置および接合方法
JP4472005B2 (ja) * 2008-04-24 2010-06-02 キヤノンアネルバ株式会社 真空処理装置及び真空処理方法
WO2009130790A1 (ja) * 2008-04-25 2009-10-29 キヤノンアネルバ株式会社 トレイ搬送式インライン成膜装置
KR101396469B1 (ko) * 2008-07-15 2014-05-23 가부시키가이샤 아루박 공작물 전달 시스템 및 방법
US8309374B2 (en) * 2008-10-07 2012-11-13 Applied Materials, Inc. Advanced platform for processing crystalline silicon solar cells
JP5683469B2 (ja) 2008-10-09 2015-03-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 大型プラズマ処理チャンバのrf復路
US20100136261A1 (en) 2008-12-03 2010-06-03 Applied Materials, Inc. Modulation of rf returning straps for uniformity control
TWI366546B (en) 2009-01-09 2012-06-21 Chimei Innolux Corp Transmission apparatus
JP5883652B2 (ja) 2009-02-04 2016-03-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ処理チャンバのための高周波リターンデバイスおよびプラズマ処理システム
US20100203242A1 (en) * 2009-02-06 2010-08-12 Applied Materials, Inc. self-cleaning susceptor for solar cell processing
US8287648B2 (en) * 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US8246284B2 (en) * 2009-03-05 2012-08-21 Applied Materials, Inc. Stacked load-lock apparatus and method for high throughput solar cell manufacturing
JP3151364U (ja) * 2009-04-09 2009-06-18 株式会社島津製作所 プラズマ化学気相堆積装置
ITUD20090214A1 (it) 2009-11-24 2011-05-25 Applied Materials Inc Effettore d'estremita' per la manipolazione di substrati
TWM366667U (en) 2009-05-25 2009-10-11 Aidc Aerospace Ind Dev Corp Auto movement and inspection device for solar energy panel
TWI490366B (zh) 2009-07-15 2015-07-01 Applied Materials Inc Cvd腔室之流體控制特徵結構
US8454850B2 (en) 2009-09-02 2013-06-04 Air Products And Chemicals, Inc. Method for the removal of surface oxides by electron attachment
PT2482784E (pt) 2009-09-28 2013-10-08 Manfred Schwaiger-Shah Dispositivo que permite o emagrecimento através da melhoria da irrigação sanguínea na região abdominal
TWI430714B (zh) 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
JP5835722B2 (ja) 2009-12-10 2015-12-24 オルボテック エルティ ソラー,エルエルシー 自動順位付け多方向直列型処理装置
KR101329303B1 (ko) * 2010-06-17 2013-11-20 세메스 주식회사 기판들의 로딩 및 언로딩을 위한 기판 처리 장치
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
US20120267049A1 (en) 2011-04-25 2012-10-25 Craig Lyle Stevens Grounding assembly for vacuum processing apparatus
KR20120131105A (ko) 2011-05-24 2012-12-04 오보텍 엘티 솔라 엘엘씨 손상된 웨이퍼 복구 시스템
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US20140064886A1 (en) 2012-08-30 2014-03-06 Orbotech LT Solar, LLC. System, architecture and method for simultaneous transfer and process of substrates

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9287152B2 (en) 2009-12-10 2016-03-15 Orbotech LT Solar, LLC. Auto-sequencing multi-directional inline processing method
US9462921B2 (en) 2011-05-24 2016-10-11 Orbotech LT Solar, LLC. Broken wafer recovery system

Also Published As

Publication number Publication date
TW201127496A (en) 2011-08-16
EP2333814B1 (en) 2021-02-03
EP2336389B1 (en) 2014-05-21
EP2336389A1 (en) 2011-06-22
CN102094188A (zh) 2011-06-15
US20110142573A1 (en) 2011-06-16
CN102122610A (zh) 2011-07-13
CN102094188B (zh) 2015-02-18
KR20110066107A (ko) 2011-06-16
EP2333813A2 (en) 2011-06-15
EP2333813B1 (en) 2021-02-24
US8444364B2 (en) 2013-05-21
US20110142572A1 (en) 2011-06-16
TW201131683A (en) 2011-09-16
JP5721132B2 (ja) 2015-05-20
TWI485799B (zh) 2015-05-21
US20110139372A1 (en) 2011-06-16
JP2011124579A (ja) 2011-06-23
TWI436831B (zh) 2014-05-11
KR101814202B1 (ko) 2018-01-02
KR101732348B1 (ko) 2017-05-04
TW201140733A (en) 2011-11-16
US9287152B2 (en) 2016-03-15
JP5835722B2 (ja) 2015-12-24
KR101730322B1 (ko) 2017-05-11
CN102122610B (zh) 2016-06-15
KR20110066113A (ko) 2011-06-16
JP2011137228A (ja) 2011-07-14
EP2333813A3 (en) 2015-05-20
US8672603B2 (en) 2014-03-18
EP2333814A3 (en) 2015-05-27
CN102122609B (zh) 2015-08-19
CN102122609A (zh) 2011-07-13
US20130294678A1 (en) 2013-11-07
EP2333814A2 (en) 2011-06-15
TWI417984B (zh) 2013-12-01

Similar Documents

Publication Publication Date Title
KR101730322B1 (ko) 오토시퀀싱 다방향성 인라인 처리 장치
US7836845B2 (en) Substrate carrying and processing apparatus
TWI489533B (zh) 基板處理裝置及基板處理方法
JP6080118B2 (ja) 壊れたウェーハ回収システム
US20090087932A1 (en) Substrate supporting apparatus, substrate supporting method, semiconductor manufacturing apparatus and storage medium
US20020157692A1 (en) Substrate dual-side processing apparatus
KR20100085128A (ko) 기판반송장치, 기판반송방법 및 진공처리장치
US20170352556A1 (en) Substrate-processing apparatus and method of manufacturing semiconductor device
KR20150007238A (ko) 기판 반송 방법 및 반송 장치
KR0148383B1 (ko) 캐리어 스토커
JP3248129B2 (ja) 基板搬送処理装置
US20100280653A1 (en) Substrate processing apparatus and semiconductor device manufacturing method
JP3446158B2 (ja) 基板搬送処理装置
KR20220097144A (ko) 이송 장치
JP3912478B2 (ja) 基板搬送装置
KR100466296B1 (ko) 이송 로봇 및 이를 이용한 기판 정렬 시스템
JPS61220352A (ja) ウエハ−ロ−デイング装置
KR100497440B1 (ko) 기판부상장치용 위치정렬기구
KR100676078B1 (ko) 기판 직립이송장치 및 방법
JPH11268827A (ja) 基板処理装置
JPH03248418A (ja) 縦型熱処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant