JP5683469B2 - 大型プラズマ処理チャンバのrf復路 - Google Patents

大型プラズマ処理チャンバのrf復路 Download PDF

Info

Publication number
JP5683469B2
JP5683469B2 JP2011531218A JP2011531218A JP5683469B2 JP 5683469 B2 JP5683469 B2 JP 5683469B2 JP 2011531218 A JP2011531218 A JP 2011531218A JP 2011531218 A JP2011531218 A JP 2011531218A JP 5683469 B2 JP5683469 B2 JP 5683469B2
Authority
JP
Japan
Prior art keywords
chamber
substrate support
return path
support assembly
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011531218A
Other languages
English (en)
Other versions
JP2012505313A5 (ja
JP2012505313A (ja
Inventor
ジョン エム. ホワイト,
ジョン エム. ホワイト,
ソー ヤング チェ,
ソー ヤング チェ,
カール エー. ソレンセン,
カール エー. ソレンセン,
ジョゼフ クデラ,
ジョゼフ クデラ,
ジョンフン ベック,
ジョンフン ベック,
ジャージャン ジェリー チェン,
ジャージャン ジェリー チェン,
スティーヴン マクファーソン,
スティーヴン マクファーソン,
ロビン エル. ティナー,
ロビン エル. ティナー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2012505313A publication Critical patent/JP2012505313A/ja
Publication of JP2012505313A5 publication Critical patent/JP2012505313A5/ja
Application granted granted Critical
Publication of JP5683469B2 publication Critical patent/JP5683469B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Description

本発明の実施形態は、概して、基板をプラズマ処理する方法及び装置に関するものであり、特に、低インピーダンスRF復路を有するプラズマ処理チャンバ、及び同チャンバを使用する方法に関するものである。
液晶ディスプレイ(LCD)又はフラットパネルは、コンピュータ、タッチパネル装置、携帯情報端末(PDA)、携帯電話機、テレビモニタなどのアクティブマトリックスディスプレイに広く使用されている。更に、有機発光ダイオード(OLED)もフラットパネルディスプレイに広く使用されている。一般的に、フラットパネルは二つのプレートを備え、これらの二つのプレートの間に液晶材料層が挟まれている。これらのプレートのうちの少なくとも1つは、当該プレート上に、電源に接続される導電膜を含んでいる。この導電膜に電源から供給される電力によって、液晶材料の配向を変化させてパターン表示を行なう。
これらのディスプレイを製造するために、通常、ガラス製又はポリマー製の被加工部材のような基板に対して複数の連続プロセスを施すことにより、素子、導体、及び絶縁体を当該基板上に形成する。これらのプロセスの各々は、普通、製造プロセスの一工程を行なうように構成されたプロセスチャンバ内で行なわれる。連続する処理工程の全てを効率的に完了させるために、通常、多数のプロセスチャンバが搬送チャンバに接続されており、この搬送チャンバは、これらのプロセスチャンバ間の基板の搬送を容易にするためのロボットを収容している。このような構成の処理プラットフォームの一例は、普通、クラスターツールとして知られており、クラスターツールの例として、カリフォルニア州サンタクララ市所在のAKTアメリカ社から市販されているAKTプラズマ支援化学気相堆積(PECVD)処理プラットフォームの製品ラインを挙げることができる。
フラットパネルに対する要求が増えるに従って、大型の基板が要求されるようになっている。例えば、フラットパネルの製造に利用される大面積基板の面積は、たった数年で、550mm×650mmから4平方メートル超に増加しており、近い将来はサイズが増大し続けると考えられる。大面積基板のサイズのこの増大によって、ハンドリング及び製造に新たな課題が生じている。例えば、基板の表面積が大きくなると、RFがRF発生装置に効率的に戻るように基板支持体のRF戻り容量を大きくする必要がある。従来のシステムでは、複数の可撓性RF復路が使用され、この場合、各RF復路が、基板支持体に接続される第1端部と、チャンバ底部に接続される第2端部とを有している。基板支持体は、処理チャンバ内において、低位側基板搬出入位置と高位側堆積位置との間で移動する必要があるので、基板支持体に接続されるRF復路は、基板支持体の移動を吸収するために必要な可撓性を実現するために十分に長くなくてはならない。しかしながら、基板サイズ及びチャンバサイズの増大によって、RF復路が長くなり、RF復路が長くなると、インピーダンスが増加するので、悪い方に影響して、RFの戻り容量及びRF復路の効率が小さくなり、チャンバ構成部品間のRF電位が大きくなり、これが悪い方に影響して、望ましくないアーク放電及び/又はプラズマ発生を引き起こす可能性がある。
したがって、低インピーダンスのRF復路を有するプラズマ処理チャンバを改善する必要がある。
プラズマ処理チャンバ内において基板支持体を接続する低インピーダンスRF復路を有する方法及び装置が提供される。一実施形態では、処理チャンバは、チャンバ側壁と、底部と、前記チャンバ側壁により支持される蓋アセンブリとを有して処理領域を画定するチャンバボディ、前記チャンバボディの前記処理領域内に配置される基板支持アセンブリ、前記基板支持アセンブリの周縁部に配置されるシャドウフレーム、前記シャドウフレームに接続される第1端部と、前記チャンバ側壁に接続される第2端部とを有する可撓性RF復路を含む。
別の実施形態では、処理チャンバは、チャンバ側壁と、底部と、前記チャンバ側壁により支持される蓋アセンブリとを有して処理領域を画定するチャンバボディ、前記チャンバボディの前記処理領域内に配置される基板支持アセンブリ、前記基板支持アセンブリの底面に取り付けられて、前記基板支持アセンブリの外周から外に向かって延在する延長ブロック、前記処理チャンバ内に配置されて、前記基板支持アセンブリが上昇位置にあるときに前記延長ブロックに接する大きさの接地フレーム、及び前記接地フレームに接続される第1端部と前記チャンバ側壁に接続される第2端部とを有するRF復路を含む。
別の実施形態では、処理チャンバは、チャンバ側壁と、底部と、前記チャンバ側壁により支持される蓋アセンブリとを有して処理領域を画定するチャンバボディ、前記チャンバボディの前記処理領域内に配置されて、第1位置と第2位置との間を移動することができる基板支持アセンブリ、前記基板支持アセンブリの周縁部に近接配置されるシャドウフレーム、前記チャンバボディに接続されて、前記基板支持アセンブリが前記第2位置にあるときに前記シャドウフレームを支持する大きさを有するシャドウフレーム支持体、及び接地フレームに接続される第1端部と前記チャンバ側壁に接続される第2端部とを有するRF復路を含み、前記RF復路の前記第2端部は、絶縁体を介して前記チャンバ側壁に接続される。
更に別の実施形態では、前記処理チャンバは、チャンバ側壁と、底部と、前記チャンバ側壁により支持される蓋アセンブリとを有して処理領域を画定するチャンバボディ、前記チャンバボディ内の前記蓋アセンブリの下方に配置されたバッキングプレート、前記チャンバボディの前記処理領域内に配置される基板支持体、前記基板支持体に接続される第1端部と前記チャンバボディに接続される第2端部とを有するRF復路、及び前記バッキングプレートの周辺と上方とに接続される複数の接点を有する一又は複数の導電性リード線を含む。
上に列挙した本発明の特徴を実現し、且つ詳細に理解することができるように、上に簡単に要約した本発明に関する更に詳細な説明を、添付図面に示される本発明の実施形態を参照しながら行う。
図1は、RF復路を有するプラズマ支援型化学気相堆積システムの一実施形態の断面図である。 図2は、図1のプラズマ支援型化学気相堆積システム内に配置される基板支持体に接続されるRF復路の拡大図である。 図3は、RF復路を有するプラズマ支援型化学気相堆積システムの別の実施形態の断面図である。 図4は、RF復路を有するプラズマ支援型化学気相堆積システムの別の実施形態の断面図である。 図5は、RF復路を有するプラズマ支援型化学気相堆積システムの別の実施形態の断面図である。 図6Aは、RF復路を有するプラズマ支援型化学気相堆積システムの別の実施形態の断面図である。図6Bは、RF復路を有するプラズマ支援型化学気相堆積システムの別の実施形態の断面図である。図6Cは、RF復路を有するプラズマ支援型化学気相堆積システムの別の実施形態の断面図である。 図6Dは、RF復路を有するプラズマ支援型化学気相堆積システムの別の実施形態の断面図である。 図7は、図6Aに示すRF復路を有するプラズマ支援型化学気相堆積システムの上面図である。 図8は、チャンバの側部断面図である。 図9は、本発明の一実施形態によるチャンバの側部断面図である。 図10は、本発明の別の実施形態によるチャンバの側部断面図である。 図11は、本発明の別の実施形態によるチャンバの側部断面図である。
理解を容易にするために、同じ参照番号を出来る限り使用して、複数の図に共通する同じ構成要素を指している。しかしながら、これらの添付図面は本発明の代表的な実施形態を示しているに過ぎず、したがって、本発明が他の同様に効果的な実施形態を包含することができるので、本発明の範囲を限定するものではないことに留意されたい。
本発明は、概して、プラズマ処理システム内に低インピーダンスRF復路を有するプラズマ処理チャンバに関するものである。プラズマ処理チャンバは、プラズマを使用して大面積基板を処理することにより、液晶ディスプレイ(LCD)、フラットパネルディスプレイ、有機発光ダイオード(OLED)、又は太陽電池セルから成る太陽電池アレイなどの製造に使用される大面積基板に構造及び素子を形成する。本発明は、大面積基板処理システム内に関して例示的に記載され、図示され、実施されるが、本発明は、一又は複数のRF復路が、チャンバ内で行なうことができる処理を容易にするレベルで機能し続けることを保証することが望ましい、他の処理チャンバにも適用することができる。
図1は、RF電流をRF電源に戻すRF電流戻りループの一部として利用される可撓性RF復路184の一実施形態を有するプラズマ支援化学気相堆積チャンバ100の一実施形態の断面図である。RF復路184は、基板支持アセンブリ130とチャンバ側壁126のようなチャンバボディ102との間に接続される。RF復路184の実施形態、及び本明細書に説明される同RF復路を使用する方法の実施形態は、これらの実施形態の変形例とともに、他の製造業者から入手される処理システムを含む他の処理システムに利用することができる。
チャンバ100は、普通、プロセス容積部106を画定する側壁126及び底部104を含む。チャンバボディ102の側壁126及び底部104は、通常、アルミニウム又はプロセス化学物質に耐え得る他の材料から成る一体ブロックにより形成される。ガス供給プレート110又は所謂拡散器、及び基板支持アセンブリ130は、プロセス容積部106内に配置される。RF電源122は、チャンバの上部の、バッキングプレート112及び/又はガス供給プレート110のような電極に接続されることにより、RF電力を供給してガス供給プレート110と基板支持アセンブリ130との間に電界を発生させる。この電界によって、ガス供給プレート110と基板支持アセンブリ130との間のガスからプラズマが発生する。このようなガスは、基板支持アセンブリ130内に配置される基板を処理するために利用される。プロセス容積部106には、壁126を貫通して形成されるバルブ108を介して進入することができるので、基板140をチャンバ100内に出し入れすることができる。真空ポンプ109をチャンバ100に接続することにより、プロセス容積部106が所望の圧力に維持される。
基板支持アセンブリ130は、基板搭載面132とステム部134とを含む。基板搭載面132は、処理中に基板140を支持する。ステム部134は昇降システム136に接続され、この昇降システム136は、低位側基板搬出入位置と高位側処理位置との間で基板支持アセンブリ130を昇降させる(図1に示す)。基板搭載面132上に配置される基板の上面とガス供給プレート110との間の堆積中の公称間隔は、普通、200ミル〜約1,400ミル、例えば400ミル〜約800ミルで、又は所望の堆積を実現するためのガス供給プレート110までの他の距離の間で変動する。
シャドウフレーム133は、処理時に、基板140の周辺を覆うように配置されて、基板140の周縁部への堆積を防止する。昇降ピン138は、基板支持アセンブリ130を貫通するように移動可能に配置され、基板搭載面132から基板140を離間させる。一実施形態では、シャドウフレーム133は、金属材料、セラミック材料、又はいずれかの適切な材料により作製することができる。一実施形態では、シャドウフレーム133は、無処理アルミニウム板、又はセラミック材料により作製される。基板支持アセンブリ130は更に、基板支持アセンブリ130を所望の温度に維持するために利用される加熱及び/又は冷却要素139を含むことができる。一実施形態では、加熱及び/又は冷却要素139は、堆積中の基板支持アセンブリの温度を約400℃以下、例えば約100℃〜約400℃に、又は約150℃〜約300℃に、例えば約200℃に保持するように設定される。一実施形態では、基板支持アセンブリ130は、例えば4つの側辺を有する多角形平面領域を有する。
一実施形態では、複数のRF復路184を基板支持アセンブリ130に接続して、RF復路を基板支持アセンブリ130の周辺の近くに設ける。基板支持アセンブリ130は、通常、処理中はRF復路184に接続されて、RF電流が当該RF復路を通り抜けてRF電源に到達することができる。RF復路184は、基板支持アセンブリ130とRF電源122との間に、例えば直接ケーブルを介して、又はチャンバ接地シャーシを介して、低インピーダンスRF復路を実現する。
一実施形態では、RF復路184は、基板支持アセンブリ130の外周とチャンバ側壁126との間に接続される複数の可撓性ストラップである(これらのうちの二つが図1に示されている)。RF復路184は、チタン、アルミニウム、ステンレス鋼、ベリリウム銅、導電金属コーティングで被覆された材料、又は他の適切なRF伝導材料により作製することができる。RF復路184は、基板支持アセンブリ130のそれぞれの側面に沿って均等に又はランダムに、分布させることができる。
一実施形態では、RF復路184は、基板支持アセンブリ130に接続される第1端部と、チャンバ側壁126に接続される第2端部とを有する。RF復路184は、直接、シャドウフレーム133を介して、及び/又は他の適切なRF導体を介して、基板支持アセンブリ130に接続することができる。拡大図に示すように、RF復路184は、円192で示すように、シャドウフレーム133を介して基板支持アセンブリ130に接続される。このシャドウフレーム133については、以下に図2を参照しながら説明する。RF復路の他の構成について、図3〜5を参照しながら以下に更に説明する。
ガス供給プレート110は、バッキングプレート112の周辺に、懸架部材114を介して接続される。蓋アセンブリ190は、処理チャンバ100の側壁126によって支持され、取り外してチャンバボディ102の内部を点検することができる。蓋アセンブリ190は、普通、アルミニウムにより構成される。ガス供給プレート110を一又は複数の中心支持体116を介してバッキングプレート112に接続することにより、ガス供給プレート110が垂れ下がるのを防止し易くなる、及び/又はガス供給プレート110の真直度/曲率を制御し易くなる。一実施形態では、ガス供給プレート110は、異なる寸法の異なる構成を有することができる。例示的な一実施形態では、ガス供給プレート110は、四辺形のガス供給プレートである。ガス供給プレート110は、複数の孔111を有する下流面150を有し、これらの孔111は当該ガス供給プレート110内に、基板支持アセンブリ130の上に配置される基板140の上面118に対向するように形成される。一実施形態では、これらの孔111は、ガス供給プレート110全体に亘って異なる形状、数、密度、寸法、及び分布を有することができる。これらの孔111の直径は、約0.01インチ〜約1インチの範囲から選択することができる。ガス供給源120をバッキングプレート112に接続して、バッキングプレート112を通って、次にガス供給プレート110内に形成された孔111を通って、ガスをプロセス容積部106に供給する。
バッキングプレート112に、及び/又はガス供給プレート110にRF電源122を接続してRF電力を供給することにより、ガス供給プレート110と基板支持アセンブリ130との間に電界を発生させて、ガス供給プレート110と基板支持アセンブリ130との間のガスからプラズマを発生させることができる。約0.3MHz〜約200MHzの周波数のような種々のRF周波数を使用することができる。一実施形態では、13.56MHzの周波数のRF電源が供給される。ガス供給プレートの例は、Whiteらによる2002年11月12日発行の米国特許第6477980号、Choiらによる2005年11月17日公開の米国特許出願公開第2005/0251990号、及びKellerらによる2006年3月23日公開の米国特許出願公開第2006/0060138号に開示されており、これらの特許文献は全て、参照されることにより本明細書にその内容全体が組み込まれる。
誘導結合リモートプラズマ源のようなリモートプラズマ源124も、ガス供給源120とバッキングプレート112との間に接続することができる。複数の処理基板の間において、クリーニングガスをリモートプラズマ源124内で励起して、チャンバ構成部品をクリーニングするために利用されるプラズマを離れた位置から供給することができる。クリーニングガスは、電源122によってガス供給プレート110に供給されるRF電力によって更に励起することができる。適切なクリーニングガスは、これらには制限されないが、NF、F、及びSFを含む。リモートプラズマ源の例は、Shangらによる1998年8月4日発行の米国特許第5788778号に開示されており、この特許文献は、参照されることにより本明細書に組み込まれる。
図2は、RF復路184の一実施形態の拡大図を示している。図1を参照しながら説明したように、RF復路184は、基板支持アセンブリ130が、低位側基板搬出入位置と高位側処理位置との間で昇降位置を変化させることができるために十分な可撓性を有する。一実施形態では、RF復路184は、RF伝導性の可撓性ストラップである。
シャドウフレーム133は、シャドウフレーム133のボディ224から延出して、処理中に基板140の周辺に堆積することがないように当該周辺を被覆するリップ222を有する。シャドウフレームボディ224は、基板支持アセンブリ130の外周縁に形成される段部226上に配置される。セラミック絶縁体228を、シャドウフレームボディ224と基板支持アセンブリ130の外周縁との間に配置して容量を大きくし、シャドウフレーム133と基板支持アセンブリ130との間に高い絶縁性を実現する。絶縁体228は、シャドウフレームの浮遊電位をDC接地から絶縁して、処理中のプラズマの発生の可能性、又はアーク放電の発生の可能性を低くし、無くすことができる。シャドウフレーム133は、更に、シャドウフレームボディ224の底部から延出する突出部220を含む。突出部220は、複数の離間する垂下部、又は1つの連続縁部とすることができる。シャドウフレーム支持体210をチャンバ側壁126の、シャドウフレーム133の突出部220を受ける位置に取り付ける。基板支持アセンブリ130を低位側基板搬出入位置に下降させると、シャドウフレーム133は基板支持アセンブリ130と一緒に、基板支持アセンブリ130が下降を続けるにつれて、シャドウフレーム支持体210がシャドウフレーム133に係合し、当該シャドウフレーム133を基板支持アセンブリ130から持ち上げるまで下降する。シャドウフレーム支持体210がシャドウフレームの移動を所定の垂直方向範囲内に拘束することにより、シャドウフレーム133に接続されるRF復路184には、最低限の可撓性しか必要でなくなる。このようにして、RF復路184の長さを、先行技術における接地ストラップに比べると短くすることができる。短いRF復路184は低インピーダンスを実現するので有利であり、この低インピーダンスによって、チャンバ構成部品間の高電位を低下させながら、RF電流を効果的に流すことができる。
一実施形態では、RF復路184は、第1端部212と第2端部214とを有する。第1端部212は、シャドウフレーム133の外壁250に、例えば締結部材202、締め付け部材、又はシャドウフレーム133とRF復路184との間に電気接続を維持する他の方法により接続される。図2に示す実施形態では、締結部材202をネジ切り孔216に螺合して、RF復路184をシャドウフレーム133に接続する。接着剤、締め付け部材、又はチャンバ側壁126とRF復路184との間に電気接続を維持する他の方法を利用する構成が想到される。RF復路184の第2端部214は、絶縁体208(208a及び208bとして示す)の間に挟まれる端子218を有する。これらの絶縁体208はまた、保護カバー206で被覆することができ、締結部材204によりチャンバ壁126に取り付けることができる。絶縁体208は、DC電流がストラップを通って流れるのを防止するキャパシタとして機能する。絶縁体208はまた、ストラップの容量を大きくし、RF復路184のRFインピーダンスを小さくするか、又は最小にすることができる。また、絶縁体208は更に、シャドウフレーム133から生じる接地電位からの浮遊DC電位を絶縁して、シャドウフレーム133と基板140との間にアーク放電が発生するのを防止する。一実施形態では、絶縁体208は、良好な絶縁特性と側方容量とを実現する高耐久性セラミック材料により作製することができる。一実施形態では、セラミック絶縁体は、高k誘電体材料、Alなどにより作製することができる。これらの絶縁体208を使用しなくてもよい構成も想到される。
上述のように、シャドウフレーム支持体210は、絶縁体208の下方のチャンバ側壁126に取り付けられて、基板支持アセンブリ130が低位側基板搬出入位置に下降するときにシャドウフレーム133を受ける。基板処理中、基板表面からの静電荷及び/又はRF電流がシャドウフレーム133及びRF復路184を通って、絶縁体208、更には、チャンバ壁126にまで達することにより、ガス供給プレート110に戻るRF復路(例えば、閉ループ)が形成される。
RF復路184をシャドウフレーム133とチャンバ側壁126との間に位置させることにより、RF復路184に必要な長さが、基板支持アセンブリ130をチャンバ底部に接続する従来の構造に比べるとずっと短くなるので、RF復路184のインピーダンスが大幅に小さくなる。RF復路の長さが過度に長くなると、高インピーダンスが発生して、基板支持アセンブリ内に電位差が生じる可能性がある。基板支持アセンブリ130内に大きい電位差が存在すると、堆積の均一性が損なわれる可能性がある。更に、RF復路が高インピーダンスになると、RF復路が非効率的になるか、又はRFの戻りが不十分になって、プラズマ及び/又は静電荷が基板表面から効果的に除去されずに、基板支持アセンブリ130の側方、辺縁の隙間、及び下方に達する結果、これらの領域に位置するチャンバ構成部品に望ましくない堆積又はプラズマ腐食が起こることにより、部品耐用年数が短くなり、パーティクル汚染の可能性が高くなる。
更に、RF復路184の端部に配置される絶縁体208は、RF復路の容量を大きくするキャパシタとして機能することにより、RF復路のインピーダンスを低くする。絶縁体208を必ずしもRF復路184の端部に接続しなくてもよい構成が想到される。絶縁体208は、RF復路184のストラップに沿って始点、中間点、終点、又は他の適切な箇所に配置されて、RF復路184の容量を大きくすることができる。キャパシタのインピーダンスは、当該キャパシタの容量に反比例するので、RF復路184に直列に配置及び/又は接続される絶縁体208の高容量を維持することにより、RF復路のインピーダンス全体を低くすることができる。この構成では、ストラップがインダクタとして機能して誘導性リアクタンス(例えば、インピーダンス)を呈するのに対し、セラミック絶縁体208は、キャパシタとして機能して容量性インピーダンスを呈することができる。インダクタ及びキャパシタは逆符号のリアクタンスを有するので、RF復路184に沿って形成されたストラップ及びセラミック絶縁体を正しく配置することにより、補償波形を生成し、正の電力オフセットインピーダンス、及び負の電力オフセットインピーダンスを生成することができるので、RF復路の低インピーダンスを、例えば理想的にはゼロインピーダンスを実現することができる。したがって、任意で設けられる絶縁体208により、RF復路の長さを制御し、基板支持アセンブリの上方の位置にRF復路を配置することにより、高導電性のRF復路と同時に、効率的なRF電流伝導性、低インピーダンスが得られ、望ましくないアーク放電による影響を小さくする、又は無くすことさえできる。
一実施形態では、RF復路184は、約2インチ〜約20インチの長さを有し、約10mm〜約50mmの幅を有する。基板支持アセンブリの周りに配置されるRF復路の数は、約4〜約100とすることができる。一実施形態では、約20インチの長さを有するRF復路184のインピーダンスは約36オームである。
図3は、基板支持アセンブリ130をチャンバ壁126に接続するRF復路300の別の実施形態を示している。RF復路の数を必要に応じて変えて、異なるハードウェア構成及びプロセス要件に合わせることができることに留意されたい。図1〜2に示す設計と同様に、シャドウフレーム133は、基板支持アセンブリ130の外周の周縁段部226に配置される。一実施形態では、シャドウフレーム133は、無処理アルミニウム板、又はセラミック材料により作製される。絶縁体326がシャドウフレーム133と基板支持アセンブリ130の周縁段部226との間に配置されて、シャドウフレーム133をDC接地から絶縁する。絶縁体326によって、シャドウフレーム133がDC接地電位から浮遊した位置に保持されることにより、基板140とシャドウフレーム133との間にアーク放電が発生する可能性を低くすることができる。締結部材314を、基板支持アセンブリ130内に形成される孔320に通し、延長ブロック306内に形成されるネジ切り孔216に螺合させる。締結部材314は導電材料により作製されて、基板表面から延長ブロック306までに良好な電気接続を維持する。
一実施形態では、延長ブロック306は、基板支持アセンブリ130の底面に取り付けられ、基板支持アセンブリ130の外周から外側に延出する。延長ブロック306は、基板支持アセンブリ130の外周の周りに、基板支持アセンブリ底面から延出するように配置されたフレーム状のプレートの形態とすることができる。別の実施形態では、延長ブロック306は、台座アセンブリの周りに分布させた個々の棒状部材の形態とすることができ、これらの棒状部材は、台座アセンブリが下降すると、可動接地フレーム308が当該棒状部材の上に載ることができるようなサイズに形成される。更に別の実施形態では、延長ブロック306は、台座アセンブリが下降すると、可動接地フレーム308を支持して当該接地フレームがその上に載るような他の構造とすることができる。
可動接地フレーム308は、基板支持アセンブリ130が上昇して処理位置に達するときに、接地フレーム308の内側322が延長ブロック306の上に載るような大きさを有する。接地フレーム308の外側324は、基板支持アセンブリ130が下降して搬出入位置に達するときに、側部ポンピングシールド310の上に載るような大きさを有する。一実施形態では、側部ポンピングシールド310は、処理チャンバ内に配置されて接地フレーム308を支持するために利用されるいずれかの支持構造とすることができる。接地フレーム308は、延長ブロック306及び側部ポンピングシールド310に対して移動することができる。RF復路300は、第1締結部材304により接地フレーム308に接続される第1端部と、第2締結部材302によりチャンバ側壁126に接続される第2端部とを有する。一実施形態では、RF復路300は、RF伝導性の可撓性ストラップの形態である。更に、任意で絶縁体208を利用することができる。
動作状態では、図3に示すように、基板支持アセンブリ130が延長ブロック306と一緒に上昇して基板処理位置に達すると、延長ブロック306が接地フレーム308を側部ポンピングシールド310(或いは、他方の固定支持体)から離れるように持ち上げる。接地フレーム308は側部ポンピングシールド310に永続的に固定される又は取り付けられる訳ではないので、接地フレーム308が処理位置にまで持ち上がると、接地フレーム308と側部ポンピングシールド310との間にギャップ312が形成される。基板処理中、基板支持アセンブリ130内の静電荷及び/又はRF電流は、締結部材314及び延長ブロック306を通って接地フレーム308に達し、次にRF復路300を通ってチャンバ壁126に達することにより、RF電源122に戻るRF戻りループの一部を形成する。接地フレーム308と側部ポンピングシールド310との間に形成されるギャップ312によって、接地フレーム308からRF復路300に流れる電流を拘束して、電流が側部ポンピングシールド310に流れるのを防止することができる。
処理が完了した後、基板支持アセンブリ130を基板搬出入位置まで下降させる。したがって、延長ブロック306は基板支持アセンブリ130と一緒に基板搬出入位置まで下降する。それに応じて、接地フレーム308は側部ポンピングシールド310に係合し、延長ブロック306から離れるように持ち上がる。基板支持アセンブリ130が下降し続けるにつれ、シャドウフレーム133が接地フレーム308の第1側部322の上面に係合して当該上面に載ることにより、基板支持アセンブリ130から持ち上がる。一実施形態では、シャドウフレーム133、締結部材314、302、304、延長ブロック306、接地フレーム308、及びRF復路300は、アルミニウム、銅のような導電材料により、又はRF電流を基板支持アセンブリ130からチャンバ壁126を通ってRF電源122に戻るように流れ易くする他の適切な合金により作製される。
図4は、RF復路400の別の実施形態を示している。図3に示す構成と同様に、締結部材314を、基板支持アセンブリ130内に形成された孔320に通し、延長ブロック402の第1側方部416内に形成されたネジ切り孔に螺合させる。延長ブロック402の第2側方部418は、基板支持アセンブリ130の外側周縁部を越えて延在する。延長ブロック402の第2側方部418は、延長ブロック402の上面に形成された溝414を有する。渦巻き型ラップ404が溝414内に配置されて、接地フレーム406と延長ブロック402との間の電気コンダクタンスが高まっている。一実施形態では、渦巻き型ラップ404は、溝414の近傍から部分的に延出し、多数回に亘って撓みが生じた後でも当該ラップの形状が保持されるために十分な弾性を持つ。絶縁体420がシャドウフレーム133と基板支持アセンブリ130の周縁段部226との間に配置されて、シャドウフレーム133が基板支持アセンブリ130から絶縁される。シャドウフレーム133と基板支持アセンブリ130との間の絶縁体420は、処理中にアーク放電が発生する可能性を防止し、無くす。接地フレーム406は、基板支持アセンブリ130が上昇すると渦巻き型ラップ404に接触した状態で延長ブロック402に載る第1側方部を有する。接地フレーム406は、側部ポンピングシールド408に接続される第2側方部を有する。RF復路400は、第1締結部材410により接地フレーム406に接続される第1側方部と、第2締結部材412によりチャンバ側壁126に接続される第2側方部とを有する。一実施形態では、RF復路400は、RF伝導性の可撓性ストラップの形態である。
この特定の実施形態では、接地フレーム406は側部ポンピングシールド408に固く取り付けられる。延長ブロック402は、上側基板処理位置と下側基板搬出入位置との間で昇降する間に、接地フレーム406に対して移動可能である。基板支持アセンブリ130が上昇すると、基板支持アセンブリ130に取り付けられる延長ブロック402は持ち上げられて渦巻き型ラップ404を介して接地フレーム406に接触する。渦巻き型ラップ404は、RF電流が締結部材314及び延長ブロック402から接地フレーム406及びRF復路400を通ってチャンバ壁126まで流れ易くする良好なインターフェースを実現することにより、RF電源122に戻るRF戻りループを形成する。側部ポンピングシールド408は接地フレーム406に固く取り付けられるので、可撓性の渦巻き型ラップ404は、接地フレーム406と延長ブロック402との間に良好な電気的接触及びRF電流コンタクトを維持しながら、基板支持アセンブリ130の上昇位置のわずかな差異を吸収することができる。一実施形態では、渦巻き型ラップ404は、アルミニウム、銅のような導電材料により、又はRF電流を流れ易くする他の適切な合金により作製される。
図5は、RF復路500の更に別の実施形態を示している。図4に示す構成と同様に、渦巻き型ラップ404は延長ブロック402内に配置されて、接地フレーム406に接触しながら垂直方向の追従誤差を吸収する。この特定の実施形態では、図4に示すような可撓性ストラップ400の形態ではなく、RF復路500は、接地フレーム406とチャンバ側壁126との間に締結部材502を介して固く接続される導電性棒状部材の形態である。RF復路500は、接地フレーム406に、いずれかの適切な手段により、固着、ボルト固定、ネジ螺合、又は締結固定される。導電性棒状部材500は、チャンバ側壁126と接地フレーム406との間に強固に固定されているので、基板支持アセンブリ130の位置決め誤差の垂直方向の吸収は、渦巻き型ラップ404によって行なわれる。別の構成として、RF復路500及び接地フレーム406は一体ボディとして形成することができ、この一体ボディは、締結部材502を介して壁に取り付けられる第1側方部と、渦巻き型ラップ404に載るように構成される第2側方部とを有する。
RF復路500の構成によって、基板処理の過程での基板支持アセンブリの反復運動の間に発生し得るずれ、摩擦、及び望ましくない相対摩擦のほとんどが防止されることにより、より清浄な処理環境を実現することができる。一実施形態では、導電性棒状部材500は、アルミニウム、銅のような導電材料により、又はRF電流を流れ易くする他の適切な合金により作製される。
一実施形態では、RF復路に沿って形成される高容量の絶縁体を利用することにより、低インピーダンスがRF復路全体に沿って得られ、大きなRF電流を流すことができる。絶縁体をRF復路に沿って利用する他に、チャンバ側壁とシャドウフレーム、及び/又は基板支持アセンブリに取り付けられる延長ブロックとの間におけるRF復路の設計により、RF復路に必要な長さを、従来の設計に比べて、大幅に短くすることができる。RF復路の距離が従来技術より極めて短いために、RF復路のインピーダンスは大幅に小さくなる。更に、RF復路は、大きな電流を流す能力も提供し、この能力は、大面積処理用途に使用するために理想的な適性を有している。RF復路の行程距離が相対的に短くなると、電流を流す能力のために低インピーダンス及び高導電率が実現されて、基板表面全体に亘る処理中の電圧差が小さくなる。電圧差が小さいと、プラズマ分布及びプロファイルが基板表面全体に亘って不均一になる可能性が低くなるので、基板表面に堆積される膜の均一性が向上する。更に、RF復路によって、プラズマ、電流、静電荷、及び電子を基板支持アセンブリの上方の処理領域内に強く拘束することができるので、基板支持アセンブリの側方又は下方における望ましくない堆積、又は活性種による腐食の可能性を大幅に低くすることができ、これにより、処理チャンバの下側領域において利用される部品の耐用年数を延ばすことができる。更に、パーティクル汚染の可能性も低くなる。
更に、基板支持アセンブリの周辺領域に配置されるシャドウフレームにRF復路を接続することにより、プラズマ分布を、基板支持アセンブリの周辺領域にまで、特に基板支持アセンブリのコーナー部にまで、例えば周縁部にまで、効果的に広げることができる。従来の設計では、多くの場合、プラズマを基板支持アセンブリの周辺領域に効果的に且つ均一に分布させることができないので、基板のコーナー部、例えば周縁部における堆積が不十分になる。堆積プロセスが、微結晶シリコン層を基板に堆積するように構成される実施形態では、基板のコーナー部、例えば周縁部に堆積するシリコン膜の結晶部分は、多くの場合、従来の堆積技術により基板に堆積する他の領域、例えば中心部、又は中心近傍領域と比べると、不十分且つ不均一であることが判明している。本用途にRF復路を利用することにより、プラズマ分布が広がって、基板支持アセンブリの周辺領域、例えばコーナー部及び周縁部における堆積に十分なプラズマを効果的に発生させるので、堆積した微結晶シリコン膜に形成される結晶部分が制御されて、効果的に向上される。
図6Aは、図2に示すRF復路184の別の実施形態、及びJ字形RFスティック604を示している。シャドウフレーム133は、シャドウフレーム133の底面に取り付けられたRF接地フレーム618を有する。RF復路184は、チャンバ壁126とRF接地フレーム618との間に取り付けられる。RF復路184は、超過するエネルギー及びプラズマのほとんどを、ガス供給プレート又は接地に接地放流し、戻す誘導性経路となる。J字形RFスティック604は、締結部材626又は他の適切な締結治具によりシャドウフレーム133の端部に取り付けられる。一実施形態では、J字形RFスティック604は、締結部材610又は他の適切な締結治具を介して弓形スティック608に接続されるロッド606を含む。J字形RFスティック604は、追加のインダクタンスを効果的に付与して、超過するエネルギー又はプラズマを、チャンバ壁の別の部分に向かうように、シャドウフレーム133及びチャンバ壁126の上側部分から遠ざかるように誘導し直し、これにより、チャンバ壁126の上側部分、及びシャドウフレーム133及び基板に近い位置におけるアーク放電を最小化し、無くすことができる。
RFスティック支持体620は、チャンバ壁126に取り付けられる第1端部624と、J字形RFスティック604のロッド606に取り付けられる第2端部622とを有する。第2端部622は、図6Bの624a、624bとして示す二つの先端を有し、これらの先端は、ロッド606の貫通を可能にする孔を画定している。別の構成として、RFスティック支持体620は、図6Cに示すように、ロッド606が貫通可能なキャップ630を更に含む。別の構成として、RFスティック支持体620は、J字形RFスティック604を処理チャンバ内に固く支持及び保持するあらゆる形態に構成することができる。
接地フレーム昇降部材614は基板支持アセンブリ130の底面に取り付けられて、シャドウフレーム133に取り付けられたRF接地フレーム618を支持する。RFストラップ616は、接地フレーム昇降部材614とチャンバ底部との間に配置される。処理中、接地フレーム昇降部材614がRF接地フレーム618を支持して、シャドウフレーム133からRF接地フレーム618、接地フレーム昇降部材614を通り、更にRFストラップ616からチャンバ底部に至るRF復路を形成する。処理後、図6Dに示すように、基板支持アセンブリ130を基板搬出入位置まで下降させると、基板支持アセンブリ130に取り付けられる接地フレーム昇降部材614は、基板支持アセンブリ130の移動とともに下降する。RFストラップ616は柔軟に曲がるので、基板支持アセンブリ130の作動及び移動を吸収する。基板支持アセンブリ130を下降させるとき、シャドウフレーム133及びRF接地フレーム618は、J字形RFスティック604によって、且つチャンバ壁126に取り付けられたRFスティック支持体620を介して、固く不動に保持されて、シャドウフレーム133及びRF接地フレーム618を基板支持アセンブリ130から分離し、基板を処理チャンバから容易に取り出すことができる。
図7は、処理チャンバ内に配置される基板支持アセンブリ130の上面図を示している。シャドウフレーム133は、基板支持アセンブリ130の周辺領域に配置される。複数のRFスティック支持体620がチャンバ壁126と基板支持アセンブリ130との間に配置される。RFスティック支持体620は、スリットバルブ108を有するチャンバ壁126と基板支持アセンブリ130との間に画定される領域702を除いて、基板支持アセンブリ130の周辺領域の周りに配置される。RFスティック支持体620を、スリットバルブ108を有するチャンバ壁126と基板支持アセンブリ130との間の領域702に配置すると、処理チャンバに進入して基板を搬送するロボットの動きの邪魔になる可能性がある。したがって、RFスティック支持体620は、基板支持アセンブリ130の周辺に沿った他の三つの辺706、704、708に配置されるように構成される。
図8は、基板支持アセンブリの下に配置されてチャンバ底部104にまで達する接地ストラップの形態のRF復路802を有するチャンバ800を示している。RF復路802の機能は、図1〜7を参照して上述したRF復路と同様とすることができる。図9は、本発明の別の実施形態によるチャンバ900を示している。一又は複数のRF復路902の一方の端部は、基板支持アセンブリ130の底面904に接続されており、他方の端部はチャンバ900の側壁126に接続されている。RF復路902は、図8のチャンバ内に示すRF復路802よりも短く、これにより、バッキングプレート112及び拡散器110から供給されるRF電力のエネルギーのインダクタンスに利用されうるRF復路902の表面積が縮小する。このように、RF復路902を短くすることにより、エネルギーのインダクタンスが小さくなり、基板支持アセンブリ130の下方に集中するエネルギーが小さくなる。したがって、RF復路902を短くすることにより、低インピーダンスを実現することができ、これにより、チャンバ構成部品間の高電位を低下させながらRF電流を効果的に流すことができる。
図10は、本発明の別の実施形態によるチャンバ1000を示している。チャンバ1000は、チャンバ1000内に配置された一又は複数のRF復路902を含む。この実施形態では、フレーム1002は、基板支持アセンブリ130の下面904及び/又は外周に接続される上辺と、RF復路902の一方の端部に接続される下辺とを有することができる。フレーム1002は、基板支持アセンブリ130から外に向かって延出し、チャンバ1000の側壁126に極めて近接している。更に、RF復路902は、基板支持アセンブリ130にフレーム1002を介して接続される。
フレーム1002は、側壁126との間の距離を短くすることができ、これにより、基板支持アセンブリ130と側壁126とのアーク放電距離を短くすることができる。更に、RF復路をより短くすることにより、上述のように、エネルギーのインダクタンスを小さくし、且つ基板支持アセンブリ130の下方に集中するエネルギーを小さくすることができる。
図11は、本発明の別の実施形態によるチャンバ1100を示している。バッキングプレート112及び/又は拡散器110は、一又は複数の導電性リード線1104を含む分割導体1110を介して、RF電源112と同様のRF電源1116に接続される。RF電源1116が中心支持体116を介してチャンバ1100に接続される実施形態では、拡散器110又はバッキングプレート112に連結されるRF電力は、必要に応じて除去又は排除することができる。一又は複数の導電性リード線1104は、エネルギーを、バッキングプレート112の外周の周りの多数の接続点1106、1108でバッキングプレート112に接続されるRF電源1116から供給する。基板支持アセンブリ130は、図8で説明したように、一又は複数のRF復路802を介してチャンバボディ102に接続される。この実施形態では、導電性リード線1104の各々は、バッキングプレート112の寸法の略半分に亘る長さを有する。シールド1102は導電性リード線1104の長さに沿って設けられ、この長さに沿ってRF電源1116からバッキングプレート112に伝送されるエネルギーのインダクタンスを小さくする。シールド1102は、導電性リード線1104の大部分の周りに配置される管状部材として示されている。シールド1102は、導電性リード線1104とバッキングプレート112との間で導電性リード線1104の長さに沿って伝送されるエネルギーのインダクタンスをより小さくすることができ、これにより、導電性リード線1104とバッキングプレート112との接続点に向かうエネルギーが効果的に遮断される。
バルブ108が位置する側壁126に形成されて取り付けられる、図1〜11を参照して上述したRF復路(すなわち、ストラップ)は、バルブ108の周縁部を越えて延在し、バルブ108から堆積物又はパーティクルが進入することを防止していることに注目されたい。チャンバの他の三辺に位置する側壁126では、RF復路(すなわち、ストラップ)を個々に形成することができ、互いに離間して配置することで、チャンバのガス流れ効率及びガス排気効率を向上させることができる。
このように、プラズマ処理チャンバ内において、基板支持体又はシャドウフレームをチャンバ側壁に接続する低インピーダンスRF復路を有する方法及び装置が提供される。有利には、低インピーダンスRF復路は、大きな電流を流す能力を提供する。基板表面全体に亘るプラズマ分布の不均一性がほとんど無くなり、したがって、基板の側面、又は基板支持アセンブリの下方への望ましくない堆積が低減される。
本明細書の記述は本発明の好適な実施形態に関して為されているが、本発明の基本的範囲から逸脱せずに本発明の他の実施形態及び別の実施形態を想到することができ、本発明の範囲は特許請求の範囲によって規定される。

Claims (15)

  1. チャンバ側壁と、底部と、前記チャンバ側壁により支持される蓋アセンブリとを有して処理領域を画定するチャンバボディと、
    前記チャンバボディの前記処理領域内に配置される基板支持アセンブリと、
    前記基板支持アセンブリの周縁部に配置されるシャドウフレームと、
    前記シャドウフレームに接続される第1端部と、前記チャンバ側壁に接続される第2端部とを有するRF復路であって、当該RF復路の前記第2端部は前記チャンバ側壁に容量結合され、前記RF復路の前記第2端部は絶縁体の中に挟まれる、RF復路と
    を備える、処理チャンバ。
  2. 前記RF復路が可撓性のアルミニウム製ストラップを含む、請求項1に記載の処理チャンバ。
  3. 前記絶縁体はDC電流が前記RF復路を通って前記チャンバ側壁に流れるのを防止する、請求項1に記載の処理チャンバ。
  4. 前記絶縁体がセラミックであり、締結部材により前記チャンバ側壁及びRF復路に取り付けられる、請求項3に記載の処理チャンバ。
  5. 前記セラミック絶縁体及び前記RF復路の前記第2端部を被覆する誘電体カバーを更に備える、請求項4に記載の処理チャンバ。
  6. 前記シャドウフレームと前記基板支持アセンブリとの間に配置されるセラミック絶縁体を更に備える、請求項1に記載の処理チャンバ。
  7. 前記チャンバ側壁に取り付けられ、且つ前記基板支持アセンブリが基板搬出入位置にあるときに前記シャドウフレームを支持するように配置されるシャドウフレーム支持体を更に備える、請求項1に記載の処理チャンバ。
  8. チャンバ側壁と、底部と、前記チャンバ側壁により支持される蓋アセンブリとを有して、処理チャンバ内に処理領域を画定するチャンバボディと、
    前記チャンバボディの前記処理領域内に配置される基板支持アセンブリと、
    前記基板支持アセンブリの底面に取り付けられて、前記基板支持アセンブリの外周から外に向かって延在する延長ブロックと、
    前記処理チャンバ内に配置されて、前記基板支持アセンブリが上昇位置にあるときに前記延長ブロックに係合するサイズを有する接地フレームと、
    前記接地フレームに接続される第1端部と、前記チャンバ側壁に接続される第2端部とを有するRF復路と
    を備える、処理チャンバ。
  9. 前記処理チャンバ内において前記接地フレームの下方に配置される側部ポンピングシールドを更に備える、請求項8に記載の処理チャンバ。
  10. 前記接地フレームが、前記延長ブロックに係合する第1側方部と、前記側部ポンピングシールド上に配置される第2側方部とを有している、請求項に記載の処理チャンバ。
  11. 前記接地フレームと前記側部ポンピングシールドとの間に、前記基板支持アセンブリが上昇位置にあるときに前記接地フレームが前記延長ブロックにより支持される場合に画定されるギャップを更に備える、請求項9に記載の処理チャンバ。
  12. 前記延長ブロックの上面の、前記基板支持アセンブリの外側に配置される渦巻き型ラップを更に備える、請求項8に記載の処理チャンバ。
  13. 前記基板支持アセンブリの周縁部に配置されるシャドウフレームと前記基板支持アセンブリとの間に配置される絶縁体を更に備える、請求項9に記載の処理チャンバ。
  14. チャンバ側壁と、底部と、前記チャンバ側壁により支持される蓋アセンブリとを有して処理領域を画定するチャンバボディと、
    前記チャンバボディの前記処理領域内において、第1位置と第2位置との間を移動可能に配置される基板支持アセンブリと、
    前記基板支持アセンブリの周縁部に近接配置されるシャドウフレームと、
    前記チャンバボディに接続されて、前記基板支持アセンブリが前記第2位置にあるときに前記シャドウフレームを支持するサイズを有するシャドウフレーム支持体と、
    前記シャドウフレームに接続される第1端部と、前記チャンバ側壁に接続される第2端部とを有するRF復路と、
    DC電流が、RF復路を通って前記チャンバ側壁に達するのを防止する第1絶縁体であって、前記RF復路の前記第2端部は前記第1絶縁体の中に挟まれて前記チャンバ側壁に容量結合される、第1絶縁体と
    を備える、処理チャンバ。
  15. 前記シャドウフレームと前記基板支持アセンブリとの間に配置された第2絶縁体を備える、請求項14に記載の処理チャンバ。
JP2011531218A 2008-10-09 2009-10-09 大型プラズマ処理チャンバのrf復路 Active JP5683469B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US10425408P 2008-10-09 2008-10-09
US61/104,254 2008-10-09
US11474708P 2008-11-14 2008-11-14
US61/114,747 2008-11-14
PCT/US2009/060230 WO2010042860A2 (en) 2008-10-09 2009-10-09 Rf return path for large plasma processing chamber

Publications (3)

Publication Number Publication Date
JP2012505313A JP2012505313A (ja) 2012-03-01
JP2012505313A5 JP2012505313A5 (ja) 2014-05-22
JP5683469B2 true JP5683469B2 (ja) 2015-03-11

Family

ID=42097738

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011531218A Active JP5683469B2 (ja) 2008-10-09 2009-10-09 大型プラズマ処理チャンバのrf復路

Country Status (6)

Country Link
US (1) US20100089319A1 (ja)
JP (1) JP5683469B2 (ja)
KR (1) KR101641130B1 (ja)
CN (1) CN102177769B (ja)
TW (1) TWI495402B (ja)
WO (1) WO2010042860A2 (ja)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7972470B2 (en) * 2007-05-03 2011-07-05 Applied Materials, Inc. Asymmetric grounding of rectangular susceptor
US8251009B2 (en) * 2008-05-14 2012-08-28 Applied Materials, Inc. Shadow frame having alignment inserts
KR101617781B1 (ko) * 2009-02-13 2016-05-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 챔버 전극을 위한 rf 버스 및 rf 리턴 버스
CN202888133U (zh) * 2009-09-29 2013-04-17 应用材料公司 用于将射频功率耦合到等离子体腔室的装置
JP5721132B2 (ja) 2009-12-10 2015-05-20 オルボテック エルティ ソラー,エルエルシー 真空処理装置用シャワーヘッド・アセンブリ及び真空処理装置用シャワーヘッド・アセンブリを真空処理チャンバに締結する方法
JP5591585B2 (ja) * 2010-05-17 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置
US20120267049A1 (en) * 2011-04-25 2012-10-25 Craig Lyle Stevens Grounding assembly for vacuum processing apparatus
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
ITTO20110726A1 (it) * 2011-08-04 2013-02-05 Pramac Swiss S A Perfezionamenti nelle camere di reazione per la deposizione di film sottili, particolarmente per la produzione di moduli fotovoltaici
WO2013078420A2 (en) * 2011-11-24 2013-05-30 Lam Research Corporation Symmetric rf return path liner
US8847495B2 (en) * 2011-11-29 2014-09-30 Lam Research Corporation Movable grounding arrangements in a plasma processing chamber and methods therefor
CN204375716U (zh) * 2012-03-05 2015-06-03 应用材料公司 遮蔽框、基板支撑件以及等离子体增强型化学气相沉积设备
US9230779B2 (en) * 2012-03-19 2016-01-05 Lam Research Corporation Methods and apparatus for correcting for non-uniformity in a plasma processing system
US8911588B2 (en) * 2012-03-19 2014-12-16 Lam Research Corporation Methods and apparatus for selectively modifying RF current paths in a plasma processing system
US9340866B2 (en) * 2012-03-30 2016-05-17 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
CN103456591B (zh) * 2012-05-31 2016-04-06 中微半导体设备(上海)有限公司 自动频率调谐源和偏置射频电源的电感耦合等离子处理室
US9245720B2 (en) * 2012-06-12 2016-01-26 Lam Research Corporation Methods and apparatus for detecting azimuthal non-uniformity in a plasma processing system
JP6306030B2 (ja) * 2012-10-18 2018-04-04 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シャドーフレームサポート
TWM464809U (zh) * 2012-10-20 2013-11-01 Applied Materials Inc 聚焦環節段與元件
KR102086549B1 (ko) * 2013-05-06 2020-03-10 삼성디스플레이 주식회사 증착원 어셈블리
JP2017504955A (ja) 2013-11-06 2017-02-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Dcバイアス変調による、粒子発生抑制装置
KR102363241B1 (ko) 2015-03-27 2022-02-16 삼성전자주식회사 플라즈마 강화 화학기상 증착 장비 및 그 동작 방법
JP6670697B2 (ja) * 2016-04-28 2020-03-25 東京エレクトロン株式会社 プラズマ処理装置
KR102142557B1 (ko) * 2016-06-21 2020-08-07 어플라이드 머티어리얼스, 인코포레이티드 Rf 리턴 스트랩 차폐 커버
WO2017221829A1 (ja) * 2016-06-22 2017-12-28 株式会社アルバック プラズマ処理装置
KR102399343B1 (ko) * 2017-05-29 2022-05-19 삼성디스플레이 주식회사 화학기상 증착장치
US20190043698A1 (en) * 2017-08-03 2019-02-07 Applied Materials, Inc. Electrostatic shield for substrate support
CN108103473B (zh) * 2017-12-18 2020-04-24 沈阳拓荆科技有限公司 用于半导体处理腔体的遮蔽装置及其使用方法
US10923327B2 (en) * 2018-08-01 2021-02-16 Applied Materials, Inc. Chamber liner
US10790466B2 (en) * 2018-12-11 2020-09-29 Feng-wen Yen In-line system for mass production of organic optoelectronic device and manufacturing method using the same system
WO2020242817A1 (en) * 2019-05-30 2020-12-03 Applied Materials, Inc. Atomic layer deposition reactor design for uniform flow distribution
JP2022542393A (ja) * 2019-08-02 2022-10-03 アプライド マテリアルズ インコーポレイテッド 高周波電力リターン経路
CN112447475B (zh) * 2019-09-05 2023-09-29 中微半导体设备(上海)股份有限公司 一种具有柔性电介质薄片的等离子体处理装置
WO2021061123A1 (en) 2019-09-26 2021-04-01 Applied Materials, Inc. Support bracket apparatus and methods for substrate processing
US11335543B2 (en) * 2020-03-25 2022-05-17 Applied Materials, Inc. RF return path for reduction of parasitic plasma
US20230243035A1 (en) * 2022-01-28 2023-08-03 Applied Materials, Inc. Ground return for thin film formation using plasma

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US549632A (en) * 1895-11-12 Machine
US3760342A (en) * 1971-09-17 1973-09-18 Essex International Inc Terminal construction for electrical conductors
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5380566A (en) * 1993-06-21 1995-01-10 Applied Materials, Inc. Method of limiting sticking of body to susceptor in a deposition treatment
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5900062A (en) * 1995-12-28 1999-05-04 Applied Materials, Inc. Lift pin for dechucking substrates
US6012600A (en) * 1996-02-02 2000-01-11 Applied Materials, Inc. Pressure responsive clamp for a processing chamber
US6345589B1 (en) * 1996-03-29 2002-02-12 Applied Materials, Inc. Method and apparatus for forming a borophosphosilicate film
US5764471A (en) * 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US6254746B1 (en) * 1996-05-09 2001-07-03 Applied Materials, Inc. Recessed coil for generating a plasma
US5900064A (en) * 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5894400A (en) * 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
US6057235A (en) * 1997-09-15 2000-05-02 Micron Technology, Inc. Method for reducing surface charge on semiconducter wafers to prevent arcing during plasma deposition
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
EP1073779A4 (en) * 1998-04-13 2007-05-30 Tokyo Electron Ltd IMPEDANCE CHAMBER REDUCED
US6221221B1 (en) * 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
US6349670B1 (en) * 1998-11-30 2002-02-26 Alps Electric Co., Ltd. Plasma treatment equipment
US6531030B1 (en) * 2000-03-31 2003-03-11 Lam Research Corp. Inductively coupled plasma etching apparatus
US6779481B2 (en) * 2000-04-27 2004-08-24 Tokyo Electron Limited Electrical coupling between chamber parts in electronic device processing equipment
US6857387B1 (en) * 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
US6364958B1 (en) * 2000-05-24 2002-04-02 Applied Materials, Inc. Plasma assisted semiconductor substrate processing chamber having a plurality of ground path bridges
JP2001338914A (ja) * 2000-05-30 2001-12-07 Tokyo Electron Ltd ガス導入機構およびガス導入方法、ガスリーク検出方法、ならびに真空処理装置
EP1174910A3 (en) * 2000-07-20 2010-01-06 Applied Materials, Inc. Method and apparatus for dechucking a substrate
US7202690B2 (en) * 2001-02-19 2007-04-10 Nidec-Read Corporation Substrate inspection device and substrate inspecting method
US6770166B1 (en) * 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US20030236004A1 (en) * 2002-06-24 2003-12-25 Applied Materials, Inc. Dechucking with N2/O2 plasma
US7083702B2 (en) * 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
JP4831803B2 (ja) * 2003-11-19 2011-12-07 三菱重工業株式会社 基板処理装置
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7375946B2 (en) * 2004-08-16 2008-05-20 Applied Materials, Inc. Method and apparatus for dechucking a substrate
US7534301B2 (en) * 2004-09-21 2009-05-19 Applied Materials, Inc. RF grounding of cathode in process chamber
US20060172536A1 (en) * 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
US20070012558A1 (en) * 2005-07-13 2007-01-18 Applied Materials, Inc. Magnetron sputtering system for large-area substrates
US8381677B2 (en) * 2006-12-20 2013-02-26 Applied Materials, Inc. Prevention of film deposition on PECVD process chamber wall
US7968469B2 (en) * 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
JP4887202B2 (ja) * 2007-04-17 2012-02-29 東京エレクトロン株式会社 プラズマ処理装置及び高周波電流の短絡回路

Also Published As

Publication number Publication date
KR20110069854A (ko) 2011-06-23
TWI495402B (zh) 2015-08-01
KR101641130B1 (ko) 2016-07-20
CN102177769B (zh) 2016-02-03
WO2010042860A3 (en) 2010-07-15
US20100089319A1 (en) 2010-04-15
WO2010042860A2 (en) 2010-04-15
TW201031284A (en) 2010-08-16
CN102177769A (zh) 2011-09-07
JP2012505313A (ja) 2012-03-01

Similar Documents

Publication Publication Date Title
JP5683469B2 (ja) 大型プラズマ処理チャンバのrf復路
JP5554705B2 (ja) 基材処理のための方法および装置
KR101593460B1 (ko) 플라즈마 프로세스를 위한 접지 귀환
KR100938635B1 (ko) 반경 방향 플라즈마 분포에 대한 개선된 자기 제어를 위한플라즈마 제한 배플 및 유동비 이퀄라이저
KR101155837B1 (ko) 기판 프로세싱용 에지 링 배열
KR102242988B1 (ko) 플라즈마 처리장치
US8691047B2 (en) Large area plasma processing chamber with at-electrode RF matching
US20080202689A1 (en) Plasma processing apparatus
US20090126634A1 (en) Plasma processing apparatus
CN102822383B (zh) 抗弧零电场板
EP1928017B1 (en) Plasma reactor substrate mounting surface texturing
JP2012230900A (ja) 真空処理装置用の接地アセンブリ
JP2021064695A (ja) 基板処理装置及び基板処理方法
KR102380156B1 (ko) 플라즈마 화학 기상 증착 장치
CN114008755A (zh) 接地带组件
US11488804B2 (en) Shower head assembly and plasma processing apparatus having the same
JP6727338B2 (ja) 非シャドウフレーム式プラズマ処理チャンバ
CN110396664B (zh) 接地环、腔室以及物理气相沉积设备
US20230059495A1 (en) Optimization of Radiofrequency Signal Ground Return in Plasma Processing System
JP2023530081A (ja) 高周波接地システム及び方法
JP7492900B2 (ja) プラズマ処理装置
US11984306B2 (en) Plasma chamber and chamber component cleaning methods
JPH05144595A (ja) プラズマ処理装置
TW202228185A (zh) 電漿蝕刻設備
JPH0878346A (ja) プラズマ成膜装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20121002

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131031

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131105

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140204

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140212

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140303

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140310

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20140403

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140715

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141008

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141216

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150113

R150 Certificate of patent or registration of utility model

Ref document number: 5683469

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250