CN102122609A - 自动排序的多向流水线处理设备 - Google Patents

自动排序的多向流水线处理设备 Download PDF

Info

Publication number
CN102122609A
CN102122609A CN2010106250479A CN201010625047A CN102122609A CN 102122609 A CN102122609 A CN 102122609A CN 2010106250479 A CN2010106250479 A CN 2010106250479A CN 201010625047 A CN201010625047 A CN 201010625047A CN 102122609 A CN102122609 A CN 102122609A
Authority
CN
China
Prior art keywords
substrate
prechamber
chamber
pedestal
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010106250479A
Other languages
English (en)
Other versions
CN102122609B (zh
Inventor
W·T·布洛尼甘
十岛正人
K·S·洛
D·E·伯克斯特里瑟
S·克莱因克
C·L·史蒂文斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
Orbotech LT Solar LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Orbotech LT Solar LLC filed Critical Orbotech LT Solar LLC
Publication of CN102122609A publication Critical patent/CN102122609A/zh
Application granted granted Critical
Publication of CN102122609B publication Critical patent/CN102122609B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining
    • Y10T29/49947Assembling or joining by applying separate fastener
    • Y10T29/49948Multipart cooperating fastener [e.g., bolt and nut]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Quality & Reliability (AREA)
  • Theoretical Computer Science (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Measuring Or Testing Involving Enzymes Or Micro-Organisms (AREA)
  • Automatic Analysis And Handling Materials Therefor (AREA)
  • Apparatus Associated With Microorganisms And Enzymes (AREA)

Abstract

一种用于同时处理几个衬底的设备和方法。所述系统采用新的架构,其在为线性时,可自主地排序处理并且如有需要在不同的方向上移动衬底。所述系统同时移动几个衬底;然而,与现有技术不同的是所述系统不利用托盘。

Description

自动排序的多向流水线处理设备
技术领域
本发明涉及用于在清洁环境中处理诸如用于半导体、太阳能电池和其它应用的硅晶圆的衬底的系统架构、设备以及的方法。所述清洁环境可以是在真空或大气压下。所述系统也可以与诸如用于LCD和太阳能应用的玻璃、用于薄膜太阳能应用的不锈钢衬底等的其它衬底一起使用。
背景技术
可以将光伏(PV)太阳能电池工业大致分为两部分:薄膜基和硅晶圆基PV电池。随着近来对太阳能面板的需求量猛增,当前正在对各种系统进行开发,以使得能够实现在硅晶圆和薄膜两者表现形式中各种类型的太阳能电池的高产量制造。
当前技术用于制造半导体晶圆的系统通常利用围绕其安装有几个处理室的主机(mainframe)。这种主机保持在真空中并且装有机械臂。机械臂经由预真空室(loadlock)移动单个晶圆进出每个处理室以及移出主机。已使用了相同的架构来制造用于平板显示器的面板,尽管对于平板显示器衬底来说,主机和处理室要大的多。近来,已经修改了这种平板制造系统,以制造薄膜太阳能电池,尽管只是有限的成功。开发用于薄膜的另一系统是卷对卷(roll-to-roll)系统,其中从一个卷轴提供柔性衬底,经过制造系统,并在另一侧收集进入绕线轴上。
系统架构的另一种形式是线性传送系统。对于薄膜,这些系统通常在滚轴上以线性方式移动大玻璃衬底,从一端作为纯净玻璃进入系统,并作为制造的太阳能电池在另一端离开系统。另一方面,对于硅基制造,该线性系统移动托盘,托盘上放置了多个硅晶圆。该托盘以线性方式从一个室移动到另一个室,使得在每个室中同时处理在单个托盘上的许多硅晶圆,例如,64个125mm×125mm的衬底。该托盘从系统一侧进入并从另一侧出来,然后需要被带回到入口一侧,例如,利用设置在一系列制造室下面的传送系统。
主机构架的优点之一是如果一个室发生故障或需要中断,系统仍然可以利用剩余的室继续操作。另外,系统是模块化的,使得用户可以根据其产量要求或其它考虑因素,运行具有任意数量的处理室的系统。相反地,在线性构架中,当一个室发生故障时,整个系统就会中断并且不能使用。同样地,线性系统不是模块化的,因为一旦系统建立起来,处理室的数目就不容易改变。
线性系统的优点之一是能够以高产量处理衬底。也就是说,衬底直接从一个处理室移动到下一个处理室,在处理中间没有主机机械人的操作开销。相反地,在主机架构中,在一个室中每次完成处理,衬底都必须由机械臂拾取并移动到另一个室,这增加了传送开销并降低了产量。而且,对于没有用托盘移动晶圆的系统,晶圆的破损可能导致中断整个系统以用于清洗和恢复。如果托盘能够保持破碎的晶圆并将碎片带出系统,则使用托盘的系统就可以避免这种问题。
随着太阳能电池制造系统的要求持续增加,需要一种架构,其能够利用线性系统的产量优势,还能提供主机架构的灵活性。
发明内容
本发明的各实施例提供了一种独特的线性系统架构,其能够处理各种尺寸的硅晶圆,并且具有高产量。系统的各实施例提供用来以高精度和高产量同时处理几个衬底、同时能够检测和恢复破损的衬底的设备和方法。该系统使用新颖的架构,虽然是线性的,但是其可以自主地排序处理,并且根据需要可以在不同的方向上移动衬底。该系统同时移动几个衬底;然而,与现有技术不同,其不使用托盘。而且,该系统还可用于处理各种材料构成的单个大衬底。
根据主题发明的各实施例,提供了一种线性系统,其可以用于在一侧或两侧上处理晶圆。该系统能够在一侧上处理晶圆,倒装该晶圆,然后利用针对晶圆的每个单独侧相同或不同的工艺方法处理另一侧。
根据主题发明的各实施例,提供了一种线性架构,其可以从系统的两侧或任一侧馈送晶圆。该系统自主地对其处理和晶圆传送进行排序,以便其连续操作和处理晶圆,而与晶圆是从一侧还是两侧馈送的无关。
根据本发明的实施例,提供了一种计算机化的方法,该方法是在用于双负载处理系统的自动排序操作的控制器中执行的,其中真空处理系统包括具有两个加载端口的真空处理室和两个预真空室,每个预真空室经由真空阀耦合到两个端口之一,其中所述方法如下进行:当将新的工件引入到一个预真空室时,在那个预真空室启动真空;当在一个预真空室实现所期望的真空水平时,将准备信号发送给控制器,表明预真空室为工件交换做好准备;当在真空处理室中完成处理时,使控制器来确定哪个预真空室发送准备信号并且启动与那个预真空室的工件交换。在这一点上,工件可以指一个大衬底,例如用于制造平板显示器或太阳电池板的玻璃衬底,保持诸如硅晶圆的多个衬底的托盘,或者保持诸如硅晶圆的多个衬底的支架(hanger)。
根据这里描述的各实施例的描述,本发明的其它方面和特征将变得显而易见,并且其都在所附权利要求中所要求保护的本发明的范围和精神内。
附图说明
图1是描述根据本发明实施例的系统架构的主要部件的概括示意图,其示出了双向衬底流。
图2是描述根据本发明实施例的系统架构的主要部件的概括示意图,其示出了回路衬底流。
图3是描述根据本发明实施例的系统架构的主要部件的概括示意图,其示出了具有衬底倒装功能的回路衬底流。
图3A是示出了揭示本发明的自动排序特征的简化工艺流程的流程图。
图4.1-4.66是示出了根据本发明实施例的工艺序列的示意图。
图5示出了根据本发明实施例的下支架的顶视图。
图6示出了根据本发明实施例的上支架。
图7示出了根据本发明实施例的上支架的细节。
图8示出了根据本发明实施例的基座移走(susceptor removal)特征。
图9是示出根据本发明的实施例,具有上支架和下支架的预真空室组件的示意图。
图10-12示出了根据本发明实施例的衬底倒装或倒置组件的实施例。
图13-19示出了处于各个加载/卸载位置的处理室的截面图。
图20-21示出了处于各个基座加载/卸载位置的处理室的截面图。
图22示出了处理室的截面图,其示出了根据本发明实施例的对准特征。
图23示出了根据本发明的基座的实施例。
图24示出了可以在本发明的各实施例的加载室中使用的托盘。
图25示出了能够检测处理室内部的晶圆破损的本发明的另一特征。
这里参考附图中例示的特定实施例来描述本发明。然而,应该理解的是,附图中描述的各实施例仅仅是示例性的,并且本发明可以不限于所附权利要求中所限定的实施例。
具体实施方式
本发明的各实施例提供了用于制造例如用于半导体集成电路、太阳能电池、平板显示器、LED和其它应用的衬底的设备和方法。系统架构对于硅-衬底太阳能电池的制造尤其有利,因此,出于例示的目的将参考这些应用来描述。该系统自主地对衬底的传送和制造进行排序,在系统馈送中提供灵活性。该特征将首先参考图1-3概括解释。在下面将进一步伴随着更详细的解释。
在图1中,单个处理室100位于线性系统的中央。在该实施例中,处理室是例如PECVD处理室的等离子体处理室。在室100的每一侧上设置一个真空阀102、104。在室100的一侧设置预真空室110,并且在室100的另一侧设置类似的预真空室115。在预真空室110的入口处设置真空阀112,并且在预真空室115的入口侧设置类似的阀114。在预真空室110的入口侧设置加载室120,并且在预真空室115的入口侧设置加载室125。箭头标记L表明从系统的左侧馈送的晶圆流,而箭头标记R表明从系统的右侧馈送的晶圆流。
现在将更详细地描述图1中示出的晶圆流,从系统的右侧加载的晶圆开始。将具有衬底的托盘加载至加载室120中。例如,所述托盘可以承载以二维阵列排列的64个衬底。在加载室120中,晶圆从托盘移走并且加载到晶圆支架上(虽然其在图1中没有示出,但是将在后面描述)。支架移动至预真空室110中,然后关闭阀112。然后在预真空室110中抽真空。一旦达到适当的真空水平,阀102打开并且晶圆支架移动到处理室100中,其中将晶圆从晶圆支架移走并且放置到基座上。然后晶圆支架从处理室100移走,回到预真空室110,并且阀102关闭。然后对处理室进行激励以处理位于该室中的衬底。
其间,与上述处理同时发生的,如上所述加载在支架上的、装满新衬底的晶圆支架,从加载室125传送到预真空室115,并在预真空室115中抽真空。预真空室115还具有其它的晶圆支架,其是空的(如预真空室110那样的),位于分离的水平面上,定义为上和下晶圆支架。当室100中的处理完成后,阀104打开,并且两个晶圆支架都从预真空室115移动到室100中。下支架从预真空室115移动进入室100,以收集处理过的衬底,并且上支架从预真空室115移动进入室100,以存放在室100中用以处理的新衬底。当两个支架都移动回到预真空室115时,阀104关闭,并且对室100进行激励以用于处理新晶圆,并且使预真空室115通风以达到大气压。然后阀114打开,上支架移动到加载室125中,以将新衬底加载到上支架上,然后下支架移动到加载室125以卸载处理过的衬底。应该注意,上支架和下支架的功能可以交替,下支架用于新衬底,而上支架用于处理过的衬底,然而这里描述的结构提供较高的系统产量。
应该意识到,从右侧加载至系统的衬底将在系统的左侧被移走,从而以线性方式进行操作。相反地,从左侧加载的衬底将从其右侧被移走,从而也能对这些衬底以线性方式进行操作。因此,所公开的系统实际上是双向线性系统。这种架构允许充分利用处理室,以便加载和卸载晶圆的机械不成为限制因素,就像其处在主机系统中一样。同样地,如下面将要描述的,在上支架和下支架的每次移动期间,都设置传感器以识别每个衬底位置处衬底的存在或不存在,并识别每个支架的行程和位置,以便可以自主地保持系统的操作。
虽然图1示出了从两侧馈送的系统的操作,但是在仅从一侧馈送时该系统也能够操作。例如,系统可以购买为没有预真空室110、阀112和加载室120。相反地,在需要服务时,其可以是预真空室110、阀112和加载室120中的任一个,或者由于某种原因系统的右侧处于空闲。如将在后面所说明的,系统自动检测没有衬底被加载到右侧并且以图2所示的方式独立操作。也就是说,承载衬底的托盘加载到加载室125中。在加载室125中,晶圆从托盘移走并加载到上支架上。该支架移动到预真空室115中,然后关闭阀114。然后在预真空室115和处理室100中抽真空。阀104打开,然后上支架移动到处理室100中,其中晶圆从上支架移走并且被放置到基座上。然后上支架从处理室100中移走,阀104关闭。然后对处理室进行激励以处理位于该室中的衬底。当完成处理时,阀104。如将在后面描述的,预真空室115具有已经加载有要被处理的衬底的上支架。其还具有为空的下支架。下支架从预真空室115移动到室100中,以收集处理过的衬底,并且上支架从预真空室115移动到室100中,以存放在室100中处理的衬底。当两个支架移动返回到预真空室115中时,阀104关闭,对室100进行激励以用于处理,并且对预真空室115进行通风以达到大气压。阀114打开并且两个支架移动到加载室125中,以从下支架移走处理过的衬底,并将新的衬底加载到上支架上。可以意识到,在本示例中,从左侧加载至系统的衬底也从系统的左侧移走,从而以线性回路方式进行操作。
应该意识到,在图1和2示出的示例中,仅在一侧处理衬底。图3示出了利用该系统在两侧处理衬底的示例。除了一个加载室之外,图3的系统与图1和2的系统类似,这里加载室120安装有晶圆倒装机构以形成倒装室130,其可以是有空气或基于真空的。在该系统中,承载衬底的托盘加载到加载室125中。在加载室125中,晶圆从托盘上移走并且加载到上支架上。上支架移动到预真空室115中,然后关闭阀114。然后在预真空室115和处理室100中抽真空。阀104打开,然后上支架移动到处理室100中,其中晶圆从上支架移走并且放置到基座上。然后上支架从处理室100移走,阀104关闭。然后对处理室进行激励以处理位于该室中的衬底。当处理完成时,阀102打开。如将在后面所说明的,预真空室110预先已被抽为真空,并且已经具有加载有要被处理的倒装衬底的上支架。其还具有为空的下支架。下支架从预真空室110移动到室100中以收集处理过的衬底,并且上支架从预真空室110移动到室100中以存放用于在室100中处理的倒装衬底。当两个支架都移动回到预真空室110时,阀102关闭,对室100进行激励以用于处理,并且预真空室110通风达到大气压。阀112打开,并且两个支架都移动到倒装室120中,以从下支架移走处理过的衬底,倒装它们,并且将它们加载回(但是被倒装)到上支架上。在下一个循环中,上支架将被移动到处理室100中,以便处理衬底的另一侧。然后将从系统的左侧移走衬底,在加载室125中。应该意识到,在本示例中,从左侧加载至系统的衬底也从系统的左侧移走,从而以线性回路方式进行操作。
图1-3的实施例和这里描述的其它实施例的一个有利特征是系统自动对其操作进行排序。该特征可以从下面的描述中了解到。参考图1,控制器140与系统的各元件进行通信并且操作它们。然而,与常规的系统控制器不同,控制器140不需要具有在其中编程的处理系统的序列以引导整个处理流程。相反地,根据本发明的特征,每个阶段执行其功能,并且向控制器传送任务的完成。然后控制器发送命令以执行下一个任务。例如,当加载室120向控制器传送准备发送用于处理的衬底时,控制器140打开阀112,并且引导移动机构将上支架从加载室120移动到预真空室110。这可以完成,与系统的状态以及由系统的任意其它部分执行的任意其它操作无关。当支架在预真空室110内时,控制器关闭阀112并且将预真空室110抽为真空气压。然后,当室110指示控制器140准备向室100传送新的衬底并且移走处理过的衬底时,控制器140控制打开阀102以使得能够交换衬底。
参考图1的实施例,如果新的衬底被加载到加载室120和125两者中,首先对衬底进行处理取决于预真空室110或115中的哪一个首先向控制器发送信息,指示其处于大气压下,并且准备交换处理过的衬底和新衬底。控制器140不在意哪一个加载室正在进行操作以及加载有衬底。控制器需要知道的全部就是哪一个预真空室准备交换衬底。因此,对于图2,如果右侧加载太慢且预真空室115首先准备好,则预真空室115首先进行衬底的交换,而与处理过的衬底来自哪里无关。而且,如果右手侧持续故障或者甚至从系统中移走,控制器140则完全可以对此不在意。控制器140将简单地准备好仅来自预真空室115的信号,并且将因此对仅来自左侧的衬底进行处理自动排序。
在图3A中示出了略微简单化的处理流程,以示出本发明的自动排序特征。然而,下面提供了更详细的处理示例。重复步骤300直至控制器识别该室完成了在其内的晶圆的处理。在这一点上控制器检查预真空室A还是预真空室B已经准备好,即,已被抽空并且准备传送新的晶圆。如果预真空室A准备好,则在步骤315控制器启动打开使室与预真空室A隔开的真空阀。然后在步骤320控制器激活驱动机构以将上支架和下支架从预真空室A驱动进室中。在步骤325步骤325系统执行晶圆交换以及在步骤330处理器将支架驱赶出室。根据一个实施例,以下列方式执行晶圆交换并且将支架驱赶出室。首先从基座卸载处理过的晶圆并且放置到下支架上,然后将下支架驱赶出室回到预真空室。然后从上支架卸载新晶圆并且放置在基座上,然后控制器再次激活驱动机构以将上支架驱赶出室。在步骤335控制器在处理室中启动晶圆的处理。紧接着进行完全相同的工艺,在步骤310中在预真空室A之前准备好预真空室B。因此,控制器不需要具有预编程的处理次序。在处理完从预真空室A加载的晶圆之后,如果预真空室A准备好,则它们将被卸载到预真空室A,即,最初它们从那加载。另一方面,如果预真空室B准备好,则它们将经由预真空室B卸载,即,卸载到与加载相反的方向。
下面提供了本发明实施例的自动排序特征的进一步说明,进一步描述了系统的各特征。应该意识到,虽然提供了关于系统两侧全面操作的以下说明,但仅用一侧进行操作也可以实现同样的处理。
在图4.1中,处理室400再次示于中心,加载室和预真空室在左右手侧。晶圆放置到加载室420、425中的托盘上,而上支架416、418和下支架417和419“位于”预真空室410和415中。这是系统的初始状态,一旦系统工作,晶圆将一直位于系统内并且在处理室内部进行处理,这一点从下面紧跟着的处理序列的说明将变得很清楚。这确保了以最大的处理能力来利用处理室并且在加载晶圆时不空闲,如同具有主机架构的情形一样。
该实施例的一个特征是由移动和/或引导支架416-419的滚轴的阴影示出。在图4.1中,实线阴影中所示的滚轴是电动滚轴,即,耦合到诸如直接驱动、链条、传动带等的电动机的滚轴。根据一个实施例,同步激励一个水平面的所有电动滚轴。例如,这可以通过使用单个电动机来驱动经由链环、传动带、链条等在一个水平面中的所有电动滚轴来实现。在这种配置中,仅利用两个电动机(例如,参见图9)使整个系统电动化。另一方面,被示出为两个同心圆的滚轴是空闲的滚轴并且没有连接到电动机。如可以看到的,在处理室400内没有设置电动滚轴。这样做目的是避免在等离子体室400内的污染以及在侵略性和高温的位置中可能导致的机械损伤的任何可能性。如下面可以进一步详细阐述的,该特征能够通过在每个支架上设置延伸部413来实现。
在图4.1中示出的另一特征为照相机442和444。在该实施例中,在每个预真空室上设置线性照相机阵列442和444以检验在支架上每个晶圆位置中的晶圆的存在和状态。尽管这里讨论的是照相机,但是也可以使用穿束叉式传感器(thru beam sensor)。如随后将描述的,支架具有在支架处于特定位置时激活照相机的触发器,使得每次在支架被定位成使一行晶圆暴露到线性照相机阵列时拍取图像。当可以使用二维照相机阵列来使整个支架成像时,将需要更多的元件和光学器件。因此,根据来自支架的触发器激活,在该实施例中使用线性阵列以一次仅对一行晶圆成像。处理这些图像以检验每个晶圆在支架上的存在和状态,如下面将进一步解释的。
处理室400装备有升降机构406和被设计用于在处理期间支撑衬底的热处理基座408。升降机构406被设计为从支架和基座408加载/卸载晶圆。在加载室425中设置升降机构426以升高和降低托盘422,以便从托盘加载/卸载晶圆。为加载室420设置类似的布置。在图4.1中描述的特定情形下,示出真空门414和412为打开的,使得加载室和预真空室的大气均衡并且有助于晶圆传送。另一方面,关闭真空门402和404,使得处理室400与大气隔离。
在图4.2中,在将晶圆放置到托盘上之后,升降机构会在称为上部交换的位置使托盘降低到加载室中。在图4.3中,升降机构从托盘升高衬底并且在图4.4中上支架被移动到加载室中,以及在图4.5中降低升降机构以将衬底存放在支架上。在图4.6中,上支架移回到预真空室中,以及在图4.7中关闭加载室和预真空室之间的阀。然后升降机构可以升高托盘以接收更多衬底,如图4.8所示。
如可以看到的,与在整个处理系统中移动托盘的常规线性系统不同,在该实施例中,衬底从托盘移走并且放置到用于传送到处理室中的支架上。托盘仅用于将衬底引导到加载室中,但从不与衬底移进系统中。通过这种方式,可以重新使用托盘而不担心在处理室中引起的污染和损伤。而且,在利用承载衬底的托盘的系统中,存在托盘的加热和冷却的问题。也就是说,对于需要加热衬底的处理,托盘也需要加热,由于它的质量而需要更多的能量。然后,在处理之后,托盘需要冷却,有时需要专门的冷却台或室。这里,由于处理衬底不需要托盘,所以消除了这个问题。最重要地是,在将托盘移动到处理室中的常规线性系统中,条件、制造和先前的存放都能够改变处理性能,因此在该实施例中在处理室中不使用托盘提供了更稳定和可重复的工艺性能以及成品率。
向控制器表明准备好抽真空操作的第一预真空室被抽至真空状态。在该实施例中,使用单个泵并且控制器操作管道系统中的阀来控制哪一个预真空室被抽气。在图4.9中,首先是右手侧预真空室并被抽气。同时,新的衬底可以加载到两侧的托盘上。当在右侧预真空室中实现真空水平时,如图4.10所示打开到室的门或真空门402。同样,现在可以对左侧上的预真空室进行抽气。然而,注意,如果使用两个泵,则可以同时对两个预真空室进行抽气。该实施例中的双向架构允许仅使用1个真空泵服务两个预真空室来实现工具的全输出。
在图4.11中上支架移动到处理室中,并且在图4.12中室内的基座408通过升降机406上升至它的上部加载位置,如图4.13所示。这是本发明的另一有利的特征,其中基座408可以从它的基部上升(未示出)。基部保持加热元件和基座接地硬件,并且如有需要基座可以通过支架移出使用的处理室。在图4.14中升降销(lift pins)409上升以从支架418移走衬底,在图4.15中上支架418移回到预真空室410。在图4.16中上支架418位于预真空室410内并且真空阀402关闭。如将从以下描述意识到的,当衬底经由支架418从右手侧预真空室410馈送到室400中时,支架418返回到预真空室410,而衬底将经由左侧预真空室415从室400移走。
在图4.17中,预真空室410通风,而调节处理室400适于处理压力。而且,降低升降销409以将衬底存放到基座408上。在图4.18中,基座408已被进一步上升至它的处理位置。在图4.19中,在处理室400中执行处理,而右手加载室中的托盘被降低到加载室中。现在可以继续进行将新的衬底引导至上支架以及预真空室中的处理,如图4.20所示。
其间,一旦完成了处理,就将基座移动到它的卸载位置并且将室抽气至它的基准压力,如图4.21和4.22所示。在图4.23中,左侧预真空室被抽气,并且升高室中的升降销以从基座移走衬底。在图4.24中,室左侧上的阀是打开的,以及在图4.25和4.26中上支架和下支架都移动到处理室中。在图4.27中降低升降销以将处理过的衬底存放到下支架上,以及在图4.28中将下支架从室移回到预真空室中。如可以理解的,在该示例中移进系统左侧中的处理过的衬底,实际上从其右侧加载到系统中。
然后将基座移动到它的加载位置,并且利用升降销将新的衬底从上托盘移走并且将它们放置到基座上,图4.29-4.31。然后该序列可以继续处理新加载的衬底,从系统移走处理过的衬底,并将新的衬底加载到系统左侧的上支架上,图4.32-4.47。当完成了处理时,该序列继续卸载处理过的衬底,这一次到达系统右侧(记得这些衬底是从系统左侧加载的),参见图4.48-4.66。
我们现在转向增强其优点和多用性的系统的各元件和特征的描述。图5示出了根据本发明实施例的下支架500的顶视图。在这点上,应该意识到在这里将下支架和上支架描述为一个特定的实施例,但是它们的角色可以对换并且该系统可以设计为从下支架加载新的晶圆并且用上支架移走处理过的晶圆。支架通常包括传动轨道505和510以及用于保持衬底的板/结构515。
如图5所示,孔或窗口520定位于下支架500的板/结构515上,每个衬底位置有一个窗口520。这样做的目的是使得光学系统能够确定衬底是否位于每个位置以及识别有遗漏或损坏的衬底。而且,在放大图中示出传感器窗口以及驱动轨道和用于传感器定时的触发器。触发器能够确定支架在系统内的位置。触发器522用于驱动控制并且是在轨道510中钻的孔的形式。针对每行衬底在轨道510上设置一个触发器524,以使得系统能够定时每行衬底的位置。例如,无论何时系统检测到来自一个触发器524的信号,都操作光学衬底检测系统以检验那一行中的所有位置都有晶圆(参见图4.1)。另外,设置终点触发器526以指示支架轨道的终点的位置。系统的控制器进行系统的温度测量以计算它的热膨胀,其可以是5mm及以上。利用该计算和终点触发器,控制器决定托盘在每个位置移动多少。
图6示出了也被称作上传送载体组件(upper transport carrier assenbly)的上支架。上支架不同于下支架,因为上支架包括用于确保衬底与基座对准的设备。如图6所示,上支架包括两个固定部件600和610,以及定位于轨道615和625之间的一个“浮置”托盘/结构605。上支架还包括用于光学系统的窗口630以检测衬底在每个位置的存在。插图的编号中所示的是截面的细节,示出了升高位置中的浮置托盘605和晶圆座(wafer nest)635。晶圆座将排列的每个晶圆保持在适当的位置,使得当上托盘与基座对准时,每个晶圆都与它在基座上的位置对准。使用支架对准器645使整个上支架在适当位置对准。
图7示出了上支架的细节以示出用于对准的特征。在图7中,支架对准孔740与支架对准销745配合,以使上支架的浮置托盘705与基座750对准。这在托盘传送机构中允许减小的容限。传送机构仅需要带来托盘使得孔740位于对准销可达到的距离范围内,使得当升高基座时,销抓住孔并且居于孔中心,使得浮置托盘705与基座对准。而且,设置衬底座730以将每个衬底准确地放在上支架的浮置部分内。而且图7中示出的是用于使基座750与加热器760对准的基座对准销755。通过这种方式,所有的三个部分,加热器、基座和托盘相互“自动对准”。因此,当晶圆从托盘移走并且放置到基座上时,它们都与它们的适当位置对准。
图8示出了本发明的另一个特征,用于从处理室内移走和更换基座。特别地,在现有技术中没有从室移走基座的设备,而是通过打开室的盖并且手动移走基座。这需要系统脱机,冷却到室温并且打开,“破坏真空”以使室暴露到周围环境并且在替换基座之后需要系统重新加热和稳定以及抽空至真空压力。相反地,根据本发明的特征,可以移走基座而不使系统冷却以及打开室并且将室暴露到周围环境。
如图8所示,在该实施例中的一个支架,在该示例中为下支架800,包括用于从处理室移走基座并且移到加载室外的设备。如上所述,支架800包括具有确保每个衬底准确定位的衬底“座”或“底座”810的多个衬底钩805(出于说明用虚线示出了一个衬底802)。支架800位于滚轴820上,其一些可以被激励以及一些闲置,如关于上述的图4.1所述。加热器850包括基座对准销852和支架对准销854以对准基座和支架,如上面关于图7所述。支架800包括基座钩840,用于钩住和承载基座860。对于该操作,下支架800被引入处理室中,然后加热器850与基座860一起移动到基座移出位置,其中基座与设置在下支架800中的钩840啮合。然后加热器850下降,留下基座860悬挂在钩840上。然后下支架800则可以传送回加载室以便可以移走基座以用于清洗或替换。清洗过的或新的基座可以加载到下支架上,并且下支架可以传送回到室以将新基座存放到加热器上。
图9是示出了根据本发明的实施例具有上和下支架905和907的预真空室组件的示意图。预真空室组件包括具有开口902和开口904的预真空室主体900,开口902被构造成连接到处理室,开口904被构造成连接到加载室。真空门(未示出)将开口902与室分离,门932将开口904与加载室分离并且由驱动器934操作。示出了从主体900移走的盖906,以使得能够观察预真空室组件的内部部分。下支架907具有轨道延伸部909,而上支架905的类似延伸部通过固定托盘908看起来模糊。驱动延伸部使得能够将支架在处理室内外驱动而不必在处理室内提供有源驱动器以避免污染。也就是说,通过具有驱动滚轴910(由驱动组件930驱动的)与延伸部啮合从预真空室提供驱动运动。为了与支架的运动同步,使用单个电动机,其利用链和/或直接驱动来驱动各驱动滚轴。
图9还示出了上传感器阵列922和下传感器阵列924,其用于检测上或下任一支架上的每个衬底位置上衬底的存在或不存在。在该实施例中,设置线性传感器阵列,其被激活以在每次检测传感器触发器时检测晶圆,如关于图5所解释的。
图10-12示出了可以与这里公开的系统无关设置的衬底倒装或倒转组件的实施例。在图10-12中所示的实施例中,在加载室1000中之一的上方设置倒装组件1005。在图10中,示出了倒装组件1005而没有支架,而图11示出了具有支架1110的系统。而且,图11示出了用于实施例的加载托盘1125,其中晶圆也从具有倒装器的加载室加载/卸载。在这种情况下,倒装机构1105耦合到z-驱动组件1130,其在需要引入加载托盘1125时升高倒装机构1105,并且降低倒装机构1105以用于倒装操作。也可以在图10的实施例中设置z-驱动组件,并且其被用作“压碎避免”机构,即,如果在完成倒装之前该序列有些偏离并且柱1010被升高,则z驱动器可以升高倒装机构以避免柱和倒装机构或晶圆之间的碰撞。
返回图10,升降柱1010用于从支架升高晶圆并且将它们存放到倒装机构上,以及相反地从倒装机构移走晶圆并且将它们存放到支架上。通过升降机构1015致动升降柱。倒装组件1005包括多个单独的晶圆固定器(holder)1020,每个都利用真空保持一个晶圆,尽管可以提供其它方式用于保持晶圆,例如机械夹持。如图10所示,将气压供应到把空气传送给每个柱1010的进气管(manifold)中。每个柱1010都有孔1025,当晶圆从其倒装固定器1020的真空释放时,空气通过所述孔1025流动形成用于晶圆的衬垫。通过这种方式,可以很细致地将晶圆释放到柱上以避免破损。这可以是按顺序的以便在倒装晶圆之后,升高柱并且激活气垫。然后终止真空以便晶圆落到衬垫上。气压则慢慢减小直至消失,以使晶圆安全地落在它的柱上。
图12更详细地示出了倒装组件1200。倒装组件1200包括几行倒装枢轴(pivots)1210可旋转附接到其上的框架1205。所有的枢轴都一起连接到同步链条1235以便它们都通过旋转驱动器1240一起旋转。在每个枢轴1210上附接几个衬底固定器1215,每个固定器1215都具有用于适当保持和对准晶圆的底座1220。图12中的细节示出了用于每个衬底的底座1220,在这种情况下为正方形或矩形衬底,拥有具有辅助对准的真空孔1225和保持衬底的嵌套机构。每个衬底固定器还具有接触端口以提供到升降柱的接触,从而使得能够从衬底固定器升高衬底。
图13示出了根据本发明实施例的处理室1300的部分截面,其示出了具有喷洒板1304、侧壁1306和底面1308的喷洒组件1302。在室内部的位置示出了具有衬底1310的上支架1305。注意,为了说明的目的仅在支架1305上可用的两个位置上示出了晶圆1310,但通常所有的可用位置都将填有晶圆。在设置在每个悬挂位置的悬挂柱1344上的对准袋1342内设置晶圆。这确保了每个晶圆被正确地对准并且位于它在支架上的位置内。图13示出了当新的晶圆正好进入处理室中时的位置,或者处理过的晶圆正好将被取出处理室的位置。如上所提到的,支架1305位于空闲的滚轴1315上并且使用与驱动滚轴(图13中未示出)啮合的延伸部来驱动。基座1320定位于基架1325上,其在该实施例中还包括对基座进行加热并且从而加热衬底的加热器。基座具有端口,端口在每个晶圆位置处,和托架(puck)1330,托架设置在每个端口内。而且,如图13所示,使用托架1330定位升降销1335以用于升高每个衬底。也就是说,在该实施例中,升降销1335不与衬底直接啮合,而是从基座升高托架1330以与衬底啮合。通过升降机构1336一起致动升降销1335。注意在这个和以下的说明中仅示出了两个升降销1335,但实际上每个晶圆位置都将具有升降销。
图14示出了处理室的截面,其中示出了上升的基座1420以使得接触到上支架的悬挂延伸部1442。这是新的晶圆正好将要从支架向基座传送时的位置,或是处理过的晶圆刚好从基座传送到支架中的位置。如图所示,垫或托架1430处在晶圆1410的正下方。
在图15中,上支架的浮置部分1540通过基座1520略微上升,以便使用如上所述的对准销与基座1520对准。然后基座1520返回到图13示出的位置,如图16所示,在升高衬底1610之前,升降销1635移动到上部位置,升高升降垫1630并且接触衬底1610。图17示出了升降销1735在它们的延伸位置,升高衬底1710脱离上支架1740。然后将上支架从处理室移走,如图18所示,基座1820上升到其上面的处理位置,衬底1810存放在其上面。如图所示,升降销1835的升降顶部1837下降一点,使得托架1830停留在晶圆下面的它们的位置中。
图19示出了在其卸载位置的基座1920,其中延伸升降销1935,使得与升降垫1930啮合并从基座1920移走衬底1910。然后升降销1935下降,以将晶圆1910存放到下支架1945上,以用于从处理室移走衬底1910。如图所示,下支架1945位于下部空闲的滚轴1915上。
图20-21示出了在各基座加载/卸载位置中的处理室的截面。如上所述,主题发明的特征是能够移走基座而无需将室打开并且暴露至周围空气。无论何时基座需要服务都可以执行该操作。而且,当晶圆在处理室内部损坏时这种操作也是有益的。可以意识到,当晶圆在处理室内部损坏时,其部分将被存放在基座上。使用下面的操作,可以移走基座,以便能够清理损坏的碎片并且返回到室内。通过这种方式,当晶圆损坏时,不需要打开室。
在图20中,下支架2045已被引入到处理室,位于空闲的滚轴2015上。基座2020下降到设置在下支架2045上的基座钩2050上。在图21中,基架2125降低以使得从基座2120脱离。如图所示,升降垫或托架2130与基座2120相伴,虽然基座2120被钩2150挂起。然后下支架2145可以被驱赶出室以用于移走基座。这样就能够无需打开处理室来置换或清理基座。而且,置换基座可以从系统的另一侧加载,使得系统可以继续操作。实际上,根据一个实施例,为了需要时使用,置换基座可以位于一个或两个加载室中。
当处理室相当大时,需要确保将基座精确地定位成平行于喷洒头。当为了加载/卸载和为了处理而垂直移动基座时,这一点尤其重要。图22示出了处理室的截面,示出了根据本发明实施例的对准特征。在图22中,球形底座2210附接到室的底面2220上。底座2210在给定直径的部分球形外形中具有切口。支撑基座的升降机构具有匹配的球芯2205,其“位于”球状底座2210上。这使得升高组件2215能够略微倾斜,直到基座精确平行于喷洒头,如由双头弯曲箭头所示。然后紧固锚定螺栓2225,以便将升高组件锚定在期望的倾斜位置。
图23示出了根据本发明的基座的实施例。如图23所示,基座2320通常是具有多个衬底座2305的矩形板。每个衬底座2305具有升降销通道(access opening)2310,以用于升降销进入和啮合衬底垫或托架2330。每个托架位于形成在销通道2310外侧的基座中的托架座2335中。升降销用来升高衬底垫2330,并由此升高每个单独的衬底脱离基座。而且在图23中还示出用于对准基座到基架的孔2360,以及其使得支架能够对准销穿过并且与上支架的对准孔啮合的槽口2365。
图24示出了托盘2400,其可以用于本发明的各实施例的加载室。托盘2400通常是具有用于每个衬底位置的衬底座2405、2415的盘。座包括被构造成使衬底在其座中心的四个座元件2415。从图24应当意识到,除设置在托盘2405边缘处的那些之外,每个座元件2415用于四个晶圆位置。在托盘2400边缘处的座元件2405可以用于一个或两个晶圆位置。在图24的详细说明中,示出了位于其座中的衬底2410。而且,在每个衬底底座的中间有进入孔2470以允许升降销从托盘升高衬底。
图25示出了本发明的另一个特征,其能够实现在处理室内检测晶圆破损。根据该特征,使用数字照相机来拍摄在处理室内的晶圆的图像,然后处理图像以检查晶圆的破损。在图25中以轮廓的形式示意性地示出了处理室2500。也以轮廓的形式示意性地示出了真空门组件2505。将照相机2510定位于真空门组件2505内,使得当门打开时,照相机可以拍摄室内部的图像。虚线示出了每个照相机的视野。当在处理室2500内完成处理时,打开门以移走晶圆。在托盘移入之前,激活照相机以拍摄室内的晶圆的图像,以确定室内部是否存在破损的晶圆。如果存在,则可以如上所述移入下支架以移走基座。
应该意识到,在真空门组件内放置两个照相机仅仅是一个示例,并且照相机的数量和它们的位置可以改变。这里主要的思想是在晶圆从室移走之前抓拍晶圆的图像,以确定晶圆是否破损。在图25中示出了另一示例,其中三个照相机2510′放置在室主体一侧,并且经由窗口2515观察其内部。可以在室的另一侧设置类似的布置。
将来自照相机的图像发送给处理器2520以进行处理。处理器2520首先将图像从照相机视角调换和/或校正到法线坐标,因为图像具有由照相机的视角指示的透视图。在该操作中,处理器可以移除透镜畸变并且校准恒定尺寸和取向的图像。处理器则识别所预期的晶圆位置,然后检测在所预期的位置是否实际存在晶圆。然后处理器检查每个被识别过的晶圆以将它分类为是否是破损的。处理器可以重复该过程用于阵列中的N个照相机,并且还可以重复该过程用于M个照相机位置。可选地,来自照相机的图像可以仅发送给显示器2530用于操作员观察且确定是否存在破损晶圆。当然,可以将图像发送给处理器和显示器两者,以便操作员用作处理器的控制或验证确定是否存在破损晶圆。此外,还可以在室内处理开始之前使用照相机拍摄图像,以确保所有的晶圆都恰当地位于基座上。应该意识到,如果晶圆没有恰当地位于基座上,则可能导致等离子体成拱(arching)。
而且,设置了照明机构2535,在拍摄图像时对处理室的内部进行照明。照明机构2535可以是诸如LED、闪光灯等的独立光源的形式,其设置有其自身的窗口。可选地,照明机构可以是LED的形式,其设置在每个照相机周围并且具有与照相机类似的视场。在再一实施例中,将照明引导至室的顶部,以从喷洒头反射。通过这种方式,光被均匀地分布在室的内部。
已经参考具体实施例描述了本发明。特别地,在不偏离由所附权利要求所限定的本发明的精神和范围的情况下,本领域普通技术人员能够实施各种变化和变型。

Claims (24)

1.一种流水线衬底处理系统,包括:
真空处理室;
第一预真空室,耦合到所述真空处理室的第一侧;
第一交换台,耦合到第一预真空室的与所述真空处理室相对的一侧;
其特征在于:
第二预真空室,耦合到所述真空处理室的与所述第一侧相对的第二侧;
第二交换台,耦合到第二预真空室的与所述真空处理室相对的一侧;以及,
第一交换机构,定位于所述第一交换台中,用于将新的衬底加载到所述第一交换台中以及从所述第一交换台移走处理过的衬底。
2.如权利要求1所述的系统,还包括第二交换机构,定位于所述第二交换台中,用于将新衬底加载到所述第二交换台中以及从所述第二交换台移走处理过的衬底。
3.如权利要求1所述的系统,其中所述第二交换台包括衬底倒装机构。
4.如权利要求3所述的系统,其中所述衬底倒装机构包括:
框架;
可旋转地耦合到所述框架的多个枢轴;
多个衬底固定器,所述衬底固定器中的至少一个耦合到所述枢轴中的每一个;
旋转驱动器,耦合到所述枢轴并且同步旋转所述枢轴。
5.如权利要求4所述的系统,其中所述枢轴中的每一个包括真空导管。
6.如权利要求1至5中任一项所述的系统,其中所述第一和第二预真空室中的每一个包括:
上支架,被构造成支撑至少一个衬底;
下支架,被构造成支撑至少一个衬底;以及,
传送机构,被构造成将所述上和下支架移入和移出所述真空处理室。
7.如权利要求6所述的系统,还包括:
基座,定位于所述真空处理室内;以及,
交换器,被构造成在所述上支架和所述基座之间以及在所述下支架和所述基座之间交换衬底。
8.如权利要求7所述的系统,还包括升降机构,被构造成以垂直运动来移动所述基座并且将所述基座定位于如下至少三个位置之一:用于支架传送的下部位置、用于衬底交换的中间位置以及用于衬底处理的上部位置。
9.如权利要求7或8所述的系统,其中所述下支架还包括用于与所述基座啮合并且将所述基座传送到所述第一或第二交换台之一的钩。
10.如权利要求7至9中任一项所述的系统,其中所述处理室包括加热器并且所述基座自由地定位于所述加热器的顶部。
11.如权利要求10所述的系统,还包括被定位成将所述基座与所述加热器对准的对准销。
12.如权利要求7至11中任一项所述的系统,其中所述上支架或下支架之一包括浮置板,并且其中所述升降机构被构造成啮合且提升所述浮置板,从而将所述浮置板与所述基座对准。
13.如权利要求7至12中任一项所述的系统,其中所述基座包括多个衬底座,所述衬底座中的每一个具有定位于其中的升降垫。
14.如权利要求13所述的系统,其中所述真空处理室还包括具有多个升降销的升降销机构,每个升降销被构造成与所述升降垫中的一个啮合。
15.如权利要求6至14中任一项所述的系统,其中所述上支架或下支架中的一个被构造成专门将新的衬底引入所述真空处理室,而所述上支架或下支架中的另一个被构造成专门从所述真空处理室移走处理过的衬底。
16.如权利要求6至15中任一项所述的系统,其中所述第一和第二预真空室中的每一个包括有源驱动器,并且其中所述上和下支架中的每一个包括驱动延伸部,使得所述上和下支架中的每一个能够被与所述驱动延伸部啮合的所述有源驱动器驱动到所述真空处理室中。
17.如权利要求6至16中任一项所述的系统,还包括控制器,所述控制器被编程为激励所述有源驱动器,以将所述上和下支架两者从所述第一或第二预真空室中的一个引入所述真空处理室中以用于衬底交换,以及在在所述真空处理室内处理所述衬底之前将所述上和下支架移出所述真空处理室。
18.如权利要求16所述的系统,其中所述控制器被编程为等待表示所述第一或第二预真空室是否准备好的准备信号,以及激励对应于所述准备信号的所述有源驱动器。
19.如权利要求6至18中任一项所述的系统,还包括被定位成检测在所述上和下支架中的至少一个上存在/不存在衬底的传感器阵列。
20.如权利要求19所述的系统,其中所述上支架或下支架中的至少一个包括用于激活所述传感器阵列的触发机构。
21.如权利要求1至20中任一项所述的系统,还包括:
真空门,定位于所述第一预真空室和所述真空处理室之间;以及,
至少一个照相机,定位于所述处理室的外部并且经由所述真空门在所述室内部具有视场。
22.如权利要求1至21中任一项所述的系统,还包括:
至少一个照相机,定位于所述处理室的外部并且经由窗口在所述室内部具有视场;
处理器,从所述照相机接收图像并且检查所述图像以确定在所述图像中是否出现任何破损的晶圆。
23.一种在控制器中实施的计算机化方法,用于双加载处理系统的自动排序操作,其中真空处理系统包括具有两个加载端口的真空处理室和两个预真空室,每个预真空室经由真空阀耦合到两个端口中的一个,所述方法包括:
当将新的工件引入所述预真空室中的一个时,在该预真空室启动真空;
当在所述预真空室中的一个实现所期望的真空水平时,将准备信号发送给所述控制器,表明所述预真空室为工件交换做好了准备;
当在所述真空处理室中完成处理时,使所述控制器确定哪个预真空室发送准备信号并且启动与所述预真空室的工件交换。
24.如权利要求23所述的方法,其中启动工件交换包括:
打开对应于已接收准备信号的所述预真空室的真空阀;
将处理过的工件从所述真空处理室内向外传送到已接收准备信号的所述预真空室;
将新的工件传送到所述真空处理室中。
CN201010625047.9A 2009-12-10 2010-12-10 自动排序的多向流水线处理设备 Active CN102122609B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US28550509P 2009-12-10 2009-12-10
US61/285,505 2009-12-10

Publications (2)

Publication Number Publication Date
CN102122609A true CN102122609A (zh) 2011-07-13
CN102122609B CN102122609B (zh) 2015-08-19

Family

ID=43502633

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201010624484.9A Active CN102094188B (zh) 2009-12-10 2010-12-10 用于真空处理设备的喷头组件
CN201010625047.9A Active CN102122609B (zh) 2009-12-10 2010-12-10 自动排序的多向流水线处理设备
CN201010625048.3A Active CN102122610B (zh) 2009-12-10 2010-12-10 自动排序的流水线处理设备

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201010624484.9A Active CN102094188B (zh) 2009-12-10 2010-12-10 用于真空处理设备的喷头组件

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201010625048.3A Active CN102122610B (zh) 2009-12-10 2010-12-10 自动排序的流水线处理设备

Country Status (6)

Country Link
US (4) US8672603B2 (zh)
EP (3) EP2336389B1 (zh)
JP (2) JP5835722B2 (zh)
KR (3) KR101730322B1 (zh)
CN (3) CN102094188B (zh)
TW (3) TWI417984B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8444364B2 (en) 2009-12-10 2013-05-21 Orbotech LT Solar, LLC. Auto-sequencing multi-directional inline processing apparatus
US9462921B2 (en) 2011-05-24 2016-10-11 Orbotech LT Solar, LLC. Broken wafer recovery system
CN107887308A (zh) * 2017-12-01 2018-04-06 合肥芯欣智能科技有限公司 全自动多功能处理设备

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6104157B2 (ja) 2010-05-21 2017-03-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 大面積電極にぴったりと嵌合されたセラミックス絶縁体
US20120288355A1 (en) * 2011-05-11 2012-11-15 Ming-Teng Hsieh Method for storing wafers
US20130092085A1 (en) * 2011-10-17 2013-04-18 Synos Technology, Inc. Linear atomic layer deposition apparatus
JP5840095B2 (ja) * 2011-10-31 2016-01-06 三菱電機株式会社 太陽電池の製造装置、及び太陽電池の製造方法
US20130108406A1 (en) * 2011-11-02 2013-05-02 Varian Semiconductor Equipment Associates, Inc. High-throughput workpiece handling
KR101930981B1 (ko) * 2011-11-25 2018-12-19 도쿄엘렉트론가부시키가이샤 처리 장치군 컨트롤러, 생산 처리 시스템, 처리 장치군 제어 방법, 생산 효율화 시스템, 생산 효율화 장치 및 생산 효율화 방법
WO2013086432A2 (en) 2011-12-07 2013-06-13 Intevac, Inc. High throughput load lock for solar wafers
CN102544211B (zh) * 2011-12-31 2013-10-30 常州天合光能有限公司 太阳能电池刻蚀方法及其设备
DE102012100929A1 (de) * 2012-02-06 2013-08-08 Roth & Rau Ag Substratbearbeitungsanlage
US20150295124A1 (en) * 2012-04-02 2015-10-15 Koji Matsumaru Manufacturing equipment for photovoltaic devices and methods
KR102111183B1 (ko) * 2012-08-31 2020-05-14 세미컨덕터 테크놀로지스 앤드 인스트루먼츠 피티이 엘티디 다기능 웨이퍼 및 필름 프레임 조작 시스템
KR102064391B1 (ko) * 2012-08-31 2020-01-10 삼성디스플레이 주식회사 기판 처리 장치
US9111980B2 (en) * 2012-09-04 2015-08-18 Applied Materials, Inc. Gas exhaust for high volume, low cost system for epitaxial silicon deposition
KR102014299B1 (ko) * 2013-02-07 2019-08-26 주식회사 원익아이피에스 대상물 이송 시스템 및 이를 위한 캐리어 위치 초기화 방법
TWI490956B (zh) * 2013-03-12 2015-07-01 Shinkawa Kk 覆晶接合器以及覆晶接合方法
CN103266310B (zh) * 2013-05-24 2015-05-20 上海和辉光电有限公司 分散板及具有该分散板的镀膜装置
EP2854155B1 (en) 2013-09-27 2017-11-08 INDEOtec SA Plasma reactor vessel and assembly, and a method of performing plasma processing
JP2015088694A (ja) * 2013-11-01 2015-05-07 株式会社日立ハイテクノロジーズ 真空処理装置
US9704762B2 (en) * 2014-02-04 2017-07-11 Applied Materials, Inc. Application of in-line glass edge-inspection and alignment check in display manufacturing
KR101613544B1 (ko) * 2014-02-13 2016-04-19 주식회사 유진테크 기판 처리 장치
US9484243B2 (en) * 2014-04-17 2016-11-01 Lam Research Corporation Processing chamber with features from side wall
US10648927B2 (en) * 2015-05-15 2020-05-12 Taiwan Semiconductor Manufacturing Company Ltd. Method and apparatus for monitoring edge bevel removal area in semiconductor apparatus and electroplating system
JP6753654B2 (ja) * 2015-07-14 2020-09-09 株式会社日立ハイテク プラズマ処理装置
US10249521B2 (en) * 2016-03-17 2019-04-02 Lam Research Ag Wet-dry integrated wafer processing system
JP6739201B2 (ja) * 2016-03-25 2020-08-12 スピードファム株式会社 局所ドライエッチング装置
KR102584339B1 (ko) * 2016-10-12 2023-09-27 램 리써치 코포레이션 반도체 프로세싱용 웨이퍼 포지셔닝 페데스탈의 패드 상승 메커니즘
US10573549B2 (en) 2016-12-01 2020-02-25 Lam Research Corporation Pad raising mechanism in wafer positioning pedestal for semiconductor processing
US9892956B1 (en) 2016-10-12 2018-02-13 Lam Research Corporation Wafer positioning pedestal for semiconductor processing
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US20180230597A1 (en) * 2017-02-14 2018-08-16 Applied Materials, Inc. Method and apparatus of remote plasmas flowable cvd chamber
CN110402577B (zh) * 2017-03-10 2021-06-22 富士胶片株式会社 图像处理系统、图像处理装置、图像处理方法及存储有图像处理程序的存储介质
CN107919311A (zh) * 2017-12-19 2018-04-17 北京铂阳顶荣光伏科技有限公司 太阳能电池共蒸镀生产线
JP2020033625A (ja) * 2018-08-31 2020-03-05 東京エレクトロン株式会社 成膜装置及び成膜方法
US10901328B2 (en) * 2018-09-28 2021-01-26 Applied Materials, Inc. Method for fast loading substrates in a flat panel tool
CN111385955B (zh) * 2018-12-28 2022-08-23 中微半导体设备(上海)股份有限公司 一种等离子体处理器的安装结构及相应的等离子体处理器
CN113261390B (zh) * 2019-01-07 2024-06-14 株式会社爱发科 真空处理装置
KR102503465B1 (ko) * 2019-01-07 2023-02-24 가부시키가이샤 아루박 진공 처리 장치, 진공 처리 장치의 클리닝 방법
US11637030B2 (en) 2019-06-18 2023-04-25 Kla Corporation Multi-stage, multi-zone substrate positioning systems
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
JP7488442B2 (ja) * 2019-09-26 2024-05-22 シンフォニアテクノロジー株式会社 搬送システム
DE102020103947A1 (de) * 2020-02-14 2021-08-19 AIXTRON Ltd. CVD-Reaktor und Verfahren zum Handhaben einer Prozesskammer-Deckenplatte
US11626303B2 (en) * 2020-04-23 2023-04-11 Applied Materials, Inc. Compliance components for semiconductor processing system
CN111471965B (zh) * 2020-04-30 2024-08-23 苏州迈正科技有限公司 传送载板、真空镀膜设备及真空镀膜方法
CN111477582A (zh) * 2020-05-28 2020-07-31 深圳市捷佳伟创新能源装备股份有限公司 硅片的工艺腔体、硅片加工设备和硅片加工方法
CN111519169A (zh) * 2020-05-28 2020-08-11 深圳市捷佳伟创新能源装备股份有限公司 顶升装置和物料加工设备
CN114188206B (zh) * 2020-09-15 2023-09-29 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其上电极组件的调节方法
JP7534049B2 (ja) 2021-01-20 2024-08-14 東京エレクトロン株式会社 保持部材、上部電極アセンブリ、及びプラズマ処理装置
US11987884B2 (en) * 2021-04-15 2024-05-21 Jnk Tech Glass and wafer inspection system and a method of use thereof
US11508590B2 (en) * 2021-04-15 2022-11-22 Jnk Tech Substrate inspection system and method of use thereof
CN113757245B (zh) * 2021-08-31 2022-05-20 中国科学院西安光学精密机械研究所 一种适用于低温光学系统的单限位螺垫及其使用方法
CN115247245B (zh) * 2021-11-05 2024-02-02 徐州瑞马智能技术有限公司 一种钢管前处理洗料自动换挂装置
CN115910873A (zh) * 2022-12-07 2023-04-04 西安奕斯伟材料科技有限公司 硅片检测工具及硅片检测方法
KR20240112643A (ko) * 2023-01-12 2024-07-19 주식회사 한화 태양 전지 제조 장치 및 태양 전지 제조 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002516239A (ja) * 1998-05-20 2002-06-04 エーケーティー株式会社 イン・シトゥ基板搬送シャトル
CN1650416A (zh) * 2002-05-23 2005-08-03 安内华株式会社 基板处理装置及处理方法
CN1734711A (zh) * 2004-08-11 2006-02-15 株式会社岛津制作所 真空处理装置
US20060236929A1 (en) * 2002-11-15 2006-10-26 Seiji Katsuoka Substrate processing apparatus and substrate processing method

Family Cites Families (229)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3158086A (en) * 1960-03-21 1964-11-24 Ralph E Weimer Apparatus for charging hamburger patties onto a griddle
JPS5647287Y2 (zh) 1976-08-23 1981-11-05
JPS57180005A (en) 1981-04-30 1982-11-05 Hitachi Ltd Silicon carbide electric insulator with low dielectric constant
US4490042A (en) 1981-06-04 1984-12-25 Wyatt Philip J Method for determining the properties of wine
JPS57211746A (en) 1981-06-23 1982-12-25 Fujitsu Ltd Wafer conveying apparatus
US4694779A (en) 1984-10-19 1987-09-22 Tetron, Inc. Reactor apparatus for semiconductor wafer processing
JPS61105853A (ja) 1984-10-30 1986-05-23 Anelva Corp オ−トロ−ダ−
US4590042A (en) 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4752180A (en) * 1985-02-14 1988-06-21 Kabushiki Kaisha Toshiba Method and apparatus for handling semiconductor wafers
DE3508516A1 (de) 1985-03-09 1986-09-11 Wolfgang 6108 Weiterstadt Köhler Vorrichtung zum transportieren einer platte im reinraum
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
USH422H (en) * 1986-04-25 1988-02-02 American Telephone And Telegraph Company, At&T Bell Laboratories Apparatus for inverting articles and method for using same
JP2564303B2 (ja) 1987-05-08 1996-12-18 株式会社日立製作所 ウエハキャリア治具
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JP2602298B2 (ja) 1988-01-30 1997-04-23 日本電気株式会社 気相成長装置
US5606534A (en) * 1989-09-01 1997-02-25 Quantronix, Inc. Laser-based dimensioning system
US5084125A (en) 1989-09-12 1992-01-28 Matsushita Electric Industrial Co., Ltd. Apparatus and method for producing semiconductor substrate
US5167922A (en) 1990-04-27 1992-12-01 Pb Diagnostic Systems Inc. Assay cartridge
US5136975A (en) 1990-06-21 1992-08-11 Watkins-Johnson Company Injector and method for delivering gaseous chemicals to a surface
JP2938160B2 (ja) 1990-07-20 1999-08-23 東京エレクトロン株式会社 真空処理装置
JPH05109683A (ja) 1991-03-27 1993-04-30 Mitsubishi Materials Corp 半導体シリコンウエーハ洗浄液の金属不純物除去方法
JPH0526252A (ja) * 1991-07-16 1993-02-02 Kayseven Co Ltd 軸継手
JP2598353B2 (ja) 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
JPH0569162U (ja) 1992-02-28 1993-09-17 セイコー電子工業株式会社 バッファ付クラスタ形薄膜処理装置
US5404894A (en) 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
JP3155844B2 (ja) * 1992-10-20 2001-04-16 日本真空技術株式会社 真空処理装置の高周波電極
JPH06155197A (ja) * 1992-11-16 1994-06-03 Pfu Ltd 混流生産システムにおける部材供給システム
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5439524A (en) 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP3666512B2 (ja) 1994-06-16 2005-06-29 ローム株式会社 薄板基板の移送装置
US5486080A (en) 1994-06-30 1996-01-23 Diamond Semiconductor Group, Inc. High speed movement of workpieces in vacuum processing
US5795399A (en) * 1994-06-30 1998-08-18 Kabushiki Kaisha Toshiba Semiconductor device manufacturing apparatus, method for removing reaction product, and method of suppressing deposition of reaction product
TW295677B (zh) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
US5551327A (en) 1994-08-22 1996-09-03 Hamby; William D. Adjusting means for multi-blade cutting apparatus
JPH0878347A (ja) 1994-09-06 1996-03-22 Komatsu Electron Metals Co Ltd エピタキシャル成長装置のサセプタ
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JP2929948B2 (ja) 1994-09-20 1999-08-03 三菱電機株式会社 プローブ式テストハンドラー及びそれを用いたicのテスト方法
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP3151364B2 (ja) * 1994-12-05 2001-04-03 シャープ株式会社 高分子光導波路の製造方法
JP3360098B2 (ja) 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JP3165348B2 (ja) 1995-05-18 2001-05-14 ワイエイシイ株式会社 プラズマ処理装置およびその運転方法
TW318258B (zh) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
JP3606979B2 (ja) 1995-12-22 2005-01-05 株式会社アルバック 枚葉式真空処理装置
US5855468A (en) * 1995-12-22 1999-01-05 Navistar International Transportation Corp. Method and apparatus for setting foundry core assemblies
US5756155A (en) 1996-01-22 1998-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Combination nozzle and vacuum hood that is self cleaning
US5679055A (en) 1996-05-31 1997-10-21 Memc Electronic Materials, Inc. Automated wafer lapping system
US5996528A (en) 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US5944940A (en) 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5653808A (en) 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
JPH10321564A (ja) 1997-05-20 1998-12-04 Tokyo Seimitsu Co Ltd ウェーハ回収装置
US5968275A (en) 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6722834B1 (en) 1997-10-08 2004-04-20 Applied Materials, Inc. Robot blade with dual offset wafer supports
JP3283459B2 (ja) * 1997-12-17 2002-05-20 日本エー・エス・エム株式会社 半導体処理用の基板保持装置
JP4346700B2 (ja) 1998-01-12 2009-10-21 株式会社半導体エネルギー研究所 光電変換装置の作製方法
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
DE59810465D1 (de) * 1998-02-19 2004-01-29 Applied Films Gmbh & Co Kg Schleuseneinrichtung zum Ein- und/oder Ausbringen von Substraten in und/oder aus einer Behandlungskammer
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6202589B1 (en) 1998-05-29 2001-03-20 Advanced Micro Devices, Inc. Grounding mechanism which maintains a low resistance electrical ground path between a plate electrode and an etch chamber
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6016611A (en) * 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
US6022178A (en) * 1998-07-20 2000-02-08 The Aerospace Corporation Flexure washer bearing and method
US6036422A (en) * 1998-07-20 2000-03-14 The Aerospace Corporation Roller washer bearing and method
US6517691B1 (en) 1998-08-20 2003-02-11 Intevac, Inc. Substrate processing system
JP2003515914A (ja) 1998-10-15 2003-05-07 アプライド マテリアルズ インコーポレイテッド ウェハ処理装置内におけるウェハ断片の検出
JP3205304B2 (ja) * 1998-10-16 2001-09-04 日本ピラー工業株式会社 摺動部材
US6210067B1 (en) * 1998-12-14 2001-04-03 The Aerospace Corporation Clip flexure slider washer bearing
US6267839B1 (en) 1999-01-12 2001-07-31 Applied Materials, Inc. Electrostatic chuck with improved RF power distribution
JP4204128B2 (ja) 1999-01-18 2009-01-07 東京応化工業株式会社 基板搬送装置及び基板搬送方法
JP2000223546A (ja) * 1999-02-02 2000-08-11 Dainippon Screen Mfg Co Ltd 基板処理装置
US6323616B1 (en) 1999-03-15 2001-11-27 Berkeley Process Control, Inc. Self teaching robotic wafer handling system
JP2000290777A (ja) 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
JP3398936B2 (ja) * 1999-04-09 2003-04-21 日本エー・エス・エム株式会社 半導体処理装置
JP3965258B2 (ja) 1999-04-30 2007-08-29 日本碍子株式会社 半導体製造装置用のセラミックス製ガス供給構造
US6486444B1 (en) * 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6156124A (en) 1999-06-18 2000-12-05 Applied Materials, Inc. Wafer transfer station for a chemical mechanical polisher
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6556715B1 (en) * 1999-10-29 2003-04-29 Unisys Corporation Method for CCITT compression of image data
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
JP4526151B2 (ja) 2000-01-28 2010-08-18 キヤノンアネルバ株式会社 基板処理装置の基板移載装置
AU2001247685A1 (en) 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
JP2001284258A (ja) 2000-03-30 2001-10-12 Hitachi Kokusai Electric Inc 半導体製造装置
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6875640B1 (en) * 2000-06-08 2005-04-05 Micron Technology, Inc. Stereolithographic methods for forming a protective layer on a semiconductor device substrate and substrates including protective layers so formed
KR100332314B1 (ko) 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
JP2002045683A (ja) * 2000-08-08 2002-02-12 Hitachi Kokusai Electric Inc 基板処理装置
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
TWI246382B (en) * 2000-11-08 2005-12-21 Orbotech Ltd Multi-layer printed circuit board fabrication system and method
JP2002203885A (ja) 2000-12-27 2002-07-19 Anelva Corp インターバック型基板処理装置
KR100516844B1 (ko) 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 처리 장치 및 처리 방법
JP2002256439A (ja) * 2001-03-06 2002-09-11 Hitachi Kokusai Electric Inc 基板処理装置
KR100421036B1 (ko) 2001-03-13 2004-03-03 삼성전자주식회사 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법
JP2002270880A (ja) 2001-03-14 2002-09-20 Shin Etsu Handotai Co Ltd 太陽電池モジュール及びその製造方法
JP4222589B2 (ja) 2001-03-26 2009-02-12 キヤノンアネルバ株式会社 基板搬送装置及びそれを用いた基板処理装置
JP2003007682A (ja) 2001-06-25 2003-01-10 Matsushita Electric Ind Co Ltd プラズマ処理装置用の電極部材
US20030003767A1 (en) * 2001-06-29 2003-01-02 Plasmion Corporation High throughput hybrid deposition system and method using the same
US6592679B2 (en) 2001-07-13 2003-07-15 Asyst Technologies, Inc. Clean method for vacuum holding of substrates
JP2003028142A (ja) 2001-07-19 2003-01-29 Konica Corp 位置決め機構および画像形成装置
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
JP2003059999A (ja) 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
CN1996553A (zh) 2001-08-31 2007-07-11 阿赛斯特技术公司 用于半导体材料处理系统的一体化机架
JP4061044B2 (ja) * 2001-10-05 2008-03-12 住友重機械工業株式会社 基板移動装置
US6719517B2 (en) 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US20040060514A1 (en) 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
JP2003258058A (ja) 2002-02-27 2003-09-12 Anelva Corp 基板処理装置の運転方法
JP4220173B2 (ja) * 2002-03-26 2009-02-04 株式会社日立ハイテクノロジーズ 基板の搬送方法
JP2003282462A (ja) 2002-03-27 2003-10-03 Kyocera Corp シャワープレートとその製造方法及びそれを用いたシャワーヘッド
JP2003338492A (ja) 2002-05-21 2003-11-28 Tokyo Electron Ltd プラズマ処理装置
US7217336B2 (en) 2002-06-20 2007-05-15 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
US6902647B2 (en) 2002-08-29 2005-06-07 Asm International N.V. Method of processing substrates with integrated weighing steps
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
JP3886046B2 (ja) 2002-12-18 2007-02-28 シャープ株式会社 プラズマcvd装置と、それを用いた成膜方法および半導体装置の製造方法
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6917755B2 (en) * 2003-02-27 2005-07-12 Applied Materials, Inc. Substrate support
JP4197129B2 (ja) * 2003-03-19 2008-12-17 シャープ株式会社 ワーク搬送装置
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
JP2004327761A (ja) * 2003-04-25 2004-11-18 Sumitomo Mitsubishi Silicon Corp エピタキシャル成長用サセプタ
US7010388B2 (en) 2003-05-22 2006-03-07 Axcelis Technologies, Inc. Work-piece treatment system having load lock and buffer
JP2005016582A (ja) * 2003-06-24 2005-01-20 Toshiba Medical System Co Ltd 上下動機構および寝台
JP4517595B2 (ja) 2003-06-26 2010-08-04 東京エレクトロン株式会社 被処理体の搬送方法
US20050011447A1 (en) 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
KR100999104B1 (ko) * 2003-10-01 2010-12-07 삼성전자주식회사 기판의 반송장치
US7827930B2 (en) 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7214027B2 (en) 2003-10-16 2007-05-08 Varian Semiconductor Equipment Associates, Inc. Wafer handler method and system
US20070282480A1 (en) * 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US8403613B2 (en) * 2003-11-10 2013-03-26 Brooks Automation, Inc. Bypass thermal adjuster for vacuum semiconductor processing
US20080190981A1 (en) * 2003-12-04 2008-08-14 Yasutomo Okajima Method for Processing Substrate, Apparatus for Processing Substrate, Method for Conveying Substrate and Mechanism for Conveying Substrate
JP2005183834A (ja) 2003-12-22 2005-07-07 Toshiba Ceramics Co Ltd バレル型サセプタ
US7892357B2 (en) 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
JP2005211865A (ja) 2004-02-02 2005-08-11 Masato Toshima プラズマ処理装置
JP4707959B2 (ja) 2004-02-20 2011-06-22 日本エー・エス・エム株式会社 シャワープレート、プラズマ処理装置及びプラズマ処理方法
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US7905960B2 (en) * 2004-03-24 2011-03-15 Jusung Engineering Co., Ltd. Apparatus for manufacturing substrate
JP4349952B2 (ja) * 2004-03-24 2009-10-21 京セラ株式会社 ウェハ支持部材とその製造方法
US7290978B2 (en) * 2004-06-09 2007-11-06 N&K Technology Inc. Photomask flipper and single direction inspection device for dual side photomask inspection
KR101023725B1 (ko) 2004-06-29 2011-03-25 엘지디스플레이 주식회사 이재 로봇
JP2006049544A (ja) 2004-08-04 2006-02-16 Canon Anelva Corp 基板処理装置及びこれを用いた基板処理方法
JP2006058769A (ja) 2004-08-23 2006-03-02 Sony Corp 沈胴式レンズ鏡筒および撮像装置
US20060137609A1 (en) 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
TWI287279B (en) * 2004-09-20 2007-09-21 Applied Materials Inc Diffuser gravity support
JP2006132579A (ja) * 2004-11-02 2006-05-25 Tokyo Electron Ltd ボルト及びプラズマ処理装置
JP2006173560A (ja) 2004-11-16 2006-06-29 Sumitomo Electric Ind Ltd ウエハガイド、有機金属気相成長装置および窒化物系半導体を堆積する方法
US20060124169A1 (en) * 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US20060177288A1 (en) * 2005-02-09 2006-08-10 Parker N W Multiple loadlocks and processing chamber
KR100747735B1 (ko) 2005-05-13 2007-08-09 주식회사 테스 반도체 제조 장치
JP4596981B2 (ja) * 2005-05-24 2010-12-15 株式会社日立ハイテクノロジーズ インプリント装置、及び微細構造転写方法
US20070017445A1 (en) * 2005-07-19 2007-01-25 Takako Takehara Hybrid PVD-CVD system
JP2007112626A (ja) * 2005-09-20 2007-05-10 Olympus Corp 基板搬送装置及び基板検査装置並びに基板搬送方法
JP2007123684A (ja) 2005-10-31 2007-05-17 Masato Toshima 基板の処理装置
US20070119393A1 (en) 2005-11-28 2007-05-31 Ashizawa Kengo Vacuum processing system
US20070151516A1 (en) 2006-01-03 2007-07-05 Law Kam S Chemical vapor deposition apparatus and electrode plate thereof
CN101360988B (zh) 2006-01-18 2016-01-20 应用材料公司 动态侦测移动基材损毁和偏位的传感器
US7896967B2 (en) * 2006-02-06 2011-03-01 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
JP4915985B2 (ja) 2006-02-06 2012-04-11 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP2007242648A (ja) 2006-03-04 2007-09-20 Masato Toshima 基板の処理装置
US8268078B2 (en) 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
TWI476855B (zh) 2006-05-03 2015-03-11 Gen Co Ltd 基板傳輸設備、和使用該設備的高速基板處理系統
EP1855324A1 (de) 2006-05-12 2007-11-14 Applied Materials GmbH & Co. KG Substratträger aus glaskeramischen Material
JP4018120B2 (ja) 2006-05-12 2007-12-05 シャープ株式会社 液滴吐出描画装置
KR101346081B1 (ko) * 2006-06-20 2013-12-31 참엔지니어링(주) 플라스마 에칭 챔버
US20080066683A1 (en) 2006-09-19 2008-03-20 General Electric Company Assembly with Enhanced Thermal Uniformity and Method For Making Thereof
JP2008078095A (ja) * 2006-09-25 2008-04-03 Tokki Corp 真空プラズマ装置の接続構造
US7482550B2 (en) 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US7854820B2 (en) 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
TW200900210A (en) 2006-11-09 2009-01-01 Ihi Corp Frog-leg arm robot and control method thereof
CN101528571A (zh) * 2006-12-05 2009-09-09 株式会社岛津制作所 货盘搬运装置及基板检查装置
US7949425B2 (en) 2006-12-06 2011-05-24 Axcelis Technologies, Inc. High throughput wafer notch aligner
US20080138178A1 (en) 2006-12-06 2008-06-12 Axcelis Technologies,Inc. High throughput serial wafer handling end station
KR101464227B1 (ko) 2007-01-12 2014-11-21 비코 인스트루먼츠 인코포레이티드 가스 처리 시스템
JP5047644B2 (ja) * 2007-01-31 2012-10-10 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
JP2008205219A (ja) 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
US20080213477A1 (en) * 2007-03-02 2008-09-04 Arno Zindel Inline vacuum processing apparatus and method for processing substrates therein
KR100927621B1 (ko) * 2007-03-22 2009-11-20 삼성에스디아이 주식회사 보호막층을 증착시키는 장치와, 이를 이용한 증착 방법
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
CN101681861A (zh) * 2007-05-31 2010-03-24 株式会社爱德万测试 探针卡的固定装置
US7923660B2 (en) * 2007-08-15 2011-04-12 Applied Materials, Inc. Pulsed laser anneal system architecture
US7806641B2 (en) * 2007-08-30 2010-10-05 Ascentool, Inc. Substrate processing system having improved substrate transport system
US8408858B2 (en) 2007-08-30 2013-04-02 Ascentool International Limited Substrate processing system having improved substrate transport system
GB0717489D0 (en) 2007-09-08 2007-10-17 Design Factor Ni The Ltd A Glass breaking device
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
JP5330721B2 (ja) * 2007-10-23 2013-10-30 オルボテック エルティ ソラー,エルエルシー 処理装置および処理方法
KR20100086490A (ko) * 2007-10-24 2010-07-30 오씨 외를리콘 발처스 악티엔게젤샤프트 작업편 제조방법 및 장치
KR101699983B1 (ko) * 2007-11-15 2017-01-26 가부시키가이샤 니콘 마스크 케이스, 반송 장치, 노광 장치, 마스크 반송 방법 및 디바이스 제조 방법
US8876024B2 (en) 2008-01-10 2014-11-04 Applied Materials, Inc. Heated showerhead assembly
JP2009174236A (ja) * 2008-01-28 2009-08-06 Kuwata:Kk 免制震補助具、その使用方法及び連結構造
DE102008009090B3 (de) * 2008-02-14 2009-06-04 MAG Industrial Automation Systems, LLC., Sterling Heights Beschickungs- und Entnahme-Anlage für Werkzeug-Maschinen
CN102046840B (zh) 2008-03-25 2012-08-01 奥宝科技Lt太阳能有限公司 处理装置及处理方法
WO2009119096A1 (ja) * 2008-03-27 2009-10-01 株式会社ニコン 接合装置および接合方法
JP4472005B2 (ja) * 2008-04-24 2010-06-02 キヤノンアネルバ株式会社 真空処理装置及び真空処理方法
WO2009130790A1 (ja) * 2008-04-25 2009-10-29 キヤノンアネルバ株式会社 トレイ搬送式インライン成膜装置
JP5268126B2 (ja) * 2008-07-15 2013-08-21 株式会社アルバック デュアルロボット搬送システム
WO2010042577A2 (en) * 2008-10-07 2010-04-15 Applied Materials, Inc. Advanced platform for processing crystalline silicon solar cells
KR101641130B1 (ko) 2008-10-09 2016-07-20 어플라이드 머티어리얼스, 인코포레이티드 대형 플라즈마 처리 챔버를 위한 rf 복귀 경로
US20100136261A1 (en) 2008-12-03 2010-06-03 Applied Materials, Inc. Modulation of rf returning straps for uniformity control
TWI366546B (en) 2009-01-09 2012-06-21 Chimei Innolux Corp Transmission apparatus
US9382621B2 (en) 2009-02-04 2016-07-05 Applied Materials, Inc. Ground return for plasma processes
US20100203242A1 (en) * 2009-02-06 2010-08-12 Applied Materials, Inc. self-cleaning susceptor for solar cell processing
US8287648B2 (en) * 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US8246284B2 (en) * 2009-03-05 2012-08-21 Applied Materials, Inc. Stacked load-lock apparatus and method for high throughput solar cell manufacturing
JP3151364U (ja) * 2009-04-09 2009-06-18 株式会社島津製作所 プラズマ化学気相堆積装置
ITUD20090214A1 (it) 2009-11-24 2011-05-25 Applied Materials Inc Effettore d'estremita' per la manipolazione di substrati
TWM366667U (en) 2009-05-25 2009-10-11 Aidc Aerospace Ind Dev Corp Auto movement and inspection device for solar energy panel
CN105088191B (zh) 2009-07-15 2018-07-13 应用材料公司 Cvd 腔室的流体控制特征结构
US8454850B2 (en) 2009-09-02 2013-06-04 Air Products And Chemicals, Inc. Method for the removal of surface oxides by electron attachment
WO2011035820A1 (de) 2009-09-28 2011-03-31 Manfred Schwaiger-Shah Vorrichtung zum schlankmachen durch verbesserung der hautdurchblutung
TWI430714B (zh) 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
JP5835722B2 (ja) * 2009-12-10 2015-12-24 オルボテック エルティ ソラー,エルエルシー 自動順位付け多方向直列型処理装置
KR101329303B1 (ko) * 2010-06-17 2013-11-20 세메스 주식회사 기판들의 로딩 및 언로딩을 위한 기판 처리 장치
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
US20120267049A1 (en) 2011-04-25 2012-10-25 Craig Lyle Stevens Grounding assembly for vacuum processing apparatus
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
KR20120131105A (ko) 2011-05-24 2012-12-04 오보텍 엘티 솔라 엘엘씨 손상된 웨이퍼 복구 시스템
US20140064886A1 (en) 2012-08-30 2014-03-06 Orbotech LT Solar, LLC. System, architecture and method for simultaneous transfer and process of substrates

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002516239A (ja) * 1998-05-20 2002-06-04 エーケーティー株式会社 イン・シトゥ基板搬送シャトル
CN1650416A (zh) * 2002-05-23 2005-08-03 安内华株式会社 基板处理装置及处理方法
US20060236929A1 (en) * 2002-11-15 2006-10-26 Seiji Katsuoka Substrate processing apparatus and substrate processing method
CN1734711A (zh) * 2004-08-11 2006-02-15 株式会社岛津制作所 真空处理装置

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8444364B2 (en) 2009-12-10 2013-05-21 Orbotech LT Solar, LLC. Auto-sequencing multi-directional inline processing apparatus
US8672603B2 (en) 2009-12-10 2014-03-18 Orbotech LT Solar, LLC. Auto-sequencing inline processing apparatus
US9287152B2 (en) 2009-12-10 2016-03-15 Orbotech LT Solar, LLC. Auto-sequencing multi-directional inline processing method
US9462921B2 (en) 2011-05-24 2016-10-11 Orbotech LT Solar, LLC. Broken wafer recovery system
CN107887308A (zh) * 2017-12-01 2018-04-06 合肥芯欣智能科技有限公司 全自动多功能处理设备

Also Published As

Publication number Publication date
CN102122610B (zh) 2016-06-15
TWI417984B (zh) 2013-12-01
JP2011124579A (ja) 2011-06-23
EP2336389A1 (en) 2011-06-22
KR20110066107A (ko) 2011-06-16
US9287152B2 (en) 2016-03-15
TWI436831B (zh) 2014-05-11
EP2333813A3 (en) 2015-05-20
US20110142573A1 (en) 2011-06-16
TW201131683A (en) 2011-09-16
JP2011137228A (ja) 2011-07-14
CN102094188B (zh) 2015-02-18
TW201140733A (en) 2011-11-16
US20110139372A1 (en) 2011-06-16
KR101732348B1 (ko) 2017-05-04
EP2333814B1 (en) 2021-02-03
US20110142572A1 (en) 2011-06-16
US8444364B2 (en) 2013-05-21
US8672603B2 (en) 2014-03-18
EP2333813B1 (en) 2021-02-24
CN102122609B (zh) 2015-08-19
JP5835722B2 (ja) 2015-12-24
CN102094188A (zh) 2011-06-15
KR101730322B1 (ko) 2017-05-11
CN102122610A (zh) 2011-07-13
EP2336389B1 (en) 2014-05-21
TWI485799B (zh) 2015-05-21
TW201127496A (en) 2011-08-16
JP5721132B2 (ja) 2015-05-20
EP2333814A3 (en) 2015-05-27
KR101814202B1 (ko) 2018-01-02
EP2333814A2 (en) 2011-06-15
US20130294678A1 (en) 2013-11-07
KR20110066111A (ko) 2011-06-16
EP2333813A2 (en) 2011-06-15
KR20110066113A (ko) 2011-06-16

Similar Documents

Publication Publication Date Title
CN102122609B (zh) 自动排序的多向流水线处理设备
US7836845B2 (en) Substrate carrying and processing apparatus
TWI470729B (zh) 破損基板或晶圓回收系統及以該系統卸除破損晶圓碎片的方法
KR20100085128A (ko) 기판반송장치, 기판반송방법 및 진공처리장치
TWI455234B (zh) 基板處理裝置
KR101860599B1 (ko) 기판 반송 방법 및 반송 장치
CN1308565A (zh) 半导体自动处理系统
TW201102235A (en) End effector for handling substrates
WO2019174201A1 (zh) 一种移载设备及移载方法
TW201343517A (zh) 基板處理裝置及基板處理方法
US20100280653A1 (en) Substrate processing apparatus and semiconductor device manufacturing method
TW201403730A (zh) 基板處理裝置及基板處理方法
KR100959678B1 (ko) 평판표시소자 제조장치
KR100965512B1 (ko) 평판표시소자 제조장치
TW202226445A (zh) 膠帶貼片機
JP2023087269A (ja) 部品供給装置
JP2001093958A (ja) 基板移送装置
CN116160122A (zh) 镭雕装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20220629

Address after: Israel Masao City

Patentee after: ORBOTECH Ltd.

Address before: California, USA

Patentee before: Orbotech LT Solar, LLC

TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20220914

Address after: California, USA

Patentee after: Orbotech LT Solar LLC

Address before: Israel Masao City

Patentee before: ORBOTECH Ltd.

Effective date of registration: 20220914

Address after: California, USA

Patentee after: KLA-TENCOR Corp.

Address before: California, USA

Patentee before: Orbotech LT Solar LLC

TR01 Transfer of patent right