JP6140927B2 - 成分送給機構、プラズマリアクタ、及び、半導体基板を処理する方法 - Google Patents

成分送給機構、プラズマリアクタ、及び、半導体基板を処理する方法 Download PDF

Info

Publication number
JP6140927B2
JP6140927B2 JP2012029244A JP2012029244A JP6140927B2 JP 6140927 B2 JP6140927 B2 JP 6140927B2 JP 2012029244 A JP2012029244 A JP 2012029244A JP 2012029244 A JP2012029244 A JP 2012029244A JP 6140927 B2 JP6140927 B2 JP 6140927B2
Authority
JP
Japan
Prior art keywords
gas
processing chamber
processing
component
power
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2012029244A
Other languages
English (en)
Other versions
JP2012169629A (ja
Inventor
ゴッチョー・リチャード・エー.
ステガー・ロバート・ジェイ.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2012169629A publication Critical patent/JP2012169629A/ja
Application granted granted Critical
Publication of JP6140927B2 publication Critical patent/JP6140927B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Description

本発明は、IC製造に用いる半導体基板又はフラットパネルディスプレイとして用いるガラスパネル等の基板を処理する装置及び方法に関する。特に、本発明は、基板表面全体に亘り高度の処理均一性で基板を処理し得る改良型処理システムに関する。
半導体を基礎とした製品、例えば、フラットパネルディスプレイ又は集積回路の製造中には、トランジスタ、キャパシタ、レジスタ、相互接続、及びその他のデバイスを形成するために、基板の表面上で多数の蒸着及び/又はエッチング工程を利用することができる。蒸着中には、様々な材料の層が、基板の表面に次々に蒸着され、積層が形成される。例えば、絶縁体、伝導体、及び半導体の層を、基板の表面に形成することができる。逆に、基板、更に詳しくは積層の所定の領域から材料を選択的に取り除くために、エッチングを利用することができる。例えば、バイア、接点、又はトレンチといったエッチング特徴部を、基板の層内に形成することができる。
エッチング及び蒸着プロセスと、それらのプロセスに関連するリアクタとは、以前から存在する。例えば、化学蒸着(CVD)、熱CVD、プラズマ化学蒸着(PECVD)、スパッタリング等の物理蒸着(PVD)、及びその他を含む蒸着プロセスと、ドライエッチング、プラズマエッチング、反応イオンエッチング(RIE)、磁気反応イオンエッチング(MERIE)、電子サイクロトロン共鳴(ECR)、及びその他に適合するものを含むエッチングプロセスとは、半導体基板及びディスプレイパネルを処理するために、様々な次元で導入及び利用されてきた。
基板の処理において、エンジニアが改良を目指している最も重要なパラメータの一つは、処理均一性である。例えば、エッチング環境において、エッチング均一性は、均一なデバイス性能とデバイス歩留まりとの重要な決定要素であり、つまり、高レベルのエッチング均一性は、欠陥のない処理済み基板のパーセンテージを改善する傾向があり、これは製造コストの低下へと形を変えることになる。ここで使用される用語として、エッチング均一性とは、エッチング速度と、マイクロローディングと、マスク選択性と、下層選択性と、微少寸法制御と、側壁角度及び粗さのようなプロファイル特性とを含む、基板表面全体でのエッチング処理全体の均一性を指す。例えば、エッチングが非常に均一である場合、基板上の様々なポイントでのエッチング速度は、ほぼ等しくなる傾向がある。この場合、基板の一つのエリアが過度にオーバエッチングされ、他のエリアのエッチングが不十分になる可能性は低い。具体的には説明しないが、蒸着の均一性は、同じく均一なデバイス性能及びデバイス歩留まりの重要な決定要素である点において、エッチングの均一性に類似すると理解されたい。
加えて、多くの応用において、こうした厳密な処理要件は、基板処理中の様々な段階では、矛盾する場合がある。これは、劇的に異なる処理要件で処理する必要がある多数の層が存在するためである場合が多い。例えば、電力、温度、圧力、ガスの化学的性質、及びガス流を含むエッチングレシピは、所望の処理性能を達成するために、単一の基板を処理する間に劇的に変化させなければならない場合がある。更に、プロセスの性質から、周囲の表面、つまりチャンバ壁に材料が堆積し、結果としてプロセスが変動する場合がある。
処理均一性に加え、半導体産業に関する別の問題も存在する。製造業者にとって重要な問題の中には、処理ツールの所有コストがあり、これには例えば、システムを取得及び維持するコストと、許容できるレベルの処理性能を維持するために必要となるチャンバ洗浄の頻度と、システム構成要素の寿命と、その他とが含まれる。したがって、所望のプロセスとは、高品質のプロセスが低コストで生じるような形で、様々な所有コストと処理パラメータとの間で適切なバランスを取るものである場合が多い。更に、基板上の特徴部は小型化し、プロセスへの要求が厳しくなっているため(例えば、小さな微少寸法、高いアスペクト比、速いスループット、及びその他)、プロセスエンジニアは、高品質の処理結果を低コストで達成するための新しい方法及び装置を絶えず探求している。
上述したことに鑑みて、基板表面で均一な処理を生成する改良技術が望まれる。
(1)本発明の第1の形態は、処理チャンバ内でワークピースを処理するために使用される成分を前記処理チャンバ内部に分配する成分送給機構であって、
前記成分を前記処理チャンバの所望の領域に出力する複数の成分出力部であって、前記成分を前記処理チャンバの第一の領域に出力するよう構成された第一の成分出力部と、前記成分を前記処理チャンバの第二の領域に出力するよう構成された第二の成分出力部とを少なくとも含む複数の成分出力部であって、前記処理チャンバの前記第一の領域が前記ワークピースの中央部分に対応し、前記処理チャンバの前記第二の領域が前記ワークピースの外側部分に対応する、複数の成分出力部と、
単一のガス供給源に接続されるとともに、前記第一と第二の成分出力部に接続された空間分配スイッチと、
を備え、
前記空間分配スイッチは、前記成分を前記第一の成分出力部に振り向ける第一の状態と、前記成分を前記第二の成分出力部に振り分ける第二の状態とを有し、処理中に前記処理チャンバ内部に前記成分を空間的に分配するために状態間の時間調節を行うよう構成されており、
前記成分は、前記単一のガス供給源から供給される気体原材料であり、
前記空間分配スイッチは、前記単一のガス供給源から受け取った前記気体原材料を、前記第一の領域のために前記第一の成分出力部に伝達するか、又は、前記第二の領域のために前記第二の成分出力部に伝達し、
前記空間分配スイッチは、前記処理チャンバの前記第一の領域に前記気体原材料を分配する時間量を、前記処理チャンバの前記第二の領域に前記気体原材料を分配する時間量に比べて増加させることによって、前記ワークピースの中央部分近くの反応性中性物を増加させる、
成分送給機構である。
(2)本発明の第2の形態は、半導体基板を処理するための空間的に制御されたプラズマリアクタであって、
前記半導体基板を処理するために内部でプラズマを発生させるとともに維持する処理チャンバであって、前記半導体基板の内側領域の上に位置決めされる内側処理区域と、前記半導体基板の前記内側領域の周囲にある外側領域の上に位置決めされる外側処理区域と、を含む処理チャンバと、
単一のガス供給部と、ガス注入ポートと、ガス分配スイッチとを備えるガス注入機構と、
を備え、
前記単一のガス供給部は、前記プラズマを形成して前記基板を処理するために一部使用される気体原材料を、前記ガス注入ポートに供給するように構成されており、
前記ガス注入ポートは、
前記ガス分配スイッチを介して前記単一のガス供給部に接続され、前記処理チャンバの前記内側処理区域に前記気体原材料を放出するように構成された内側ガス注入ポートと、
前記ガス分配スイッチを介して前記単一のガス供給部に接続され、前記処理チャンバの前記外側処理区域に前記気体原材料を放出するように構成された外側ガス注入ポートと、
を含み、
前記ガス分配スイッチは、前記単一のガス供給部からの前記気体原材料を、前記内側ガス注入ポートまたは前記外側ガス注入ポートのいずれかに選択的に振り向けるように構成されており、
前記ガス分配スイッチは、前記処理チャンバの前記内側処理区域に前記気体原材料を分配する時間量を、前記処理チャンバの前記外側処理区域に前記気体原材料を分配する時間量に比べて増加させることによって、前記半導体基板の中央部分近くの反応性中性物を増加させる、
プラズマリアクタである。
(3)本発明の第3の形態は、半導体基板を処理する方法であって、
プラズマを形成して前記半導体基板を処理するために使用される少なくとも第一と第二の成分を処理チャンバに連続的に供給するステップであって、前記処理チャンバは、前記半導体基板の中央部分に対応する内側領域と、前記中央部分を取り囲む前記半導体基板の外側部分に対応する外側領域とを備える、ステップと、
第一の時間多重化を用いて、前記第一の成分が前記処理チャンバの前記内側領域のみに供給される第一の供給状態と、前記第一の成分が前記処理チャンバの前記外側領域のみに供給される第二の供給状態との間で交互に切り換わるように、前記第一の成分の供給を選択的に切り換えて、前記処理チャンバの前記内側領域と前記外側領域との間の前記第一の成分の濃度に作用するステップであって、前記第一の供給状態は、前記第一の成分が前記処理チャンバの前記内側領域に供給されることを可能にすると同時に前記第一の成分が前記処理チャンバの前記外側領域に供給されることを防止し、前記第二の供給状態は、前記第一の成分が前記処理チャンバの前記外側領域に供給されることを可能にすると同時に前記第一の成分が前記処理チャンバの前記内側領域に供給されることを防止する、ステップと、
前記第一の時間多重化とは別の第二の時間多重化を用いて、前記第二の成分が前記処理チャンバの前記内側領域のみに供給される第三の供給状態と、前記第二の成分が前記処理チャンバの前記外側領域のみに供給される第四の供給状態との間で交互に切り換わるように、前記第二の成分の供給を選択的に切り換えて、前記処理チャンバの前記内側領域と前記外側領域との間の前記第二の成分の濃度に作用するステップであって、前記第三の供給状態は、前記第二の成分が前記処理チャンバの前記内側領域に供給されることを可能にすると同時に前記第二の成分が前記処理チャンバの前記外側領域に供給されることを防止し、前記第四の供給状態は、前記第二の成分が前記処理チャンバの前記外側領域に供給されることを可能にすると同時に前記第二の成分が前記処理チャンバの前記内側領域に供給されることを防止する、ステップと、
を備え、
前記第一の成分はエネルギであり、前記第二の成分はガスであり、
前記処理チャンバの前記内側領域に前記ガスを分配する時間量を、前記処理チャンバの前記外側領域に前記ガスを分配する時間量に比べて増加させることによって、前記半導体基板の中央部分近くの反応性中性物を増加させる、方法である。
(4)本発明の第4の形態は、半導体基板の中央部分の上に位置決めされる内側処理区域と、前記中央部分の周囲にある前記半導体基板の外側部分の上に位置決めされる外側処理区域とを含む処理チャンバ内に、半導体基板のエッチングに関連するプラズマを形成する方法であって、
単一の電源から電力を連続的に供給するステップと、
前記電力が供給されている時に、単一のガス供給源からガスの流れを連続的に供給するステップと、
時間多重化を用いて、前記供給された電力により、前記処理チャンバ内で第一および第二の電場を交互に生成するステップであって、前記第一の電場は前記内側処理区域で生成され、前記第二の電場は前記外側処理区域で生成され、前記第一および第二の電場は、複数のタイムスライスに分割される電力時間系列に従って生成される、ステップと、
各タイムスライスにおいて、前記第一および第二の電場に関連するパラメータを制御して、前記内側処理区域及び前記外側処理区域におけるイオンの量に影響を与えるステップと、
時間多重化を用いて、前記供給されたガスを前記処理チャンバの前記内側処理区域及び前記外側処理区域に交互に放出するステップであって、前記ガスは、複数のタイムスライスに分割されるガス時間系列に従って放出される、ステップと、
各タイムスライスにおいて、前記放出されるガスに関連するパラメータを制御して、前記内側処理区域及び前記外側処理区域における反応性中性物の量に影響を与えるステップと、
を備え、
前記処理チャンバの前記内側処理区域に前記ガスを分配する時間量を、前記処理チャンバの前記外側処理区域に前記ガスを分配する時間量に比べて増加させることによって、前記半導体基板の中央部分近くの反応性中性物を増加させる、方法である。
(5)本発明の第5の形態は、半導体基板を処理するための空間的に制御されたプラズマリアクタであって、
前記半導体基板を処理するために内部でプラズマを発生させるとともに維持する処理チャンバであって、前記半導体基板の内側領域の上に位置決めされる内側処理区域と、前記内側領域の周囲にある前記半導体基板の外側領域の上に位置決めされる外側処理区域と、を含む処理チャンバと、
前記処理チャンバの内部に電場を生成するように構成された電力送給機構であって、前記電力送給機構は、
前記プラズマを発生させるとともに維持するのに十分な強さのエネルギを発生させる単一の電源と、
前記単一の電源に接続された電極であって、前記内側処理区域で電場を生成するように構成された第一のコイルと、前記外側処理区域で電場を生成するように構成された第二のコイルとを有する電極と、
前記単一の電源と前記電極の前記内側コイル及び前記外側コイルとの間に配置され、前記単一の電源から供給されたエネルギを前記内側コイル又は前記外側コイルに選択的に供給するように構成された電力分配スイッチと、
を備える電力送給機構と、
ガス注入機構であって、
前記プラズマを形成して前記基板を処理するために一部使用される気体原材料を、前記処理チャンバに供給するように構成された単一のガス供給部と、
前記処理チャンバの前記内側処理区域に前記気体原材料を放出するように構成された内側ガス注入ポートと、
前記処理チャンバの前記外側処理区域に前記気体原材料を放出するように構成された外側ガス注入ポートと、
を備えるガス注入機構と、
前記単一のガス供給部と前記内側ガス注入ポートと前記外側ガス注入ポートとに接続され、前記単一のガス供給部からの前記気体原材料を、前記内側ガス注入ポート又は前記外側ガス注入ポートのいずれかに振り向けるように構成されたガス分配スイッチと、
を備え、
前記ガス分配スイッチは、前記処理チャンバの前記内側処理区域に前記ガスを分配する時間量を、前記処理チャンバの前記外側処理区域に前記ガスを分配する時間量に比べて増加させることによって、前記半導体基板の中央部分近くの反応性中性物を増加させる、プラズマリアクタである。
本発明は、一実施形態において、処理チャンバ内部で成分を分配する成分送給機構に関する。前記成分は、処理チャンバ内でワークピースを処理するために使用される。前記成分送給機構は、成分を処理チャンバの所望の領域に出力する複数の成分出力部を含む。前記成分送給機構は、更に、複数の成分出力部に結合された空間分配スイッチを含む。前記空間分配スイッチは、成分を複数の成分出力部の少なくとも一つに振り向けるように構成される。前記成分送給機構は、更に、空間分配スイッチに結合された単一の成分供給源を含む。前記単一の成分供給源は、成分を空間分配スイッチに供給するように構成される。
本発明は、別の実施形態において、処理レシピの成分によりワークピースを処理する方法に関する。前記方法は、内部でワークピースが処理され、少なくとも第一の処理区域と第二の処理区域とを含む処理チャンバを提供するステップを含む。各区域は、処理されるワークピースの部分に対応する。前記方法は、更に、処理チャンバの第一の処理区域に成分を出力するステップを含む。前記方法は、追加的に、第一の処理区域から第二の処理区域に切り換えるステップを含む。前記方法は、更に、処理チャンバの第二の処理区域に成分を出力するステップを含む。
本発明は、別の実施形態において、基板を処理する空間的に制御されたプラズマリアクタに関する。前記リアクタは、内部で処理のためにプラズマの発火及び維持の両方が行われる処理チャンバを含む。前記リアクタは、更に、単一の電源と、電力分配スイッチを通じて電源に結合された電極とを有する電力送給機構を含む。単一の電源は、プラズマの発火及び維持を行う十分な強さのエネルギを生成するためのものである。前記電極は、第一のコイルと第二のコイルとを含む。第一のコイルは、処理チャンバの第一の電力領域内で電場を生成するように構成され、第二のコイルは、処理チャンバの第二の電力領域内で電場を生成するように構成される。更に、前記電力分配スイッチは、内側か外側のコイルに電源のエネルギを振り向けるように構成される。前記リアクタは、追加的に、単一のガス供給源と、第一のガス注入ポートと、第二のガス注入ポートと、ガス分配スイッチとを有するガス送給機構を含む。
単一のガス供給源は、プラズマの形成と基板の処理とに部分的に使用されるプロセスガスを生成するためのものである。第一のガス注入ポートは、ガス分配スイッチを通じてガス供給源に結合され、処理チャンバの第一のガス領域にプロセスガスを放出するように構成される。第二のガス注入ポートも、ガス分配スイッチを通じてガス供給源に結合され、処理チャンバの第二のガス領域にプロセスガスを放出するように構成される。更に、ガス分配スイッチは、内側及び外側ガス注入ポート間でガス供給源のプロセスガスを振り向けるように構成される。
本発明は、別の実施形態において、処理チャンバ内部で成分を分配する成分送給機構に関する。前記成分は、処理チャンバ内でワークピースを処理するために使用される。前記成分送給機構は、成分を供給する単一の成分供給源を含む。前記成分送給機構は、更に、単一の成分供給源から成分を受領する成分入力部と、成分を分配する複数の成分出力部とを有する空間分配スイッチを含む。前記空間分配スイッチは、複数の成分出力部のうちの一つ以上に受領済み成分を振り向けるように構成される。
本発明は、以下の適用例としても実現可能である。
[適用例1] 処理チャンバ内でワークピースを処理するために使用される成分を前記処理チャンバ内部に分配する成分送給機構であって、
前記成分を前記処理チャンバの所望の領域に出力する複数の成分出力部と、
前記複数の成分出力部に接続され、前記成分を前記複数の成分出力部のうちの少なくとも一つに振り向けるように構成された空間分配スイッチと、
前記空間分配スイッチに接続され、前記成分を前記空間分配スイッチに供給するように構成された単一の成分供給源と、
を備える成分送給機構。
[適用例2] 前記複数の成分出力部が少なくとも第一の成分出力部と第二の成分出力部とを含み、前記空間分配スイッチが、前記成分を前記第一の成分出力部へ振り向ける第一の状態と、前記成分を前記第二の成分出力部へ振り向ける第二の状態とを有する、適用例1に記載の成分送給機構。
[適用例3] 前記第一の成分出力部が、前記成分を前記処理チャンバの第一の領域に出力するように構成され、前記第二の成分出力部が、前記成分を前記処理チャンバの第二の領域に出力するように構成されている、適用例2に記載の成分送給機構。
[適用例4] 前記処理チャンバの前記第一の領域が前記ワークピースの中央部分に対応し、前記処理チャンバの前記第二の領域が前記ワークピースの外側部分に対応する、適用例3に記載の成分送給機構。
[適用例5] 前記空間分配スイッチが、処理中に前記処理チャンバ内部に前記成分を空間的に分配するために状態間の調節を行うように構成されている、適用例2に記載の成分送給機構。
[適用例6] 前記空間分配スイッチが、処理中に前記処理チャンバ内部での前記成分の濃度に作用するために所定の時間量に亘って一つの状態を維持するように構成されている、適用例2に記載の成分送給機構。
[適用例7] 前記成成分は気体原材料であり、前記単一の成分供給源は前記気体原材料を供給するガス供給ボックスであり、前記複数の成分出力部は前記処理チャンバ内に前記気体原材料を放出する複数のガス注入ポートである、適用例1に記載の成分送給機構。
[適用例8] 前記複数のガス注入ポートは少なくとも第一のガス注入ポートと第二のガス注入ポートとを含み、前記空間分配スイッチは、前記第一のガス注入ポートへ前記気体原材料を振り向ける第一の状態と、前記第二のガス注入ポートへ前記気体原材料を振り向ける第二の状態とを有する、適用例7に記載の成分送給機構。
[適用例9] 前記第一のガス注入ポートは前記処理チャンバの第一の領域内に前記気体原材料を放出するように構成され、前記第二のガス注入ポートは前記処理チャンバの第二の領域内に前記気体原材料を放出するように構成されている、適用例8に記載の成分送給機構。
[適用例10] 前記処理チャンバの前記第一の領域は前記ワークピースの中央部分に対応し、前記処理チャンバの前記第二の領域は前記ワークピースの外側部分に対応する、適用例9に記載の成分送給機構。
[適用例11] 前記空間分配スイッチは前記第一のガス注入ポートと前記第二のガス注入ポートとの間で前記気体原材料を空間的に分配するために状態間で調整を行い、これにより、処理中に前記処理チャンバの前記第一及び第二の領域での前記気体原材料の濃度に作用するように構成されている、適用例9に記載の成分送給機構。
[適用例12] 前記成分はエネルギであり、前記単一成分供給源はエネルギを供給する電源であり、前記複数の成分出力部は前記処理チャンバ内部で電場を生成する複数の電極コイルである、適用例1に記載の成分送給機構。
[適用例13] 前記複数の電極コイあ少なくとも第一のコイルと第二のコイルとを含み、前記空間分配スイッチは、前記第一のコイルへ前記エネルギを振り向ける第一の状態と、前記第二のコイルへ前記エネルギを振り向ける第二の状態とを有する、適用例12に記載の成分送給機構。
[適用例14] 前記第一のコイルは前記処理チャンバの第一の領域内に電場を生成するように構成され、前記第二のコイルは前記処理チャンバの第二の領域内に電場を生成するように構成されている、適用例13に記載の成分送給機構。
[適用例15] 前記処理チャンバの前記第一の領域は前記ワークピースの中央部分に対応し、前記処理チャンバの前記第二の領域は前記ワークピースの外側部分に対応する、適用例14に記載の成分送給機構。
[適用例16] 前記空間分配スイッチは前記第一のコイルと第二のコイルとの間でエネルギを空間的に分配するために状態間で調整を行い、これにより、処理中に前記処理チャンバの前記第一及び第二の領域で電場の大きさに作用するように構成されている、適用例14に記載の成分送給機構。
[適用例17] 前記ワークピースは半導体基板である、適用例1に記載の成分送給機構。
[適用例18] 前記成分送給機構は、プラズマリアクタにおいて使用され、前記ワークピースを均一に処理するように前記処理チャンバ内部のイオン及び中性物の量に作用する、適用例1に記載の成分送給機構。
[適用例19] 基板を処理するための空間的に制御されたプラズマリアクタであって、
処理のために内部でプラズマを発生させるとともに維持する処理チャンバと、
電力送給機構と、
ガス送給機構と、
を備え、
前記電力送給機構は、
前記プラズマを発生させるとともに維持するのに十分な強さのエネルギを発生させる単一の電源と、
前記電源に接続された電極であって、前記処理チャンバの第一の電力領域内で電場を生成するように構成された第一のコイルと、前記処理チャンバの第二の電力領域内で電場を生成するように構成された第二のコイルとを有する電極と、
前記電源と前記電極の前記内側コイル及び前記外側コイルとの間に配置され、前記電源のエネルギを前記内側コイルまたは前記外側コイルに振り向けるように構成された電力分配スイッチと、
を含み、
前記ガス送給機構は、
前記プラズマの形成と前記基板の処理とに一部使用されるプロセスガスを生成する単一のガス供給源と、
前記ガス供給源に接続され、前記処理チャンバの第一のガス領域内に前記プロセスガスを放出するように構成された第一のガス注入ポートと、
前記ガス供給源に結合され、前記処理チャンバの第二のガス領域内に前記プロセスガスを放出するように構成された第二のガス注入ポートと、
前記ガス供給源と前記内側ガス注入ポート及び前記外側ガス注入ポートとの間に配置され、前記ガス供給源の前記プロセスガスを前記内側ガス注入ポートまたは前記外側ガス注入ポートに振り向けるように構成されたガス分配スイッチと、
を含む、
プラズマリアクタ。
[適用例20] 処理チャンバ内でワークピースを処理するために使用される成分を前記処理チャンバ内部に分配する成分送給機構であって、
前記成分を供給する成分供給源と、
前記成分供給源から前記成分を受領する単一の入力部と、前記成分を放出する少なくとも第一の出力部及び第二の出力部と、を有する空間分配スイッチであって、前記第一の出力部を通じて前記成分を振り向ける第一の状態と、前記第二の出力部を通じて前記成分を振り向ける第二の状態とを少なくとも有する空間分配スイッチと、
少なくとも、前記空間分配スイッチの前記第一の出力部に接続されて前記処理チャンバの前記第一の領域内に前記成分を出力するように構成された第一の成分出力部、および、前記空間分配スイッチの前記第二の出力部に接続されて前記処理チャンバの前記第二の領域内に前記成分を出力するように構成された第二の成分出力部と、
前記空間分配スイッチを制御するコントローラであって、前記処理チャンバの第一及び第二の領域での前記成分の濃度に作用するために、少なくとも前記第一状態と前記第二の状態との間で前記空間分配スイッチを振り向けるように構成されたコントローラと、
を備える成分送給機構。
[適用例21] 処理レシピの成分によりワークピースを処理する方法であって、
前記ワークピースが内部で処理される処理チャンバであって、少なくとも第一の処理区域と第二の処理区域とを含み、各区域が、処理される前記ワークピースの一部に対応する処理チャンバを準備するステップと、
前記処理チャンバの前記第一の処理区域内に前記成分を出力するステップと、
前記第一の処理区域から前記第二の処理区域に切り換えるステップと、
前記処理チャンバの前記第二の処理区域内に前記成分を出力するステップと、
を備える方法。
[適用例22] 処理チャンバ内でワークピースを処理するために使用される成分を前記処理チャンバ内部に分配する成分送給機構であって、
前記成分を供給する単一の成分供給源と、
前記単一の成分供給源から前記成分を受領する成分入力部と、前記成分を分配する複数の成分出力部とを有し、受領した前記成分を前記複数の成分出力部のうちの一つ以上に振り向けるように構成された空間分配スイッチと、
を備える成分送給機構。
本発明の一実施形態による、プラズマリアクタを示す図である。 本発明の第一の実施形態による、空間分配スイッチを示す図である。 本発明の第一の実施形態による、空間分配スイッチを示す図である。 本発明の一実施形態による、スイッチの動作を時間の関数として示す例示的な時間対方向の図である。 本発明の一実施形態による、図2の成分送給機構のスイッチに関連する動作を示す流れ図である。 本発明の一実施形態による、部分的レシピ設定を例示する表である。 本発明の一実施形態による、電力送給機構を示す図である。 本発明の一実施形態による、電力送給機構を示す図である。 本発明の一実施形態による、ガス送給機構を示す図である。 本発明の一実施形態による、図7のガス送給機構において使用し得るガス分配プレートを示す図である。
本発明は、基板を均一に処理する改良された方法及び装置に関する。本発明は、処理チャンバ内部で基板を処理する反応物を形成するのに使用される成分の分配に対する制御を促進することで、処理均一性を達成する。こうした成分は、一般に、処理レシピの一部であり、電力、ガス流、温度、及びその他を含むことができる。本発明は、基板の処理にイオンと中性物(反応物等)との両方が使用されるプラズマ処理システムにおいて、特に有益である。本発明の一態様は、処理チャンバ内の多数の独立した区域への成分の分配を空間的に分離することに関する。本発明の別の態様は、単一の供給源から供給される成分の分配を、独立した区域それぞれの間で切り換えること(或いは空間的に調節すること)に関する。本発明の別の態様は、成分及び/又は成分構成要素の量を、空間的区域それぞれの間で変化させることに関する。本発明の更に別の態様は、成分が独立した区域それぞれで費やす時間を変化させることに関する。
本発明は、一実施形態おいて、処理チャンバ内部で成分を分配する成分送給機構に関する。前記システムは、電力、ガス流、温度、及びその他を含む広範な成分に適用することができる。前記成分は、基板を処理する反応物を形成するために使用可能であり、或いは、処理を促進する処理条件を制御するために使用できることは理解されよう。一実施例において、前記成分送給機構は、処理チャンバ内の様々な領域で形成される反応物の量を変更するように構成される。したがって、処理チャンバ内部の反応物の量を変更する結果として、処理の均一性を達成することができる。
前記成分送給機構は、一般に、複数の独立した成分出力部と、単一の成分供給源と、空間分配スイッチと、コントローラとを含む。前記独立成分出力部は、処理チャンバの所望の領域に成分を出力するように構成される。例えば、独立成分出力部は、処理チャンバの内側領域及び外側領域に成分を出力するように構成することができる。理解されるように、内側領域及び外側領域は、それぞれ、基板の中央部及びエッジ部に対応させることができる。単一の成分供給源は、独立成分出力部に成分を供給するように構成される。単一の成分供給源とは、成分を出力する単一の出力部を有する成分供給源を意味する。成分自体は、単一でない場合があり、様々な構成要素で構成することができる。例えば、ガス送給機構の場合、前記成分は、事前に混合され、単一のガス供給源により送出される複数のガスで構成することができる。空間分配スイッチは、単一の成分供給源と独立成分出力部との間に配置され、複数の独立成分出力部の一つに成分を振り向ける多数の位置を有するように構成されている。例えば、前記スイッチの第一の位置は、第一の成分出力部に成分を振り向けることが可能であり、前記スイッチの第二の位置は、第二の成分出力部に成分を振り向けることができる。
更に、コントローラは、空間スイッチと単一の成分供給源との両方と通信する状態にある。コントローラの一態様は、空間スイッチを多数の位置のそれぞれに選択的に動かすように構成される。選択的とは、コントローラがプロセス中にスイッチを特定の回数だけ動かして、所定の期間に亘ってスイッチを独立成分出力部の一つに留めることを意味する。例えば、ガス流の場合、前記ガス流は、第一の時間T1に第一の出力部へ流すこと、第二の時間T2に第二の出力部へ流すこと、及び/又は第二の出力部と比較して延長又は短縮した時間に亘って第一の出力部へ流すことが可能である。コントローラの別の態様は、成分の量と、成分の構成要素と、成分の構成要素の比率とを変化させるように構成される。例えば、ガス流の場合、放出ガスの流量と、合計流量に占めるガス及び構成要素の比率とは、制御されたスイッチそれぞれの間で調整することができる。
本発明の一態様によれば、処理中に、基板の中央部と比較して基板のエッジ部近くでの反応物又は流束の量を増加/減少させるために、成分の方向及び/又は一つ以上の前記のパラメータを変化させることで、処理均一性が促進される。本発明の別の態様によれば、処理中に、基板のエッジ部と比較して基板の中央部近くでの反応物の量を増加/減少させるために、成分の方向及び/又は一つ以上の前記のパラメータを変化させることで、処理均一性が促進される。こうした実施形態については、以下で更に詳細に説明する。
一実施形態においては、複数の成分送給機構を有する空間送給システムを含むプラズマ処理システムが開示される。前記空間送給システムは、切換式均一性制御を提供するように構成される。一般に、プラズマは、処理チャンバにプロセスガスを流入させ、チャンバ内部に存在する少数の電子を加速して、プロセスガスのガス分子と衝突させる電場を生成することで形成される。こうした衝突により、イオン化が生じ、放電又はプラズマが発生する。当該技術では周知のように、プロセスガスの中性ガス分子は、こうした強力な電場に晒される時、電子を失い、正に荷電したイオンを残す。結果として、正に荷電したイオンと、負に荷電した電子と、中性ガス分子とが処理チャンバ内に閉じ込められる。これに応じて、イオンは、基板に向けて加速され、中性化学種と共同で、基板を処理する。代替方法では、電子の付加によって形成された負のイオンを使用して、基板を処理することもできる。例として、処理には、エッチング、蒸着、及びその他を含めることができる。
基板処理システムにおいて周知の問題は、空間的に均一な処理の達成が困難なことであり、これは例えば、反応物注入ポイントと(基板を越えた)ポンプ開口部との間での反応物の枯渇によるものであり、或いは、基板の中央部よりもエッジ部に多くの影響を与えるチャンバ表面上での反応物及び生成物の吸収/脱離によるものである。本明細書で開示する空間送給システムでは、処理チャンバ内の処理条件を空間的に変化させることで、こうした本質的に不均一な影響を修正することを試みている。従来の技術には、多重電力及びガス注入区域のようなアプローチが含まれる。こうした設計では、非常にコストのかかる多数の供給源(発電機及びガス送給システム)を使用する。本明細書で開示する方法は、単一の供給源による単純な方法を使用するが、リアクタ内の多数の供給区域に割り当てられるタイムスライスを生成する時間多重化を利用する。
前記空間送給システムは、チャンバ内のイオンと中性物との両方の供給源を空間的に分離するように構成される。イオンについて、空間的な分離は、処理チャンバ内の多数の独立した電力区域内で電場を生成する電力送給機構を使用することで達成できる。一実施形態において、前記電力送給機構は、単一の電源と、第一のコイルと、第二のコイルと、電力分配スイッチとを含む。電力分配スイッチは、第一及び第二のコイルの間で単一の電源のエネルギを選択的に振り向けるように構成される。これにより、イオンの生成は、二つのコイルの間で切り換えを行うことで、処理チャンバの所望の領域において制御することができる。一実施形態において、二つのコイルは、処理チャンバの内側領域及び外側領域に関連し、更に詳しくは、基板の中央部及びエッジ部に関連する。加えて、切り換えられた電力の大きさ及び期間といったパラメータは、二つの領域でのイオンの生成に作用するために、調整することが可能である。一般に、一定の量のガスに多くの電力が加えられると、イオン化も増大する。更に、一定の量の電力を一定の量のガスに加える時間を延長すると、一般にイオン化も増大する。
中性物について、空間的な分離は、多数の独立したガス注入区域にプロセスガスを注入するガス送給機構を使用することで達成できる。一実施形態において、前記ガス送給機構は、単一のガス供給源と、第一のガス注入ポート又は第一のポートセットと、第二のガス注入ポート又は第二のポートセットと、ガス分配スイッチとを含む。ガス分配スイッチは、第一及び第二のガス注入ポートの間でガス供給源のガス流を選択的に振り向けるように構成される。これにより、中性物の量は、二つのポートの間で、適切なタイミングで交互に切り換え(時間多重化)を行うことで、処理チャンバの所望の領域において制御することができる。一実施形態において、二つのポートは、処理チャンバの内側領域及び外側領域に関連し、更に詳しくは、基板の中央部及びエッジ部に関連する。
上記と同様に、切り換えの大きさ(流量等)及び期間といったパラメータにより、二つの領域でのガスの量に更に影響を与えることができる。一般に、流量が増加された領域及び/又は流入が特定の時間量(タイムスライス)に亘って延長された領域では、確認できる中性物の量が大きくなる。更に、ガスの場合、ガスの化学的性質は、それぞれの空間的区域又はタイムスライスの間で修正し、処理条件に更に影響を与えることができる。例えば、1/2のガスAと1/2のガスBとで構成されるプロセスガスを、1/3のガスAと、1/3のガスBと、1/3のガスCとで構成されるガスに変更することが可能であり、或いは、それぞれの比率を変更して、3/4のガスAと1/4のガスBとで構成されるガスにすることができる。化学的性質が異なると異なる処理結果が生じることは、当業者には理解されよう。つまり、化学的性質を変化させることにより、処理チャンバ内の活性反応物を更に増減することができる。
本発明の実施形態については、以下で図1ないし図8を参照して説明する。しかしながら、こうした図に関する本明細書の詳細な説明は、例示的な目的でなされており、本発明がこうした限定的な実施形態の範囲を超えるものであることは、当業者には容易に理解されよう。
図1は、本発明の一実施形態による、プラズマリアクタ10の説明図である。プラズマリアクタ10は、処理チャンバ12を含み、その一部はチャンバ壁14によって定められ、その内部では基板18を処理するためにプラズマ16が発火及び維持される。基板18は、処理されるワークピースを表し、これは例えば、エッチングその他の処理を施される半導体基板、或いはフラットパネルディスプレイへと処理されるガラスパネルを表すことができる。例示の実施形態において、処理チャンバ12は、ほぼ円筒の形状となるように構成され、チャンバ壁は、ほぼ垂直となるように構成される。しかしながら、本発明は、前記に限定されず、チャンバ壁を含め、様々な形状の処理チャンバを使用できると理解するべきである。
ほとんどの実施形態において、基板18は、処理チャンバ12内に導入され、処理中に基板18を支持及び保持するように構成されたペデスタル20上に配置される。ペデスタル20は、一般に、底部電極22と、エッジリング24と、チャック26とを含む。一実施形態において、底部電極22には、高周波電源28により、整合回路網29を介して、バイアスが加えられる。高周波電源28は、底部電極22に高周波エネルギを供給するように構成される。ほとんどの場合では、電極/電源の配置は、チャック26と、エッジリング24と、基板18とを通じてエネルギを結合するのに十分な強さの電場を生成するように構成される。例として、底部電極22によって生成されるエネルギは、基板18の表面とプラズマ16との間に、プラズマ16のイオンを基板18に向けて加速するために使用されるシース電圧を形成するように構成することができる。更に、前記電極は、高周波電源に結合されるものとして図示及び説明されているが、他の構成を使用して、様々な処理チャンバに対応させること、或いはエネルギの結合を可能にするために必要な他の外的要素に適合させることができると理解されるべきである。例えば、一部の単一周波数プラズマリアクタでは、ペデスタルは、接地することができる。
エッジリング24に関して、エッジリング24は、基板のエッジ部近くを処理する際の電気的及び機械的特性を改善し、底部電極22及びチャック26を反応物(つまり、イオン衝撃)から遮断するように構成される。そのため、エッジリング24は、基板18のエッジ部を囲むように構成され、底部電極22の上方、チャック26の周りに配置される。ほとんどの場合では、エッジリング24は、過度の摩耗後に交換される消耗成分として構成される。エッジリング24は、珪素、二酸化珪素、窒化珪素、炭化珪素、クオーツ(SiO2の形態等)、セラミック(Al23等)、及びその他といった適切な誘電体で形成することができる。
チャック26に関して、チャック26は、底部電極22の上部表面に結合され、一般に、基板18が処理のためにペデスタル20上に置かれる時、基板18の裏面を受け入れるように構成される。例示する実施形態において、チャック26は、ESC(静電)チャックを表し、これは基板18を静電力によりチャックの表面に固定する。しかしながら、機械式チャックも使用できると理解されるべきである。一部の実施形態において、ヘリウム冷却ガスが、基板の裏面及び/又はエッジリングの裏面に更に送出され、処理中に基板及びエッジリングの温度を制御するのを助け、これにより、均一で再現可能な処理結果を確保する。
加えて、ペデスタル20は、ほぼ円筒の形状で、処理チャンバと軸線方向が一致し、処理チャンバとペデスタルとが円筒対称となるように構成される。しかしながら、これは限定的なものではなく、ペデスタルの配置は、各プラズマ処理システムに特有の設計により変化させ得ることに留意されたい。ペデスタルは、基板18をローディング及びアンローディングする第一の位置(図示せず)と、基板18を処理する第二の位置(図示の通り)との間で移動するように構成することもできる。或いは、プッシュピンを使用して、ローディング及びアンローディングする第一の位置から、基板18を処理する第二の位置へ、基板18を移動させることができる。こうした型の搬送システムは、当該技術では周知であり、簡潔化のため、更なる詳細な説明は省略する。
加えて、排気ポート30が、チャンバ壁14とペデスタルとの間に配置される。排気ポート30は、処理中に形成されたガスを排気するように構成され、一般に、処理チャンバ12の外部に位置するターボ分子ポンプ(図示せず)に結合される。ほとんどの実施形態において、ターボ分子ポンプは、処理チャンバ12内部で適切な圧力を維持するように構成される。更に、排気ポートはチャンバ壁とペデスタルとの間に配置された状態で図示されているが、排気ポートの実際の配置は、各プラズマ処理システムに特有の設計により変化させることができる。例えば、ガスの排気は、処理チャンバの壁に組み込んだポートにより達成することもできる。
処理チャンバ12の外側、更に詳しくは、誘電窓32の外側には、処理チャンバ12内部でプラズマ16を発火及び維持するのに十分な強さのエネルギを分配する電力送給機構34が配置される。電力送給機構34は、単一の高周波電源36と、誘導電極38と、電力分配スイッチ40とを含む。高周波電源36は、誘導電極38に整合回路網37を介して高周波エネルギを供給するように構成され、誘導電極38は、処理チャンバ12内部に電場を生成するように構成される。一実施形態によれば、誘導電極38は、複数の別個である空間的に区別可能なコイルに分割される。例示する実施形態において、誘導電極38は、内側コイル38Aと外側コイル38Bとに分割される。内側コイル38Aは、処理チャンバ12の内側処理区域42内で電場を生成するように構成され、外側コイル38Bは、処理チャンバ12の外側処理区域44内で電場を生成するように構成される。理解されるように、内側処理区域42は、一般に、基板18の内側領域46(又は中央部)に対応し、外側処理区域44は、一般に、基板18の外側領域48(外側エッジ部)に対応する。したがって、内側コイル38Aは、一般に、基板18の内側領域46の上方でのイオン及び反応性中性物の形成を制御し、外側コイル38Bは、一般に、基板18の外側領域48の上方でのイオン及び反応性中性物の形成を制御する。
それぞれのコイル38A及び38Bは、電力分配スイッチ40を通じて、高周波電源36と整合回路網37とに別個に結合される。電力分配スイッチ40は、エネルギを、高周波電源36から、内側コイル38Aと外側コイル38Bとの間で振り向けるように構成される。つまり、電力分配スイッチ40は、エネルギを内側コイル38Aへ振り向ける第一の位置と、エネルギを外側コイル38Bへ振り向ける第二の位置とを有するように構成される。したがって、電力分配スイッチ40の位置に応じて、内側コイル38A又は外側コイル38Bのいずれかが、高周波電源36に結合される。電力分配スイッチ40は、更に、信号接続線76を介してコントローラ75と通信する。一実施形態において、コントローラ75は、電力分配スイッチ40に対して、第一の位置から第二の位置へ(又はその逆へ)移動する時期、及び/又は、別の位置へ移動する前に位置に留まる長さを通知するように構成される。コントローラ75は、更に、電源に関連する様々な動作を制御するように構成され、これには、高周波電源36の強さ(ワット等)を制御することが非限定的に含まれる。図示のように、コントローラ75は、信号接続線77を介して、電源36に結合される。空間分配スイッチについては、図2及び図3で更に詳細に説明する。
プラズマリアクタ10は、更に、処理チャンバ12内にプロセスガスを分配するガス注入機構50を含む。ガス注入機構50は、一般に、単一のガスボックス52と、ガス注入ポート54と、ガス分配スイッチ56とを含む。ガスボックス52は、気体原材料を(ガスライン58を介して)ガス注入ポート54に送給するように構成され、ガス注入ポート54は、気体原材料を処理チャンバ12に、更に詳しくは誘電窓32と基板18との間の高周波誘導プラズマ領域に、放出するように構成される。図示のように、ガス注入ポート54は、処理チャンバ12の内周の周りに、更に詳しくは誘電窓32(又はガス分配プレート)を通じて、配置される。代わりに、気体原材料は、処理チャンバ自体の壁に組み込まれたポートから放出すること、或いは、誘電窓に配置されたシャワーヘッドを通じて、放出することができる。更に、ガスボックス52は、通常、流量と、使用する気体原材料の種類と、気体原材料の比率とを制御するように構成されたガス流コントローラシステム(図1に図示せず)を含む。ガスボックス52は、一般に、複数の外部ガスライン60を介して、様々な気体原材料を供給するように構成された複数のガスボンベ(図示せず)に結合される。気体原材料については、当該技術では周知であり、ここでは詳細に説明しない。
一実施形態によれば、ガス注入ポート54は、複数の別個である空間的に区別可能なポートに分割される。例示する実施形態において、ガス注入ポート54は、内側ポート54Aと外側ポート54Bとに分割される。内側ポート54Aは、処理チャンバ12の内側処理区域42内に気体原材料を放出するように構成され、外側ポート54Bは、処理チャンバ12の外側処理区域44内に気体原材料を放出するように構成される。前に述べたように、内側処理区域42は、一般に、基板18の内側領域46に対応し、外側処理区域44は、一般に、基板18の外側領域48に対応する。したがって、内側ポート54Aは、一般に、基板18の内側領域46の上方での中性物の量を制御し、外側ポート54Bは、一般に、基板18の外側領域48の上方での中性物の量を制御する。
それぞれのポート54A及び54Bは、ガス分配スイッチ56を通じて、ガスボックス52に別個に接続される。ガス分配スイッチ56は、ガスボックス52から供給される気体原材料を、内側ポート54Aと外側ポート54Bとの間で振り向けるように構成される。つまり、ガス分配スイッチ56は、気体原材料を内側ポート54Aへ振り向ける第一の位置と、気体原材料を外側ポート54Bへ振り向ける第二の位置とを有するように構成される。したがって、ガス分配スイッチ56の位置に応じて、内側ポート54A又は外側ポート54Bのいずれかが、ガスボックス52に接続される。前記第二の分配スイッチ56は、更に、信号接続線78を介してコントローラ75と通信する。一実施形態において、コントローラ75は、ガス分配スイッチ56に対して、第一の位置から第二の位置へ(又はその逆へ)動く時期、及び/又は、別の位置へ動く前にその位置に留まる時間の長さを通知するように構成される。コントローラ75は、更に、信号接続線79を介して、ガスボックス52に接続される。コントローラ75は、ガスボックス52に関連する様々な動作を制御するように構成され、これには、気体原材料混合物のガスそれぞれの流量及びガス流比率を制御することが非限定的に含まれる。同様に、空間分配スイッチについては、図2及び図3で更に詳細に説明する。
簡単に言えば、プラズマ16を作成するために、プロセスガス(例えば、単一の気体原材料又は気体原材料の混合物)は、一般に、少なくとも一つのガス注入ポート54を通じて処理チャンバ12内に導入される。次に、高周波電源36を使用して少なくとも一つの電極38に電力が供給され、大きな電場が、処理チャンバ12内部に生成される。この電場は、処理チャンバ12内部に存在する少数の電子を加速し、プロセスガスのガス分子に衝突させる。こうした衝突の結果、イオン化が生じ、プラズマ16が発生する。当該技術では周知のように、プロセスガスの中性ガス分子は、こうした強い電場に晒される時、電子を失い、正に荷電したイオンを残す。結果として、正に荷電されたイオンと、負に荷電されたイオンと、中性ガス分子とが、処理チャンバ12内部に閉じ込められる。
プラズマ16の形成中には、処理チャンバ12内部の中性ガス分子も、基板の表面に向かう傾向にある。例として、基板での中性ガス分子の存在に寄与する機構の一つは、拡散である(つまり、チャンバ内部の分子のランダムな移動)。したがって、中性化学種(中性ガス分子等)の層は、通常、基板18の表面に沿って確認される可能性がある。これに対応して、底部電極22に電力が加えられる時、イオンは、基板18に向けて加速されるようになり、ここで中性化学種と共に、基板の処理、つまりエッチング又は蒸着を活性化させる。
なお、図1に示す電力送給機構とガス送給機構は、いずれも、処理均一性を促進するために使用できることは理解されよう。例として、電力送給機構は、内側及び外側コイル間で切り換えを行うことで、処理チャンバ内部のイオン濃度を空間的に変更するために使用可能であり、ガス送給機構は、内側及び外側ガス注入ポート間で切り換えを行うことで、処理チャンバ内部の中性物濃度を空間的に変更するために使用できる。加えて、量と、切り換えまでの持続時間と、構成要素と、構成要素の比率とをタイムスライス間で変更し、イオン及び中性物の濃度を更に変化させることもできる。そこで、基板表面の処理均一性を促進することに関連するいくつかの例について説明する。
本発明の一態様によれば、基板エッジ部近くのイオン濃度を増減して、基板の中央部及びエッジ部の間の処理均一性を改善する。これは、本発明の特徴を使用した様々な方法で達成できる。例として、基板エッジ部近くのイオンを増加させるアプローチの一つは、外側区域で電力を分配する時間量を、内側区域で電力を分配する時間量に比べて増加させることである。逆に、この時間量を減らして、基板エッジ部近くのイオンを減らすこともできる。しかしながら、内側区域で形成されたイオンが外側区域に向けて拡散する可能性があるために、外側区域で必要となる時間は、内側区域で必要となる時間量よりも小さくなる場合があることに留意されたい。基板エッジ部近くのイオンを増加させる別のアプローチは、外側区域で分配する電力量を、内側区域で分配する電力量に比べて増加させることである。逆に、この電力量を減らして、基板エッジ部近くのイオンを減らすこともできる。
本発明の一態様によれば、基板中央部近くのイオン濃度を増減して、基板の中央部及びエッジ部の間の処理均一性を改善する。同様に、これは、本発明の特徴を使用した様々な方法で達成できる。例として、基板中央部近くのイオンを増加させるアプローチの一つは、内側区域で電力を分配する時間量を、外側区域で電力を分配する時間量に比べて、増加させることである。逆に、この時間量を減らして、基板中央部近くのイオンを減らすこともできる。しかしながら、内側区域で形成されたイオンが外側区域に向けて拡散する可能性があるため、外側区域で必要となる時間は、内側区域で必要となる時間量よりも小さくなる場合があることに留意されたい。基板中央部近くのイオンを増加させる別のアプローチは、内側区域で分配する電力量を、外側区域で分配する電力量に比べて増加させることである。逆に、この電力量を減らして、基板中央部近くのイオンを減らすこともできる。
本発明の別の態様によれば、基板エッジ部近くの中性物濃度を増加又は低減して、基板の中央部及びエッジ部の間の処理均一性を改善する。これは、本発明の特徴を使用した様々な方法で達成できる。例として、基板エッジ部近くの中性物を増加させるアプローチの一つは、外側区域でガスを分配する時間量を、内側区域でガスを分配する時間量に比べて増加させることである。逆に、この時間量を減らして、基板エッジ部近くの中性物を減らすこともできる。しかしながら、内側区域で形成された中性物が外側区域に向けて拡散する可能性があるため、外側区域で必要となる時間は、内側区域で必要となる時間量よりも小さくなる場合があることに留意されたい。基板エッジ部近くの中性物を増加させる別のアプローチは、外側区域で分配するガスの流量を、内側区域で分配するガスの流量に比べて増加させることである。逆に、この流量を減らして、基板エッジ部近くの中性物を減らすこともできる。基板エッジ部近くの中性物を増加させる別のアプローチは、外側区域において、内側区域で分配するガスの化学的構成とは異なる化学的構成を有するガスを使用することである。これは、構成ガスのガス比率を変更すること、或いは構成ガスを追加/除去することで達成できる。
本発明の別の態様によれば、基板中央部近くの中性物を増加又は低減して、処理均一性を改善する。同様に、これは、本発明の特徴を使用した様々な方法で達成できる。例として、基板中央部近くの中性物を増加させるアプローチの一つは、内側区域でガスを分配する時間量を、外側区域でガスを分配する時間量に比べて増加させることである。逆に、この時間量を減らして、基板中央部近くの中性物を減らすこともできる。基板中央部近くの中性物を増加させる別のアプローチは、内側区域で分配するガスの流量を、外側区域で分配するガスの流量に比べて増加させることである。逆に、この流量を減らして、基板中央部近くの中性物を減らすこともできる。基板中央部近くの中性物を増加させる別のアプローチは、内側区域において、外側区域で分配するガスの化学的構成とは異なる化学的構成を有するガスを使用することである。これは、構成ガスのガス比率を変更すること、或いは構成ガスを追加/除去することで達成できる。
以上、本発明をいくつかの例に基づき説明してきたが、本発明の範囲に含まれる変形例、置換例、及び等価物が存在することに留意されたい。例えば、前記の例は、単一のパラメータ変更の状況で説明しているが、同時であろうと異なる時期であろうと、多数のパラメータ変更を実行し、処理均一性に更に影響を与え得ることに留意されたい。例として、成分の量と持続時間との両方を同時に変更することができる。更に、同時であろうと異なる時期であろうと、成分を重複させて、処理均一性に更に作用することが可能であることに留意されたい。例えば、ガスのステップ中に電力のステップを開始することが可能であり、逆に、電力のステップ中にガスのステップを開始することができる。電力のステップとガスのステップとは、同時に開始することもできる。
図2は、電力送給機構40又はガス送給機構50において使用することが可能な空間分配スイッチ80の図である。例として、空間分配スイッチ80は、図1の電力分配スイッチ40又はガス分配スイッチ56にすることができる。一般に、空間分配スイッチ80は、成分供給源(図示せず)から供給成分83を受領する入力部82と、分配成分83’を放出する第一の出力部84及び第二の出力部86とを有する。図2に例示するように、空間分配スイッチ80は、供給成分83を、第一の出力部84又は第二の出力部86のいずれかに分配する能力を有する。第一の出力部84は、処理チャンバの第一の領域内に成分を出力する成分出力部に結合させることが可能であり、第二の成分出力部86は、処理チャンバの第二の領域に成分を出力する第二の成分出力部に結合させることができる。
簡単に言えば、供給成分83は、単一の構成要素又は複数の構成要素で構成することができる。例えば、供給ガスの場合、この供給ガスは、単一のガス又は複数の混合ガスで構成することができる。供給成分83は、更に、その成分に関連した変化する特性を有することができる。例えば、供給エネルギの場合、この供給エネルギは、増大又は減少した出力を有することができる。供給ガスの場合、この供給ガスは、増大又は減少したガス流、混合ガスの異なる比率、又は完全に異なるガス混合物を有することができる。供給成分83は、好ましくは、単一の供給源(図示せず)を介して送給され、これはプロセス全体で供給成分に関連する特性及び構成要素を調整するように構成される。或いは、複数の供給源を使用し、スイッチ80の入力部82に(複数の)供給成分を供給することができる。例えば、第一の供給源を使用して、スイッチに第一の成分を供給し、第二の供給源を使用して、スイッチに第二の成分を供給することができる。しかしながら、多重供給源はコストが非常に高いため、通常は、一つの供給源が望ましいことに留意されたい。
更に詳しく説明すると、空間分配スイッチ80は、本質的にはYスイッチであり、定義としては、一つの入力部と二つの出力部を有するスイッチである。成分の方向は、空間分配スイッチ80の状態に応じて変化する。空間分配スイッチ80が第一の状態(図2Aに図示)から第二の状態(図2Bに図示)へ変化すると、成分の方向は、第一の出力部84から第二の出力部86へ変化する。この仕組みは、正しく設計される時、成分の安定した分配を生み出して、過渡状態を最少化し、最初に(スイッチが状態Aである長さによって決まる)一定の期間に亘って一方向に分配され、次に(スイッチが状態Bである長さによって決まる)一定の期間に亘って逆方向に分配される。更に、スイッチ80は、基板を均一に処理するために、単一のプロセス全体に亘って、これらの状態間で継続的に調節される。
一実施例において、空間分配スイッチ80は、一つの入力部と一つの出力部を有するバルブ又はスイッチのペアにより形成される。この特定の実施形態において、第一のバルブは、単一の供給源と第一の区域との間に結合され、第二のバルブは、単一の供給源と第二の区域との間に結合される。成分は、バルブの一方を閉じ(オフにし)、他方のバルブを開く(オンにする)ことで、所望の位置に分配される。例えば、成分を第一の区域に分配するには、第一のバルブを開き、第二のバルブを閉じる。逆に、成分を第二の区域に分配するには、第一のバルブを閉じ、第二のバルブを開く。
スイッチについては、一つの入力部と二つの出力部とを有するものとして図示及び説明したが、これは制限ではなく、スイッチは、更に多くの出力部(又は更に多くの入力部)を扱うように構成できると理解されるべきである。例えば、一つの入力部と三つの出力部を有するスイッチを使用して、処理チャンバの三つのセクタ間で成分を分配することができる。この場合、三つのバルブを使用して、成分を適切なセクタに分配することができる。
更に、前記スイッチは電力及びガス送給機構の状況で図示及び説明されているが、このコンセプトは、他の成分にも同様に適用できると考えるべきである。例えば、前記スイッチは、温度、バイアス出力、磁力、及びその他といった成分を利用する成分送給機構において使用することが可能である。理解されるように、各成分送給システムにより、レシピの制御が強化される。
更に詳しく説明すると、図2Cは、本発明の一実施形態による、スイッチの動作を時間の関数として示す例示的な時間対方向の図100を表している。図示のように、図100は、時間軸Tと、方向軸Dとを含む。方向軸Dは、二種類の別個の方向102及び104に分割されている。例として、方向102及び104は、それぞれ、図2A及びBの第一の出力部84及び第二の出力部86に対応させることができる。更に、時間軸Tは、複数の別個の時間系列106A〜Fに分割されており、これらは様々な時間t0〜5に開始される。理解されるように、成分は、方向102及び104の間で空間的に調節されるだけでなく、時間t0〜5の間で時間的にも調節される。つまり、スイッチは、プロセス内の特定の時間に方向を変更する。時間系列106は、均等であっても、そうでなくてもよい。例えば、図2Cに示すように、時間系列106A、106B、106E、及び106Fは、同じ時間量だけ実行され、時間系列106C及び106Dは、異なる時間量で実行される。更に詳しくは、時間系列106Cは、短い時間に亘って実行され、時間系列106Dは、長い時間に亘って実行される。したがって、方向(102、104等)、タイミング(t0〜5等)、及び時間量(106等)をプロセス全体に亘って調整し、処理均一性を促進することができる。
図3は、本発明の一実施形態によるスイッチ80の動作の流れ図である。説明を容易にするために、図3の流れ図は、一対の連続する切り換えの状況で表されている。しかしながら、これは制限的なものではなく、単一のプロセス内で複数の切り換えを行うことができると考えるべきである。切り換え動作200は、一般にステップ202で開始される。ステップ202において、スイッチ80の方向は、コントローラにより、ステップ1処理向けに設定される。つまり、ステップ202において、スイッチは、図2Aの第一の状態から図2Bの第二の状態(又はその逆)に変化し、これにより、成分の分配の方向を、第一の出力部区域から第二の出力部区域(又はその逆)にする。ステップ202で方向が設定された後、プロセスの流れは、ステップ204に進み、ここでステップ1処理が実行される。ステップ1処理は、一般に、成分送給機構が従う所定のレシピ(又は命令)を含む。例えば、電力に関しては、分配する電力の大きさと、分配時間とを、所定の値に設定することができる。ガス流に関しては、流量と、分配時間と、ガスの化学的性質と、ガスの比率とを、所定の値に設定することができる。こうした所定の値は、他の(複数の)区域で所定の値と比べ、高くすること、低くすること、又は同じにすることが可能である。一例において、前記所定の値は、経験的なプロセスにおいて、試行錯誤を通じて決定し、安定した均一なプロセスを作り出すことができる。
ステップ1処理に続いて、プロセスの流れはステップ206に進み、ここでスイッチ80の方向は、コントローラにより、ステップ2処理向けに設定される。ステップ206において、スイッチ80は、図2Bの第二の状態から図2Aの第一の状態(又はその逆)に変化し、これにより、成分の分配の方向を、第二の出力部区域から第一の出力部区域(又はその逆)にする。ステップ206で方向が設定された後、プロセスの流れは、ステップ208に進み、ここでステップ2処理が実行される。ステップ1処理と同様に、ステップ2処理は、一般に、成分送給機構が従う所定のレシピ(又は命令)を含む。ステップ2処理に続いて、プロセスの流れは、ステップ210に進み、ここで処理を継続するか(yes)、或いは処理を終了するか(no)の判断が行われる。処理を続けると判断された場合、プロセスの流れは、ステップ202に戻る。処理を終了すると判断された場合、プロセスの流れは、ステップ212に進み、これはプロセスが終了したことを意味する。
更に詳しく説明するために、次に本発明の例示的な応用について、図4により説明する。図4は、本発明の一実施形態による、アルミニウム被覆エッチングプロセスに関する部分的レシピ設定400を例示する表である。例として、前記レシピは、図1に関して上で説明したプラズマリアクタと同様のプラズマリアクタで使用することができる。部分的レシピ設定400は、一般に、複数のステップ402と、複数のパラメータ404とを含み、前記パラメータは、基板の中央部からエッジ部まで均一なエッチング結果を発生させるために、プロセス全体で調整すること、更に詳しくはそれぞれのステップ402で調整することが可能である。この例のパラメータには、時間406と、電極出力408と、コイル位置412と、第一のガス流量414と、第二のガス流量416と、第三のガス流量418と、ガス流入ポート位置420とが非限定的に含まれる。
時間406は、ステップ402の1つのステップを開始する時間に関連し、これにより、各ステップの持続時間を制御する。電極出力408は、内側又は外側コイルに関係なく、上部電極に送給される電力(ワット等)に関連する。コイル位置412は、送給される電力の方向、つまり内側又は外側コイルに関連する。第一のガス流量414は、メインプロセスガスの一部である第一のガスの流量(sccm等)に関連する。第二のガス流量416は、メインプロセスガスの一部である第二のガスの流量に関連する。第三のガス流量418は、メインプロセスガスの一部である第三のガスの流量に関連する。例として、第一のガスは、CHF3にすることが可能であり、第二のガスは、BCl3にすることが可能であり、第三のガスは、Cl2にすることが可能である。ガス流入ポート位置420は、送給されるガスの方向、つまり内側又は外側ガス注入ポートに関連する。
このプロセスはステップ1で開始され、ここでメインプロセスガスが、処理チャンバ内に導入される。図示のように、メインプロセスガスは、5/20/80のガス流比率を有し、内側ガス注入ポートに送給される。ステップ1の処理は、5秒間継続する(例えば、時間=0に開始され、時間=5に終了する)。ステップ1の後、プロセスはステップ2に進み、ここでは、上部電極の内側コイルに700ワットの電力が加えられ、メインプロセスガスは、同じガス比率5/20/80を有し、引き続き内側ガス注入ポートに流れる。ステップ2の処理は、10秒間継続する(例えば、時間=5に開始され、時間=15に終了する)。
ステップ2の完了後、プロセスはステップ3に進み、ここでは、700ワットの電力が引き続き上部電極の内側コイルに加えられ、メインプロセスガスは、新しいガス比率10/20/0を有し、方向を変えて、外側ガス注入ポートへ送給され始める。ステップ3の処理は、5秒間継続する(例えば、時間=15に開始され、時間=20に終了する)。ステップ3に続いて、プロセスはステップ4に進み、ここでは、送給される電力が、新しい出力設定500ワットを有し、方向を変えて、上部電極の外側コイルに加えられ始める。更に、メインプロセスガスは、新しいガス比率5/20/80を有し、方向を変えて、内側ガス注入ポートへ送給され始める。ステップ4の処理は、5秒間継続する(例えば、時間=20に開始され、時間=25に終了する)。ステップ4の完了後、プロセスはステップ5に進み、ここでは、送給される電力が、新しい出力設定700ワットを有し、方向を変えて、上部電極の内側コイルに加えられ始める。更に、メインプロセスガスは、新しいガス比率10/20/0を有し、方向を変えて、外側ガス注入ポートに送給され始める。
この例は、Alエッチング処理を目的とするが、レシピを変更して、他の金属を同じようにエッチング処理することができることは理解されたい。更に、レシピを変更して、誘電体を含む他の材料をエッチング処理することができる。したがって、メインプロセスガスは、別の種類のガス及び/又は別のガス流及び比率で構成することが可能であり、電力は、異なる出力レベルで調整することが可能である。加えて、前記プロセス中には電力及びガスの方向が変化したが、これらの成分の一方を同じ状態に維持し、他方を変化させることが可能であることに留意されたい。更に、時間は、各プロセスで変更可能であり、5又は10秒の増分は制限的なものではないことに留意されたい。更に、電気及びガス流の両方が、プロセス中の異なる時間に変化するように、異なるタイムスケールに従うことも可能である。加えて、部分的レシピ400において、部分的とは、このレシピがレシピ全体の一部に過ぎないことを示すことに留意されたい。同様に、五つのプロセスステップは制限的なものではなく、これより多いステップ又は少ないステップを実行し、基板を処理し得ることにも留意されたい。
図5は、本発明の一実施形態による、電力送給機構500の図である。例として、電力送給機構500は、図1に例示する電力送給システム34にそれぞれ対応させることができる。電力送給機構500は、一般に、高周波電源(又は発電機)502と、電極504と、整合回路網506と、高出力高周波スイッチ508とを含む。電極504は、二つのコイルを含み、更に詳しくは、内側コイル510と外側コイル512とを含み、これらは高周波電源502に、高出力高周波スイッチ508を介して電気的に結合される。なお、これらのコイルは、二つの同心コイルとして非限定的に図示されている。プロセス中の時間の関数として、それぞれのコイルを高周波電源502に対して切り換え、これにより、高周波電力が接続される場所を空間的に変更することができる。一実施例において、高出力高周波スイッチは、プラズマが安定する時間と比べて高速となるように構成され、これは通常、数ミリ秒程度である。高速で切り換えを行うことにより、基板には、送給される電力のほぼ合成平均が影響するようになる。切り換え速度は、設計の仕様に応じて変化するが、0.1Hz〜100Hzの速度が一般的となる。電力分配スイッチは、kHzのタイムスケールでも動作するように構成される。更に、整合回路網506は、一般に、高出力高周波スイッチ508と高周波電源502との間に配置される。整合回路網506は、高周波電源502の出力とプラズマ負荷との間でインピーダンスを整合させるように構成される。
ほとんどの場合では、システムがコイルを切り換えるとシステムのインピーダンスが変化する。つまり、内側コイルで生成されるインピーダンスは、一般に、外側コイルで生成されるインピーダンスとは異なる。したがって、整合回路網506は、迅速に調整を行える必要があり、或いは、全く調整を必要としないようにシステムを設計する必要がある。
一実施形態において、整合回路網506は、固定整合回路網である。つまり、整合回路網は、電源とプラズマ負荷とが設定インピーダンスを有するように設計される。一実施例において、この固定整合回路網は、電源と内側コイルによって生成されたプラズマ負荷との間でインピーダンスを整合させるように構成される。この実施例では、外側コイルに送給される電力を増加させて、インピーダンスの不整合により外側コイルで生成される反射電力を補償する。別の実施例において、固定整合回路網は、電源と外側コイルによって生成されたプラズマ負荷との間でインピーダンスを整合させるように構成される。この実施例では、内側コイルに送給される電力を増加させて、インピーダンスの不整合により内側コイルで生成された反射電力を補償する。
別の実施例において、固定整合回路網は、二種類の状態を有するように構成される。一方の状態は、電源と内側コイルによって生成されたプラズマ負荷との間でインピーダンスを整合させ、もう一つの状態は、電源と外側コイルによって生成されたプラズマ負荷との間でインピーダンスを整合させる。この実施例において、整合回路網は、別個のコイルそれぞれによって生成されたインピーダンスを整合させるために、インピーダンス間で切り換えを行うように構成される。更に別の実施例において、内側及び外側コイルはほぼ同じインピーダンスを有するように構成され、整合回路網が内側及び外側コイル間のインピーダンスを整合させるようになる。
別の実施形態において、整合回路網506は、負荷インピーダンスが大幅に変化する条件下でインピーダンスを整合させるように構成された調整可能型整合回路網である。例えば、調整可能型整合回路網は、電力の方向と、電力の大きさと、電力の時間と、ガスの流量と、チャンバの圧力と、チャンバの温度と、その他とを非限定的に含む広範な変化パラメータに関するインピーダンスを整合させることができる。調整可能型整合回路網は、一般に、前進電力及び反射電力の両方を決定するように構成されたワット計(図示せず)を含む。当該技術では周知のように、反射電力は、発電機の出力インピーダンスとプラズマ負荷との間に不整合が存在する証拠である。したがって、ワット計は、システムのインピーダンスが変化したかどうかを判断するように構成される。変化が発生したと判断されると、調整可能型整合回路網は、変化したインピーダンスを整合させるために調整を行うことができる。
図6は、本発明の一実施形態による、電力送給機構600の図である。例として、電力送給機構600は、図1に例示する電力送給機構34にそれぞれ対応させることができる。電力送給機構600は、一般に、プラズマ及びコイルのインピーダンスが大きく異なる場合に使用される。電力送給機構600は、一般に、高周波電源(又は発電機)602と、電極604と、第一の整合回路網606と、第二の整合回路網608と、高出力高周波スイッチ610とを含む。電極604は、二つのコイルを含み、更に詳しくは、内側コイル612と外側コイル614とを含み、これらは高周波電源602に、高出力高周波スイッチ610を介して電気的に接続される。なお、これらのコイルは、二つの同心コイルとして非限定的に図示されている。プロセス中の時間の関数として、それぞれのコイルを高周波電源602に対して切り換え、これにより、高周波電力が接続される場所を空間的に変更することができる。一実施例において、高出力高周波スイッチは、プラズマが安定する時間と比べて高速となるように構成される。高速で切り換えを行うことにより、基板には、送給される電力のほぼ合成平均が影響するようになる。更に、第一の整合回路網606は、一般に、外側コイル614と高出力高周波スイッチ610との間に配置され、第二の整合回路網608は、一般に、内側コイル612と高出力高周波スイッチ610との間に配置される。第一の整合回路網606に関して、第一の整合回路網606は、高周波電源602の出力と外側コイル614を使用することで形成されたプラズマ負荷との間のインピーダンスを整合させるように構成される。第二の整合回路網608に関して、第二の整合回路網608は、高周波電源602の出力と内側コイル612を使用することで形成されたプラズマ負荷との間のインピーダンスを整合させるように構成される。一実施形態において、第一及び第二の整合回路網は、固定整合回路網(前記と同様)である。
図7は、本発明の一実施形態による、ガス送給機構700の図である。例として、ガス送給機構700は、図1に例示するガス送給機構50にそれぞれ対応させることができる。ガス送給機構700は、一般に、ガス供給源702(又はガスボックス)と、ガス分配プレート704と、ガス分配スイッチ706とを含む。ガス分配プレート704は、二つのガス注入ポートを含み、更に詳しくは内側ガス注入ポート708と外側ガス注入ポート710とを含み、これらはガスボックス702に、ガス分配スイッチ706を介して接続される。ガス供給源702は、第一のガスライン712を通じてガス分配スイッチ706にガスを供給し、このスイッチは、スイッチの状態に応じて第二のガスライン714又は第三のガスライン716のいずれかにガスを供給する。図示のように、第二のガスライン714は、内側ガス注入ポート708にガスを送給し、第三のガスライン716は、外側ガス注入ポート710にガスを送給する。プロセス中の時間の関数として、それぞれのポートをガス供給源702に対して切り換え、これにより、ガスが分配される場所を空間的に変更することができる。更に、図7には図示されていないが、ガス注入ポートは、両方とも、供給されたガスを放出する複数のアパーチャを含むことができる。一般に、それぞれのポートのアパーチャは、一つのポートにつき一本のガスラインのみが必要となるように相互接続される。ガス分配プレートは、従来型のものであり、一般に、当該技術では周知である。しかしながら、本発明の説明を容易にするために、ガス分配プレートについては、図8において更に詳細に説明する。
ガスボックス702に関して、ガスボックス702は、一般に、個別のガス供給源(図示せず)に接続された複数のガス流入ライン716を有する高圧ガスマニホールドを含む。例として、このガス供給源は、ガス容器又はガスボンベにすることができる。四本のガス流入ラインのみが図示されているが、これは制限ではなく、これより多くのガス流入ライン又はこれより少ないガス流入ラインを使用できると理解するべきである。ガスの標準的な総数と、これに応じたガス流入ラインの標準的な総数とは、一般に8である。ガス流入ライン716は、一般に、質量流量コントローラ718に結合され、このコントローラは、ガスを分配することに関連するパラメータを制御及び調整するように構成され、こうしたパラメータには、ガスの流量と、ガスの混合と、ガスの比率と、圧力とが含まれるが限定的なものではない。各ガスは、独自の質量流量コントローラを有する。質量流量コントローラ718は、一般に、バルブ(図示せず)と、流量計(図示せず)とを含む。この流量計は、ガスがどのくらいの速度で流れるかを制御し、したがって圧力を制御するためのものであり、バルブは、低圧ガスを低圧マニホールド720に送出するためのものである。図示のように、低圧マニホールド720は、ガスが混合される配管を含む。理解されるように、それぞれの質量流量コントローラを制御することで、ガスの化学的性質と、ガスの比率と、混合ガスの流量とを調整できる。ガスの混合物は、その後、第一のガスライン712を介してガス分配スイッチ706に混合ガスを放出するバルブ722に供給される。
スイッチ706に到達すると、ガス混合物は、二つのスイッチ状態の一方を介して、二つのガス注入ポート708,710の一方に向けられる。スイッチ606が第一の状態にある場合、ガス混合物は、第二のガスライン714を介して内側ガス注入ポート708へ流れる。スイッチ706が第二の状態にある場合、ガス混合物は、第三のガスライン716を介して外側ガス注入ポート710へ流れる。
場合によっては、前記のそれぞれの状態間で切り換えを行うことで、切り換え過渡状態に遭遇する場合がある。つまり、切り換えによって、ガスの一定でない流れ又は間欠的な流れがガス注入ポートから放出される場合がある。こうした切り換え過渡状態は、プラズマの形成又は放電に悪影響を与え、ガスラインへのプラズマガスの逆流、或いはガスラインにおける特定の物質の蓄積につながる可能性がある。こうした影響を消し去る方法は、数多く存在する。例えば、一方法として、ガスラインを通じたガス流のコンダクタンスを低くすることができる。コンダクタンスを低くすることで、ガスがガスラインから排出される前に、切り換えを実行することが可能となり、これにより、ガス流の疑似安定状態を達成できる。例として、ガスラインのコンダクタンスを低くする一方法では、その長さを増やすことができる。
図8は、例示的なガス分配プレート800を示している。例として、ガス分配プレート800は、図1に例示するガス分配プレート32にそれぞれ対応させることができる。ガス分配プレート800は、一般に、内側部分802と外側部分804とを含む。内側部分802は、一般に、処理チャンバの内側領域内にガスを放出する複数の内側アパーチャ806を含む。それぞれの内側アパーチャ806は、ガス分配プレート800内のチャネルを通じて、お互いに相互接続され、内側ガス注入ポート(図示せず)に結合される。同様に、外側部分804は、一般に、処理チャンバの外側領域内にガスを放出する複数の外側アパーチャ808を含む。それぞれの外側アパーチャ808は、ガス分配プレート800内のチャネルを通じて互いに相互接続され、外側ガス注入ポート(図示せず)に接続される。なお、アパーチャ806,808に関して特定の構造が図示されているが、他の構造を使用し得ることに留意されたい。例えば、内側及び外側部分の両方で、単一のアパーチャを使用することができる。ガス分配プレートは、当該技術では周知であり、簡潔にするため、更に詳細には説明しない。
前記から理解できるように、本発明は、従来技術と比較して、多数の利点を提供する。様々な実施形態又は実施例は、以下の利点の一つ以上を有することができる。本発明の利点の一つは、処理の制御性の増加である。例として、本発明は、プラズマ処理チャンバ内部の様々な位置でのイオン及び中性物の濃度を制御するために使用することができる。プロセスの空間的制御性を大きくするために、本発明は、処理チャンバ内部の様々な位置の間での成分の分配を、プログラム可能な期間に亘って空間的に調節することを提供する。制御性が増加した結果として、均一な処理を、従来技術において可能なものよりも高い次元で達成することができる。本発明の別の利点は、システムのコスト及び複雑性の低減である。分配スイッチを設けることで、必要な成分供給源は一つのみとなるため、設計のコストが低減される。
本発明について、いくつかの好適な実施形態の観点から説明したが、本発明の範囲に入る変更、置換、及び等価物が存在する。なお、本発明の方法及び装置を実施する多数の代替方法が存在することに留意されたい。例えば、成分送給機構について、半導体基板を処理するプラズマリアクタの観点から説明及び図示したが、なお、他のシステムでも、この成分送給機構の手法及び方法を応用することができることに留意されたい。例えば、この成分送給機構は、化学蒸着(CVD)、熱CVD、プラズマ化学蒸着(PECVD)、スパッタリング等の物理蒸着(PVD)、及びその他と、ドライエッチング、プラズマエッチング、反応イオンエッチング(RIE)、磁気反応イオンエッチング(MERIE)、電子サイクロトロン共鳴(ECR)、及びその他とを含む、ほとんどの半導体処理システムにおいて使用できると考えられる。更に、この成分送給機構は、半導体処理以外のシステムにも応用できると考えられる。例えば、磁気又は光記憶ディスクの製造等である。
したがって、特許請求の範囲は、本発明の本来の趣旨及び範囲に入るこうしたすべての変形例、置換例、及び等価物を包含するものとして解釈されるべきである。

Claims (19)

  1. 処理チャンバ内でワークピースを処理するために使用される成分を前記処理チャンバ内部に分配する成分送給機構であって、
    気体原材料を前記処理チャンバの所望の領域に出力する複数の成分出力部であって、前記気体原材料を前記処理チャンバの第一の領域に出力するよう構成された第一の成分出力部と、前記気体原材料を前記処理チャンバの第二の領域に出力するよう構成された第二の成分出力部とを少なくとも含む複数の成分出力部であって、前記処理チャンバの前記第一の領域が前記ワークピースの中央部分に対応し、前記処理チャンバの前記第二の領域が前記ワークピースの外側部分に対応する、複数の成分出力部と、
    単一のガス供給源に接続されるとともに、前記第一と第二の成分出力部に接続され、前記単一のガス供給源から受け取った前記気体原材料を、前記第一の領域のために前記第一の成分出力部に伝達するか、又は、前記第二の領域のために前記第二の成分出力部に伝達する空間分配スイッチと、
    前記処理チャンバの内部に電力を供給して電場を生成するように構成された電力送給機構と、
    を備え、
    前記電力送給機構は、
    プラズマを発生させるとともに維持するのに十分な強さの電力を発生させる単一の電源と、
    前記単一の電源に接続された電極であって、前記処理チャンバの前記第一の領域で電場を生成するように構成された第一のコイルと、前記第一のコイルを取り囲み前記処理チャンバの前記第二の領域で電場を生成するように構成された第二のコイルとを有する電極と、
    前記単一の電源と前記電極の前記第一のコイル及び前記第二のコイルとの間に配置され、前記単一の電源の電力を前記第一のコイルまたは前記第二のコイルに選択的に振り向けるように構成された電力分配スイッチと、
    を含み、
    前記電力送給機構は、第一の時間多重化を用いて、前記電力が前記処理チャンバの前記第一の領域のみに供給される第一の供給状態と、前記電力が前記処理チャンバの前記第二の領域のみに供給される第二の供給状態と、の間で交互に切り換わるように、前記電力の供給を選択的に切り換え、
    前記空間分配スイッチは、前記第一の時間多重化とは別の第二の時間多重化を用いて、前記気体原材料を前記第一の成分出力部に振り向ける第一の状態と、前記気体原材料を前記第二の成分出力部に振り分ける第二の状態とを有し、処理中に前記処理チャンバ内部に前記気体原材料を空間的に分配するために状態間の時間調節を行うよう構成されており、
    前記電力が供給されている時に、前記単一のガス供給源からガスの流れを連続的に供給し、
    前記空間分配スイッチは、前記処理チャンバの前記第一の領域に前記気体原材料を分配する時間量を、前記処理チャンバの前記第二の領域に前記気体原材料を分配する時間量に比べて増加させることによって、前記ワークピースの中央部分近くの中性ガスを増加させて、前記ワークピースの表面全体に亘り高度の処理均一化で前記ワークピースの処理を実行する、
    成分送給機構。
  2. 請求項1に記載の成分送給機構であって、
    前記空間分配スイッチが、処理中に前記処理チャンバ内部での前記成分の濃度に作用するために所定の時間量に亘って一つの状態を維持するように構成されている、成分送給機構。
  3. 請求項1に記載の成分送給機構であって、
    前記第一と第二の成分出力部は前記処理チャンバの前記第一と第二の領域内に前記気体原材料をそれぞれ放出する第一および第二のガス注入ポートである、成分送給機構。
  4. 請求項3に記載の成分送給機構であって、
    前記第一のガス注入ポートは、前記気体原材料を前記処理チャンバの前記第一の領域に放出するように構成された内側ガス出口を含み、前記第二のガス注入ポートは、前記内側ガス出口を取り囲む外側ガス出口であって前記気体原材料を前記処理チャンバの前記第二の領域に放出するように構成された前記外側ガス出口を含む、成分送給機構。
  5. 請求項3に記載の成分送給機構であって、
    前記空間分配スイッチは前記第一のガス注入ポートと前記第二のガス注入ポートとの間で前記気体原材料を空間的に分配するために状態間で調整を行い、これにより、処理中に前記処理チャンバの前記第一及び第二の領域での前記気体原材料の濃度に作用するように構成されている、成分送給機構。
  6. 半導体基板を処理するための空間的に制御されたプラズマリアクタであって、
    前記半導体基板を処理するために内部でプラズマを発生させるとともに維持する処理チャンバであって、前記半導体基板の内側領域の上に位置決めされる内側処理区域と、前記半導体基板の前記内側領域の周囲にある外側領域の上に位置決めされる外側処理区域と、を含む処理チャンバと、
    単一のガス供給部と、ガス注入ポートと、ガス分配スイッチとを備えるガス注入機構と、
    前記処理チャンバの内部に電力を供給して電場を生成するように構成された電力送給機構と、
    を備え、
    前記電力送給機構は、
    前記プラズマを発生させるとともに維持するのに十分な強さの電力を発生させる単一の電源と、
    前記単一の電源に接続された電極であって、前記処理チャンバの前記内側処理区域で電場を生成するように構成された第一のコイルと、前記第一のコイルを取り囲み前記処理チャンバの前記外側処理区域で電場を生成するように構成された第二のコイルとを有する電極と、
    前記単一の電源と前記電極の前記第一のコイル及び前記第二のコイルとの間に配置され、前記単一の電源の電力を前記第一のコイルまたは前記第二のコイルに選択的に振り向けるように構成された電力分配スイッチと、
    を含み、
    前記電力送給機構は、第一の時間多重化を用いて、前記電力が前記処理チャンバの前記内側処理区域のみに供給される第一の供給状態と、前記電力が前記処理チャンバの前記外側処理区域のみに供給される第二の供給状態と、の間で交互に切り換わるように、前記電力の供給を選択的に切り換え、
    前記電力が供給されている時に、前記単一のガス供給源からガスの流れを連続的に供給し、
    前記単一のガス供給部は、前記プラズマを形成して前記半導体基板を処理するために一部使用される気体原材料を、前記ガス注入ポートに供給するように構成されており、
    前記ガス注入ポートは、
    前記ガス分配スイッチを介して前記単一のガス供給部に接続され、前記処理チャンバの前記内側処理区域に前記気体原材料を放出するように構成された内側ガス注入ポートと、
    前記ガス分配スイッチを介して前記単一のガス供給部に接続され、前記処理チャンバの前記外側処理区域に前記気体原材料を放出するように構成された外側ガス注入ポートと、
    を含み、
    前記ガス分配スイッチは、前記第一の時間多重化とは別の第二の時間多重化を用いて、前記単一のガス供給部からの前記気体原材料を、前記内側ガス注入ポートまたは前記外側ガス注入ポートのいずれかに選択的に振り向けるように構成されており、
    前記ガス分配スイッチは、前記処理チャンバの前記内側処理区域に前記気体原材料を分配する時間量を、前記処理チャンバの前記外側処理区域に前記気体原材料を分配する時間量に比べて増加させることによって、前記半導体基板の中央部分近くの中性ガスを増加させて、前記半導体基板の表面全体に亘り高度の処理均一化で前記半導体基板の処理を実行する、
    プラズマリアクタ。
  7. 請求項6に記載のプラズマリアクタであって、
    前記電極は、前記処理チャンバの誘電窓の上に設けられた誘導電極である、プラズマリアクタ。
  8. 半導体基板を処理する方法であって、
    プラズマを形成して前記半導体基板を処理するために使用される少なくとも第一と第二の成分を処理チャンバに連続的に供給するステップであって、前記処理チャンバは、前記半導体基板の中央部分に対応する内側領域と、前記中央部分を取り囲む前記半導体基板の外側部分に対応する外側領域とを備える、ステップと、
    第一の時間多重化を用いて、前記第一の成分が前記処理チャンバの前記内側領域のみに供給される第一の供給状態と、前記第一の成分が前記処理チャンバの前記外側領域のみに供給される第二の供給状態との間で交互に切り換わるように、前記第一の成分の供給を選択的に切り換えて、前記処理チャンバの前記内側領域と前記外側領域との間の前記第一の成分の濃度に作用するステップであって、前記第一の供給状態は、前記第一の成分が前記処理チャンバの前記内側領域に供給されることを可能にすると同時に前記第一の成分が前記処理チャンバの前記外側領域に供給されることを防止し、前記第二の供給状態は、前記第一の成分が前記処理チャンバの前記外側領域に供給されることを可能にすると同時に前記第一の成分が前記処理チャンバの前記内側領域に供給されることを防止する、ステップと、
    前記第一の時間多重化とは別の第二の時間多重化を用いて、前記第二の成分が前記処理チャンバの前記内側領域のみに供給される第三の供給状態と、前記第二の成分が前記処理チャンバの前記外側領域のみに供給される第四の供給状態との間で交互に切り換わるように、前記第二の成分の供給を選択的に切り換えて、前記処理チャンバの前記内側領域と前記外側領域との間の前記第二の成分の濃度に作用するステップであって、前記第三の供給状態は、前記第二の成分が前記処理チャンバの前記内側領域に供給されることを可能にすると同時に前記第二の成分が前記処理チャンバの前記外側領域に供給されることを防止し、前記第四の供給状態は、前記第二の成分が前記処理チャンバの前記外側領域に供給されることを可能にすると同時に前記第二の成分が前記処理チャンバの前記内側領域に供給されることを防止する、ステップと、
    を備え、
    前記第一の成分は電力であり、前記第二の成分はガスであり、
    前記電力が供給されている時に、前記ガスの流れを連続的に供給し、
    前記処理チャンバの前記内側領域に前記ガスを分配する時間量を、前記処理チャンバの前記外側領域に前記ガスを分配する時間量に比べて増加させることによって、前記半導体基板の中央部分近くの中性ガスを増加させて、前記半導体基板の表面全体に亘り高度の処理均一化で前記半導体基板の処理を実行する、方法。
  9. 請求項8に記載の方法であって、
    前記第一の成分を供給するステップは、前記処理チャンバ内で電場を生成するステップを備える、方法。
  10. 請求項9に記載の方法であって、
    前記電場は、前記処理チャンバの外側に配置された外部電極を介して前記処理チャンバに誘導結合される、方法。
  11. 半導体基板の中央部分の上に位置決めされる内側処理区域と、前記中央部分の周囲にある前記半導体基板の外側部分の上に位置決めされる外側処理区域とを含む処理チャンバ内に、半導体基板のエッチングに関連するプラズマを形成する方法であって、
    単一の電源から電力を連続的に供給するステップと、
    前記電力が供給されている時に、単一のガス供給源からガスの流れを連続的に供給するステップと、
    第一の時間多重化を用いて、前記供給された電力により、前記処理チャンバ内で第一および第二の電場を交互に生成するステップであって、前記第一の電場は前記内側処理区域で生成され、前記第二の電場は前記外側処理区域で生成され、前記第一および第二の電場は、複数のタイムスライスに分割される電力時間系列に従って生成される、ステップと、
    各タイムスライスにおいて、前記第一および第二の電場に関連するパラメータを制御して、前記内側処理区域及び前記外側処理区域におけるイオンの量に影響を与えるステップと、
    前記第一の時間多重化とは別の第二の時間多重化を用いて、前記供給されたガスを前記処理チャンバの前記内側処理区域及び前記外側処理区域に交互に放出するステップであって、前記ガスは、複数のタイムスライスに分割されるガス時間系列に従って放出される、ステップと、
    各タイムスライスにおいて、前記放出されるガスに関連するパラメータを制御して、前記内側処理区域及び前記外側処理区域における中性ガスの量に影響を与えるステップと、
    を備え、
    前記処理チャンバの前記内側処理区域に前記ガスを分配する時間量を、前記処理チャンバの前記外側処理区域に前記ガスを分配する時間量に比べて増加させることによって、前記半導体基板の中央部分近くの中性ガスを増加させて、前記半導体基板の表面全体に亘り高度の処理均一化で前記半導体基板の処理を実行する、方法。
  12. 請求項11に記載の方法であって、
    前記第一および第二の電場の生成に用いられる電力の量が互いに異なる、または、前記第一および第二の電場の生成に用いられる前記タイムスライスが互いに異なることで、前記内側処理区域及び前記外側処理区域におけるイオンの量に影響を与える、方法。
  13. 請求項11に記載の方法であって、
    前記内側処理区域と前記外側処理区域に供給される流量が互いに異なる、または、前記内側処理区域へのガスの放出に関連する前記タイムスライスが、前記外側処理区域へのガスの放出に関連する前記タイムスライスと異なることで、前記内側処理区域及び前記外側処理区域における中性ガスの量に影響を与える、方法。
  14. 請求項11に記載の方法であって、
    前記電場は、前記処理チャンバの外側に配置された誘電窓を介して前記処理チャンバに誘導結合される、方法。
  15. 請求項11に記載の方法であって、
    前記第一および第二の電場に関連する前記パラメータは、前記内側処理区域におけるイオンの量と、前記外側処理区域におけるイオンの量とを異ならせて、処理の均一性を改善するように制御される、方法。
  16. 請求項11に記載の方法であって、
    前記放出されるガスに関連するパラメータは、前記内側処理区域における中性ガスの量と、前記外側処理区域における中性ガスの量とを異ならせて、処理の均一性を改善するように制御される、方法。
  17. 半導体基板を処理するための空間的に制御されたプラズマリアクタであって、
    前記半導体基板を処理するために内部でプラズマを発生させるとともに維持する処理チャンバであって、前記半導体基板の内側領域の上に位置決めされる内側処理区域と、前記内側領域の周囲にある前記半導体基板の外側領域の上に位置決めされる外側処理区域と、を含む処理チャンバと、
    前記処理チャンバの内部に電力を供給して電場を生成するように構成された電力送給機構であって、前記電力送給機構は、
    前記プラズマを発生させるとともに維持するのに十分な強さの電力を発生させる単一の電源と、
    前記単一の電源に接続された電極であって、前記内側処理区域で電場を生成するように構成された第一のコイルと、前記外側処理区域で電場を生成するように構成された第二のコイルとを有する電極と、
    前記単一の電源と前記電極の前記第一のコイル及び前記第二のコイルとの間に配置され、前記単一の電源から供給された電力を前記第一のコイル又は前記第二のコイルに選択的に供給するように構成された電力分配スイッチと、
    を備える電力送給機構と、
    ガス注入機構であって、
    前記プラズマを形成して前記半導体基板を処理するために一部使用される気体原材料を、前記処理チャンバに供給するように構成された単一のガス供給部と、
    前記処理チャンバの前記内側処理区域に前記気体原材料を放出するように構成された内側ガス注入ポートと、
    前記処理チャンバの前記外側処理区域に前記気体原材料を放出するように構成された外側ガス注入ポートと、
    を備えるガス注入機構と、
    前記単一のガス供給部と前記内側ガス注入ポートと前記外側ガス注入ポートとに接続され、前記単一のガス供給部からの前記気体原材料を、前記内側ガス注入ポート又は前記外側ガス注入ポートのいずれかに振り向けるように構成されたガス分配スイッチと、
    を備え、
    前記電力送給機構は、第一の時間多重化を用いて、前記電力が前記処理チャンバの前記内側処理区域のみに供給される第一の供給状態と、前記電力が前記処理チャンバの前記外側処理区域のみに供給される第二の供給状態と、の間で交互に切り換わるように、前記電力の供給を選択的に切り換え、
    前記電力が供給されている時に、前記単一のガス供給源からガスの流れを連続的に供給し、
    前記ガス分配スイッチは、前記第一の時間多重化とは別の第二の時間多重化を用いて、前記処理チャンバの前記内側処理区域に前記気体原材料を分配する時間量を、前記処理チャンバの前記外側処理区域に前記気体原材料を分配する時間量に比べて増加させることによって、前記半導体基板の中央部分近くの中性ガスを増加させて、前記半導体基板の表面全体に亘り高度の処理均一化で前記半導体基板の処理を実行する、プラズマリアクタ。
  18. 請求項17に記載のプラズマリアクタであって、
    前記電極は、前記処理チャンバの誘電窓の上に設けられた誘導電極である、プラズマリアクタ。
  19. 請求項17又は18に記載のプラズマリアクタであって、更に、
    前記電力分配スイッチと前記ガス分配スイッチとに接続され、前記電力分配スイッチを使用して前記内側処理区域及び前記外側処理区域におけるイオンの量を制御するとともに、前記ガス分配スイッチを使用して前記内側処理区域及び前記外側処理区域における中性ガスの量を制御するコントローラを備える、プラズマリアクタ。
JP2012029244A 2000-06-30 2012-02-14 成分送給機構、プラズマリアクタ、及び、半導体基板を処理する方法 Expired - Lifetime JP6140927B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/607,599 US6632322B1 (en) 2000-06-30 2000-06-30 Switched uniformity control
US09/607,599 2000-06-30

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2002507401A Division JP2004502318A (ja) 2000-06-30 2001-06-08 切換式均一性制御

Publications (2)

Publication Number Publication Date
JP2012169629A JP2012169629A (ja) 2012-09-06
JP6140927B2 true JP6140927B2 (ja) 2017-06-07

Family

ID=24432955

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2002507401A Pending JP2004502318A (ja) 2000-06-30 2001-06-08 切換式均一性制御
JP2012029244A Expired - Lifetime JP6140927B2 (ja) 2000-06-30 2012-02-14 成分送給機構、プラズマリアクタ、及び、半導体基板を処理する方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2002507401A Pending JP2004502318A (ja) 2000-06-30 2001-06-08 切換式均一性制御

Country Status (7)

Country Link
US (2) US6632322B1 (ja)
EP (1) EP1295309A2 (ja)
JP (2) JP2004502318A (ja)
KR (1) KR100865054B1 (ja)
CN (2) CN100372971C (ja)
AU (1) AU2001268275A1 (ja)
WO (1) WO2002003415A2 (ja)

Families Citing this family (292)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7617474B2 (en) * 1997-09-17 2009-11-10 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US7093229B2 (en) * 1997-09-17 2006-08-15 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
WO2002013225A2 (en) * 2000-08-08 2002-02-14 Tokyo Electron Limited Plasma processing method and apparatus
JP5079949B2 (ja) * 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
US7268157B2 (en) 2002-11-26 2007-09-11 Shenzhen Chipscreen Biosciences, Ltd. Substituted arylalcanoic acid derivatives as PPAR pan agonists with potent antihyperglycemic and antihyperlipidemic activity
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
KR101007822B1 (ko) * 2003-07-14 2011-01-13 주성엔지니어링(주) 혼합형 플라즈마 발생 장치
KR100561848B1 (ko) * 2003-11-04 2006-03-16 삼성전자주식회사 헬리컬 공진기형 플라즈마 처리 장치
US7723236B2 (en) * 2005-01-18 2010-05-25 Tokyo Electron Limited Gas setting method, gas setting apparatus, etching apparatus and substrate processing system
IES20050301A2 (en) * 2005-05-11 2006-11-15 Univ Dublin City Plasma source
JP2007220594A (ja) * 2006-02-20 2007-08-30 Nissin Electric Co Ltd プラズマ生成方法及びプラズマ生成装置並びにプラズマ処理装置
US7837827B2 (en) * 2007-06-28 2010-11-23 Lam Research Corporation Edge ring arrangements for substrate processing
JP4788676B2 (ja) * 2007-07-12 2011-10-05 トヨタ自動車株式会社 分析装置用のガス導入装置および方法
US20090114245A1 (en) * 2007-11-02 2009-05-07 Hidehiro Kojiri In-situ chamber cleaning method
JP5192214B2 (ja) 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
KR100963291B1 (ko) * 2008-02-22 2010-06-11 주식회사 유진테크 기판처리장치 및 기판처리방법
US8340827B2 (en) * 2008-06-20 2012-12-25 Lam Research Corporation Methods for controlling time scale of gas delivery into a processing chamber
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
KR101627297B1 (ko) * 2008-10-13 2016-06-03 한국에이에스엠지니텍 주식회사 플라즈마 처리부 및 이를 포함하는 증착 장치 및 증착 방법
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110120375A1 (en) * 2009-11-23 2011-05-26 Jusung Engineering Co., Ltd. Apparatus for processing substrate
US9318341B2 (en) * 2010-12-20 2016-04-19 Applied Materials, Inc. Methods for etching a substrate
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9966236B2 (en) * 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102427038A (zh) * 2011-09-15 2012-04-25 上海华力微电子有限公司 一种先进的自动调整刻蚀均匀性的方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5580908B2 (ja) * 2013-01-31 2014-08-27 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9564285B2 (en) * 2013-07-15 2017-02-07 Lam Research Corporation Hybrid feature etching and bevel etching systems
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
US20150129131A1 (en) * 2013-11-14 2015-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing apparatus and pre-clean system
KR20160101021A (ko) * 2013-12-17 2016-08-24 도쿄엘렉트론가부시키가이샤 플라즈마 밀도를 제어하는 시스템 및 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP2016082180A (ja) * 2014-10-22 2016-05-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9396956B1 (en) * 2015-01-16 2016-07-19 Asm Ip Holding B.V. Method of plasma-enhanced atomic layer etching
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US20170092470A1 (en) * 2015-09-28 2017-03-30 Applied Materials, Inc. Plasma reactor for processing a workpiece with an array of plasma point sources
US9741539B2 (en) * 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
CN107369602B (zh) * 2016-05-12 2019-02-19 北京北方华创微电子装备有限公司 反应腔室及半导体加工设备
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7285152B2 (ja) * 2019-07-08 2023-06-01 東京エレクトロン株式会社 プラズマ処理装置
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11658006B2 (en) 2021-01-14 2023-05-23 Applied Materials, Inc. Plasma sources and plasma processing apparatus thereof
US11854770B2 (en) 2021-01-14 2023-12-26 Applied Materials, Inc. Plasma processing with independent temperature control
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI816362B (zh) * 2022-04-14 2023-09-21 相弘科技股份有限公司 多管氣體流量檢測裝置與方法

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3569777A (en) 1969-07-28 1971-03-09 Int Plasma Corp Impedance matching network for plasma-generating apparatus
JPS51144183A (en) * 1975-06-06 1976-12-10 Hitachi Ltd Semiconductor element containing surface protection film
US4207137A (en) 1979-04-13 1980-06-10 Bell Telephone Laboratories, Incorporated Method of controlling a plasma etching process by monitoring the impedance changes of the RF power
JPS59142839A (ja) * 1983-02-01 1984-08-16 Canon Inc 気相法装置のクリ−ニング方法
US4852593A (en) * 1985-06-14 1989-08-01 Magic Spray Inc. Apparatus and method for washing vehicles
US5100484A (en) * 1985-10-15 1992-03-31 General Electric Company Heat treatment for nickel-base superalloys
JPH0615628B2 (ja) * 1986-04-28 1994-03-02 マツダ株式会社 プラズマ処理方法
US5018479A (en) 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
JP2892070B2 (ja) 1989-01-26 1999-05-17 キヤノン株式会社 堆積膜形成装置
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5091049A (en) 1989-06-13 1992-02-25 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5429070A (en) 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5421891A (en) 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5238752A (en) * 1990-05-07 1993-08-24 General Electric Company Thermal barrier coating system with intermetallic overlay bond coat
WO1992005577A1 (fr) * 1990-09-21 1992-04-02 Fujitsu Limited Procede et appareil pour former par croissance des cristaux de composes semi-conducteurs
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5500252A (en) * 1992-09-05 1996-03-19 Rolls-Royce Plc High temperature corrosion resistant composite coatings
JPH06251896A (ja) 1992-12-28 1994-09-09 Hitachi Ltd プラズマ処理方法及び装置
KR100238627B1 (ko) 1993-01-12 2000-01-15 히가시 데쓰로 플라즈마 처리장치
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5450205A (en) 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
US5304398A (en) * 1993-06-03 1994-04-19 Watkins Johnson Company Chemical vapor deposition of silicon dioxide using hexamethyldisilazane
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
JP3365067B2 (ja) 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5580385A (en) * 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5744049A (en) 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
JP3140934B2 (ja) 1994-08-23 2001-03-05 東京エレクトロン株式会社 プラズマ装置
US5919382A (en) 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
GB9426257D0 (en) * 1994-12-24 1995-03-01 Rolls Royce Plc Thermal barrier coating for a superalloy article and method of application
JPH08288259A (ja) * 1995-04-18 1996-11-01 Sony Corp ヘリコン波プラズマ装置およびこれを用いたドライエッチング方法
US6022446A (en) 1995-08-21 2000-02-08 Shan; Hongching Shallow magnetic fields for generating circulating electrons to enhance plasma processing
US5776269A (en) * 1995-08-24 1998-07-07 Kaiser Aluminum & Chemical Corporation Lead-free 6000 series aluminum alloy
US5983828A (en) 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09115880A (ja) * 1995-10-16 1997-05-02 Hitachi Ltd ドライエッチング装置
US5810932A (en) 1995-11-22 1998-09-22 Nec Corporation Plasma generating apparatus used for fabrication of semiconductor device
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5683548A (en) 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
JPH09232296A (ja) * 1996-02-23 1997-09-05 Mitsubishi Electric Corp 半導体装置の製造装置および製造方法
JP3360265B2 (ja) * 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5707452A (en) 1996-07-08 1998-01-13 Applied Microwave Plasma Concepts, Inc. Coaxial microwave applicator for an electron cyclotron resonance plasma source
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
TW343360B (en) * 1996-07-31 1998-10-21 Applied Materials Inc Plasma reactor process for high photoresist selectivity and improved polymer adhesion
US6071572A (en) 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US6113731A (en) 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US6189482B1 (en) * 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
JP3343200B2 (ja) 1997-05-20 2002-11-11 東京エレクトロン株式会社 プラズマ処理装置
US6178920B1 (en) 1997-06-05 2001-01-30 Applied Materials, Inc. Plasma reactor with internal inductive antenna capable of generating helicon wave
US5795451A (en) 1997-06-12 1998-08-18 Read-Rite Corporation Sputtering apparatus with a rotating magnet array
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6132552A (en) 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US6007330A (en) * 1998-03-12 1999-12-28 Cosmos Factory, Inc. Liquid precursor delivery system
JPH11297673A (ja) 1998-04-15 1999-10-29 Hitachi Ltd プラズマ処理装置及びクリーニング方法
US6203862B1 (en) * 1998-05-13 2001-03-20 Intevac, Inc. Processing systems with dual ion sources
US6178925B1 (en) * 1999-09-29 2001-01-30 Advanced Technology Materials, Inc. Burst pulse cleaning method and apparatus for liquid delivery system
US20030155079A1 (en) * 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control

Also Published As

Publication number Publication date
WO2002003415A3 (en) 2002-05-23
WO2002003415A2 (en) 2002-01-10
CN100372971C (zh) 2008-03-05
JP2012169629A (ja) 2012-09-06
KR100865054B1 (ko) 2008-10-23
US7282454B2 (en) 2007-10-16
KR20030015295A (ko) 2003-02-20
CN101241829A (zh) 2008-08-13
US20040031564A1 (en) 2004-02-19
CN1449572A (zh) 2003-10-15
AU2001268275A1 (en) 2002-01-14
JP2004502318A (ja) 2004-01-22
US6632322B1 (en) 2003-10-14
EP1295309A2 (en) 2003-03-26

Similar Documents

Publication Publication Date Title
JP6140927B2 (ja) 成分送給機構、プラズマリアクタ、及び、半導体基板を処理する方法
JP7464642B2 (ja) マルチゾーンガス分配システム及び方法
EP1230665B1 (en) Plasma processing system with dynamic gas distribution control
US6417111B2 (en) Plasma processing apparatus
KR102122113B1 (ko) 튜닝가능한 가스 흐름 제어를 위한 가스 스플리터를 포함하는 가스 공급 전달 장치
KR101432850B1 (ko) 고속 가스 스위칭 플라즈마 프로세싱 장치
US9721803B2 (en) Etching method for substrate to be processed and plasma-etching device
JP5709344B2 (ja) 高速ガス切換能力を有するガス分配システム
US9502219B2 (en) Plasma processing method
US8753474B2 (en) Method and apparatus for high efficiency gas dissociation in inductive couple plasma reactor
US20120012556A1 (en) Plasma etching apparatus and plasma etching method
WO2012002232A1 (ja) プラズマ処理装置及び方法
JP4246477B2 (ja) 解離及びイオン化の空間的制御のためのプラズマ処理装置
US20050173069A1 (en) Plasma generating apparatus and plasma processing apparatus
US20160358784A1 (en) Plasma-enhanced etching in an augmented plasma processing system
JP2002280357A (ja) プラズマエッチング装置およびエッチング方法
JP2006344701A (ja) エッチング装置およびエッチング方法
US20230187214A1 (en) Remote source pulsing with advanced pulse control
CN114678270A (zh) 一种电感耦合等离子处理装置及其刻蚀方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130711

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130723

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131018

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140218

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140909

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150105

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20150113

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20150227

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160519

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20161104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170206

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170502

R150 Certificate of patent or registration of utility model

Ref document number: 6140927

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term