KR20030015295A - 스위칭 방식 균일성 제어 - Google Patents

스위칭 방식 균일성 제어 Download PDF

Info

Publication number
KR20030015295A
KR20030015295A KR1020027017759A KR20027017759A KR20030015295A KR 20030015295 A KR20030015295 A KR 20030015295A KR 1020027017759 A KR1020027017759 A KR 1020027017759A KR 20027017759 A KR20027017759 A KR 20027017759A KR 20030015295 A KR20030015295 A KR 20030015295A
Authority
KR
South Korea
Prior art keywords
component
gas
process chamber
delivery mechanism
switch
Prior art date
Application number
KR1020027017759A
Other languages
English (en)
Other versions
KR100865054B1 (ko
Inventor
리처드에이. 고초
로버트제이. 스테거
Original Assignee
램 리서치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리서치 코포레이션 filed Critical 램 리서치 코포레이션
Publication of KR20030015295A publication Critical patent/KR20030015295A/ko
Application granted granted Critical
Publication of KR100865054B1 publication Critical patent/KR100865054B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Branching, Merging, And Special Transfer Between Conveyors (AREA)

Abstract

공정 챔버 내에 구성요소를 분산시키기 위한 구성요소 전달 메커니즘이 공개된다. 구성요소는 공정 챔버 내 작업 소재를 처리하기 위해 사용된다. 구성요소 전달 메커니즘은 공정 챔버의 요망 영역에 구성요소를 출력하기 위한 다수의 구성요소 출력을 포함한다. 구성요소 전달 메커니즘은 다수의 구성요소 출력에 연결되는 공간 분산 스위치를 또한 포함한다. 공간 분산 스위치는 다수의 구성요소 출력 중 한개 이상에 상기 구성요소를 전달하도록 배열된다. 구성요소 전달 메커니즘은 공간 분산 스위치에 연결된 단일 구성요소 소스를 또한 포함한다. 단일 구성요소 소스는 공간 분산 스위치에 상기 구성요소를 공급하기 위해 배열된다.

Description

스위칭 방식 균일성 제어{SWITCHED UNIFORMITY CONTROL}
플랫 패널 디스플레이나 집적 회로같은 반도체 기반 제품 제작 중에, 다중 증착 및 에칭 단계들이 기판 표면에 사용되어, 트랜지스터, 커패시터, 저항, 상호연결부와 같은 소자들을 형성한다. 증착 중에, 여러 물질의 일련의 층들이 기판 표면에 증착되어 적층구조를 형성한다. 예를 들어, 절연체, 전도체, 반도체 층들이 기판 표면에 형성된다. 역으로, 기판의, 특히 적층구조의 지정 영역의 물질을 선택적으로 제거하기 위해 에칭이 사용될 수 있다. 예를 들어, 바이어(via), 접점(contact), 트렌치(trench)같은 에칭된 특징부들이 기판층에 형성될 수 있다.
에칭 및 증착 공정과 그 관련 반응기들에는 여러 다양한 종류가 있다. 예를 들어, 화학 증기 증착(CVD), 고온 화학 증기 증착 공정(thermal CVD), 플라즈마 강화 화학증기 증착(PECVD), 스퍼터링같은 물리적 증기 증착(PVD) 등을 포함한 증착 공정들과, 건식 에칭, 플라즈마 에칭, 반응성 이온 에칭(RIE), 자기 강화 반응성이온 에칭(MERIE), 전자 사이클로트론 공명(ECR), 등을 포함한 에칭 공정들이 소개되고 여러 정도로 사용되어, 반도체 기판을 처리하고 디스플레이 패널을 처리한다.
기판을 처리할 때, 기술자들이 사활을 걸고 개선시키려는 가장 중요한 매개변수 중 하나가 공정의 균일성이다. 예를 들어 에칭 환경에서, 에칭 균일성은 균일한 소자 성능(performance)과 소자 양품률의 중요한 결정자이다. 즉, 에칭 균일성이 높으면 결함없이 처리된 기판의 비율을 개선시키는 경향이 있고, 이는 제작자에게 저비용으로 다가온다. 여기서 사용되는 에칭 균일성이라는 표현은 에칭 속도, 마이크로로딩(microloading), 마스크 선택도, 하부층 선택도, 임계 치수 제어, 그리고 측벽 각도같은 프로파일 특성을 포함한 기판 표면간의 전체 에칭 공정의 균일성을 의미한다. 에칭이 매우 균일하다면, 기판의 여러 다른 점에서 에칭 속도가 같을 것이다. 이 경우에, 기판의 한 영역이 부적절하게 과에칭되고 다른 영역은 부적절하게 에칭되는 경우가 적을 것이다. 균일한 소자 성능 및 소자 양품률의 중요한 결정자라는 점에서 증착 균일도도 에칭 균일도와 유사하다.
추가적으로, 여러 가지 응용에서 이 엄격한 처리 요건은 기판 처리 중 여러 다른 단계에서 모순될 수 있다. 이는 크게 다른 처리 요건으로 처리되어야만 하는 여러 층들의 존재 때문이다. 예를 들어, 원하는 성능을 얻기 위해 단일 기판을 처리하면서 전력, 온도, 압력, 기체 화학조성, 기체 흐름을 포함한 각각의 처방들이 크게 변하여야 한다. 더욱이, 공정들의 특성 때문에, 재료들이 주변 표면에, 즉, 챔버 벽에 누적될 수 있다. 그 결과 공정이 표류할 수 있다.
공정 균일성에 추가하여, 반도체 산업에 또다른 주요 관심사가 존재한다. 제작자에게 중요한 관심사 중에는 가령, 처리 장비 소유 비용이 있다. 가령, 시스템 구입 및 유지 비용, 수용할만한 수준의 공정 성능을 유지하는 데 필요한 챔버 세척 빈도, 시스템 구성요소의 수명, 등이 여기에 포함된다. 따라서, 저렴한 비용으로 높은 수준의 처리공정을 행할 수 있는 이러한 방식으로 여러 다른 소유 비용과 공정 매개변수 사이에 올바른 균형을 유지할 수 있는 공정이 요망된다. 더욱이. 기판 위 특징부들이 점점 작아지고 처리공정의 요구사항은 점점 까다로워지기 때문에, 저렴한 비용으로 높은 수준의 처리를 행하기 위한 새로운 방법 및 장치를 찾으려는 노력이 지속되고 있다.
앞서로부터, 기판 표면에 균일한 처리를 행하는 개선된 기술이 요망된다.
본 발명은 플랫 패널 디스플레이 장치에 사용되는 글래스 패널이나 IC 제작에 사용되는 반도체 기판처럼 기판을 처리하기 위한 장치 및 방법에 관한 것이다. 특히 본 발명은 기판 표면 전체를 고도로 균일하게 처리할 수 있는 개선된 처리 시스템에 관한 것이다.
도 1은 본 발명의 한 실시예에 따른 플라즈마 반응기 도면.
도 2A와 B는 본 발명의 한 실시예에 따르는 공간 분산 스위치의 도식적 도면.
도 2C는 본 발명의 한 실시예에 따르는, 시간 함수로 스위치 동작을 보여주는 시간 대 방향 그래프.
도 3은 본 발명의 한 실시예에 따르는, 도 2의 구성요소 전달 메커니즘의 스위치에 관련된 동작의 순서도.
도 4는 본 발명의 한 실시예에 따르는, 부분 처방 설정의 표.
도 5는 본 발명의 한 실시예에 따르는, 전력 전달 메커니즘의 도면.
도 6은 본 발명의 한 실시예에 따르는, 전력 전달 메커니즘의 도면.
도 7은 본 발명의 한 실시예에 따르는, 기체 전달 메커니즘의 도면.
도 8은 본 발명의 한 실시예에 따르는, 도 7의 기체 전달 메커니즘에 사용될 수 있는 기체 전달 플레이트의 도면.
발명은 한 실시예에서, 공정 챔버 내부에 구성요소를 분산시키는 구성요소 전달 메커니즘에 관한 것이다. 이 구성요소는 공정 챔버 내 작업 소재를 처리하는 데 사용된다. 이 구성요소 전달 메커니즘은 공정 챔버의 요망 지역에 구성요소를 출력하기 위한 다수의 구성요소 출력을 포함한다. 구성요소 전달 메커니즘은 다수의 구성요소 출력에 연결된 공간 분산 스위치를 또한 포함한다. 공간 분산 스위치는 다수의 구성요소 출력에 구성요소를 보낼 수 있도록 배치된다. 구성요소 전달 메커니즘은 공간 분산 스위치에 연결되는 단일 구성요소 소스를 또한 포함한다. 단일 구성요소 소스는 공간 분포 스위치에 상기 구성요소를 공급하도록 배열된다.
발명은 또하나의 실시예에서, 공정의 구성요소로 작업 소재를 처리하기 위한 방법에 관한 것이다. 이 방법은 작업 소재가 처리되는 챔버를 제공하는 과정을 포함하고, 이 챔버는 한개 이상의 처리 구역과 제 2 처리 구역을 포함한다. 각각의 구역은 처리될 소재의 부분을 나타낸다. 이 방법은 공정 챔버의 제 1 처리 구역에 구성요소를 출력하는 과정을 또한 포함한다. 이 방법은 제 1 처리 구역으로부터 제 2 처리 구역으로 스위칭하는 과정을 추가적으로 포함한다. 이 방법은 공정 챔버의 제 2 처리 구역으로 구성요소를 출력하는 과정을 또한 포함한다.
발명은 또다른 실시예에서, 기판 처리를 위한 공간적으로 제어되는 플라즈마 반응기에 관한 것이다. 이 반응기의 공정 챔버 내에서는 플라즈마가 점화되어 공정동안 유지된다. 반응기의 전력 전달 메커니즘은 단일 전원과, 전력전달 스위치를 통해 상기 전원에 연결되는 한개의 전극을 가진다. 단일 전원은 플라즈마 점화 및 유지를 위해 충분히 큰 에너지를 발생시키기 위한 것이다. 전극은 제 1 코일과 제 2 코일을 포함한다. 제 1 코일은 공정 챔버의 제 1 전력 영역 내에 전기장을 생성하도록 배열되고, 제 2 코일은 공정 챔버의 제 2 전력 영역 내에 전기장을 생성하도록 배열된다. 더욱이, 전력 분산 스위치는 내부 코일과 외부 코일 사이에 전원의 에너지를 향하게 하도록 배열된다. 반응기는 단일 기체원, 제 1 기체 주입 포트, 제 2 기체 주입 포트, 그리고 기체 분산 스위치를 가지는 기체 전달 메커니즘을 추가적으로 포함한다. 단일 기체원은 플라즈마를 형성하고 기판을 처리하는 데 사용되는 공정 기체를 발생시키기 위한 것이다. 제 1 기체 주입 포트는 기체 분산 스위치를 통해 기체원에 연결되어, 고정 기체를 공정 챔버의 제 1 기체 영역으로 분사하는 데 사용된다. 제 2 기체 주입 포트는 기체 분산 스위치를 통해 기체원에 또한 연결되어, 공정 챔버의 제 2 기체 영역에 공정 기체를 분사하는 데 사용된다. 더욱이, 기체 분산 스위치는 내부 및 외부 기체 주입 포트 사이에 기체원의 공정 기체를 보내도록 배열된다.
발명은 또하나의 실시예에서, 공정 챔버 내부에 한 구성요소를 분산시키기 위한 구성요소 전달 메커니즘에 관한 것이다. 구성요소는 공정 챔버 내 작업 소재를 처리하는 데 사용된다. 구성요소 전달 메커니즘은 구성요소 전달을 위한 단일 구성요소 소스를 포함한다. 구성요소 전달 메커니즘은 단일 구성요소 소스로부터 구성요소를 수용하기 위한 한개의 구성요소 입력과, 구성요소를 분산시키기 위한 다수의 구성요소 출력을 가지는 공간 분산 스위치를 추가로 포함한다. 공간 분산 스위치는 다수의 구성요소 출력 중 한개 이상 사이에 수용한 구성요소를 보내도록 배열된다.
본 발명은 기판을 균일하게 처리하기 위한 개선된 방법 및 장치에 관한 것이다. 본 발명은 공정 챔버 내부에서 기판을 처리하는 반응물 형성에 사용되는 구성요소들의 분산에 대해 제어도를 개선시킴으로서 균일한 공정을 얻는다. 이 구성요소들은 공정 처방의 일부이며, 전력, 기체 흐름, 온도, 등을 포함할 수 있다. 발명은 이온과 중성 입자들이 기판 처리에 사용되는 플라즈마 공정 시스템에 특히 유용하다. 발명의 한 태양은 공정 챔버 내에 여러개의 독립 구역으로 구성요소의 분산을 공간적으로 분리하는 것에 관련된다. 발명의 또다른 태양은 독립 구역 각각 사이에서, 단일 소스로부터 공급되는, 구성요소의 분산을 스위칭(또는 공간적으로 변경)하는 것에 관련된다. 발명의 또하나의 태양은 각각의 공간 구역 사이에 구성요소나 구성요소 구성자의 양을 변화시키는 것에 관련된다. 발명의 다른 하나의 태양은 각각의 독립 구역에서 구성요소가 보내는 시간을 변화시키는 것에 관련된다.
발명은 한 실시예에서, 공정 챔버 내부에 한 구성요소를 분산하기 위한 구성요소 전달 메커니즘에 관련된다. 이 시스템은 전력, 기체 흐름, 온도 등을 포함한 다양한 구성요소들에 적용될 수 있다. 기판을 처리하는 반응제를 형성하는 데 구성요소들이 사용될 수 있고, 공정을 개선시키는 처리 조건을 제어하는 데 구성요소들이 사용될 수도 있다. 한 구현에서, 구성요소 전달 메커니즘은 공정 챔버의 여러 영역에 형성되는 반응제 양을 변경시키도록 배열된다. 따라서, 공정 챔버 내부에 반응제의 양을 변경시킨 결과로 처리 균일성을 얻을 수 있다.
구성요소 전달 메커니즘은 다수의 독립형 구성성분 출력, 단일 구성성분 소스, 공간 분포 스위치, 그리고 제어기를 포함하는 것이 일반적이다. 독립형 구성요소 출력은 구성요소를 공정 챔버 내 원하는 영역에 출력하도록 설정된다. 예를 들어, 독립형 구성성분 출력들은 구성성분을 공정 챔버의 내부 및 외부 영역에 출력하도록 설정될 수 있다. 내부 및 외부 영역들은 각각 기판의 중심과 변부에 대응할 수 있다. 독립형 구성요소 출력에 구성요소를 공급하기 위해 단일 구성요소 소스가 배치된다. 단일 구성요소 소스라는 것은 구성요소 소스가 구성요소 출력을 위해 단일 출력을 가진다는 것을 의미한다. 구성요소 자체는 단일하지 않을 수도 있고, 여러 구성자로 이루어질 수 있다. 예를 들어 기체 전달 메커니즘의 경우에, 구성요소는 단일 기체 공급원에 의해 믹싱 및 출력되는 다수의 기체를 포함할 수 있다. 공간 분포 스위치는 단일 소스와 독립형 구성요소 출력들 사이에 위치하여, 다수의 독립형 구성요소 출력 중 하나에 구성요소를 향하게 하는 다수의 위치를 가진다. 예를 들어, 스위치의 제 1 위치는 제 1 구성요소 출력에 구성요소를 보내고 스위치의 제 2 위치는 제 2 구성요소 출력에 구성요소를 보낼 수 있다.
더욱이, 제어기는 단일 구성요소 소스 및 공간 스위치 둘 모두와 통신한다. 제어기의 한가지 태양은 여러 위치 각각에 공간 스위치를 선택적으로 이동시킬 수 있게 설정된다. "선택적으로"라는 표현은 처리 중 어떤 임의적 시각에 스위치를 움직이고 정해진 구간동안 독립형 구성요소 출력 중 하나에서 스위치를 홀딩할 수 있도록 제어기가 배열된다는 것을 의미한다. 가령, 기체 흐름의 경우에, 기체 흐름은 시간 T1에서 제 1 출력으로, 그리고 시간 T2에서 제 2 출력으로 흐르게 할 수 있고, 그리고 제 2 출력에 비해 제 1 출력에 대한 확장된/단축된 시간동안 흐르게 할 수 있다. 제어기의 또다른 태양은 구성요소의 양, 구성요소의 구성자, 그리고 구성요소의 유량/유속을 변경시키도록 설정된다. 예를 들어, 기체 흐름의 경우, 분사된 기체의 유량/유속, 총 기체 흐름에서 기체와 구성자들의 흐름비, 가 제어되는 스위치 각각 사이에서 조절될 수 있다.
발명의 한 태양에 따라, 처리 중 기판 중심에 대해 기판 변부 근처의 플럭스나 반응제 양을 증가/감소시키도록 앞서 언급한 매개변수 중 한개 이상과 구성성분의 방향을 변경시킴으로서 공정 균일성이 향상된다. 본 발명의 또다른 태양에 따라, 공정 중 기판의 변부에 대해 기판 중심 근처의 반응제 양을 증가/감소시키도록 앞서 언급한 매개변수들 중 한개 이상의 구성요소 방향을 변경시킴으로서 공정 균일성이 개선된다. 이 실시예들은 아래에서 보다 상세하게 설명될 것이다.
한 실시예에서, 다수의 구성요소 전달 메커니즘을 가진 공간 전달 시스템을 포함하는 플라즈마 공정 시스템이 공개된다. 공간 전달 시스템은 스위칭 방식 균일성 제어를 제공하도록 배열된다. 일반적으로, 공정 기체를 공정 챔버에 유입시켜서, 챔버 내부에 존재하는 소량의 전자를 가속시키는 전기장을 생성하여, 공정 기체의 기체 분자와 충돌하게 함으로서, 플라즈마가 생성된다. 이 충돌은 이온화와 방전 시작 또는 플라즈마를 일으킨다. 당 분야에 잘 알려진 바와 같이, 이 강한 전기장에 노출될 때 공정 기체의 중성 기체 분자는 전자를 잃고, 양으로 대전된 이온을 남긴다. 그 결과, 양으로 대전된 이온, 음으로 대전된 전자, 그리고 중성 기체 분자가 공정 챔버 내에 공존한다. 이에 따라, 이온들이 기판을 향해 가속되기 시작한다. 가속된 이온들이 중성종과 함께 기판을 처리한다. 대안으로, 전자 부착에 의해 만들어진 음이온들이 기판을 처리하는 데 사용될 수도 있다. 예를 들어, 처리는 에칭, 증착, 등을 포함할 수 있다.
기판 처리 시스템에서의 공지된 문제점은, 반응제 주입 지점과 펌프 구멍간의 반응제 고갈로 인해, 또는 챔버 표면 위 반응제 및 결과물의 흡착/탈착으로 인하여(이는 기판 중심보다 변부에 큰 영향을 미침) 공간적으로 균일한 처리를 얻기 어렵다는 점이다. 여기서 공개되는 공간 전달 시스템은 공정 챔버 내 처리 조건을 공간적으로 변경함으로서 이 내재적인 비-균일 효과를 수정하려 한다. 기존 기술들은 다중 전력 및 기체 분사 구역같은 접근법들을 포함한다. 이 설계들은 좀 고가의 다중 소스(제너레이터 및 기체 전달 시스템)를 이용한다. 여기서 공개되는 방법은 단일 소스의 간단한 방법을 이용하지만, 시간 멀티플렉싱을 이용하여 시간 슬라이스를 생성하고, 이는 반응기 내 다중 공급 구역으로 공급된다.
공간 전달 시스템은 챔버 내에서 이온 및 중립 입자들의 소스를 공간적으로 분리시키도록 배열된다. 이온의 경우에, 공간적 분리는 공정 챔버 내 다중 독립형 전력 구역에 전기장을 생성하는 전력 전달 메카니즘을 이용함으로서 달성될 수 있다. 한 실시예에서, 전력 전달 메카니즘은 단일 전원, 제 1 코일, 제 2 코일, 그리고 전력 분산 스위치를 포함한다. 전력 분산 스위치는 제 1, 2 코일 사이에 단일전원의 에너지를 선택적으로 보내도록 배열된다. 이 방식으로, 이온 생성은 두 코일 사이의 스위칭에 의해 공정 챔버의 원하는 영역에서 제어될 수 있다. 한 실시예에서, 두 코일은 공정 챔버의 내부 영역과 외부 영역에 관련되며, 특히 기판의 중심부와 변부에 관련된다. 추가적으로, 스위칭되는 전력의 크기와 기간이 조절되어 두 영역에서 이온의 생성에 추가적인 영향을 미칠 수 있다. 일반적으로, 주어진 양의 기체에 전력이 더 공급될수록, 이온화가 더 크게 일어난다. 또한, 주어진 양의 전력이 주어진 양의 기체에 더 오랜 시간동안 공급될 경우, 이온화가 더 크게 일어난다.
중성 입자의 경우, 다중 독립형 기체 주입 구역에 공정 기체를 주입하는 기체 전달 메커니즘을 이용함으로서 공간적 분리가 일어날 수 있다. 한 실시예에서, 기체 전달 메커니즘은 단일 기체 소스, 제 1 기체 주입 포트나 제 1 포트 세트, 제 2 기체 주입 포트나 제 2 포트 세트, 그리고 한개의 기체 분산 스위치를 포함한다. 기체 분산 스위치는 제 1, 2 기체 주입포트 사이에서 기체 소스의 기체 흐름을 선택적으로 향하게 하도록 배열된다. 이 방식으로, 두 포트간을 시간별로 스위칭함으로서 공정 챔버의 원하는 영역에서 중성 입자의 양을 제어할 수 있다. 한 실시예에서, 두 포트는 공정 챔버의 내부 및 외부 영역에 관련되며, 특히 기판의 중앙부 및 변부에 관련된다.
앞서와 마찬가지로, 두 영역 내 기체 양에 추가적 영향을 미치기 위해 양(유속/유량 등)과 스위칭 기간이 변할 수 있다. 일반적으로, 유량/유속이 증가하고 흐름이 일정 시간동안 길어질 때의 영역에서는 더 큰 양의 중성 입자가 발견될 수 있다. 더욱이, 기체의 경우, 공정 조건에 추가적 영향을 미치기 위해 공간 구역이나 시간 슬라이스 각각 사이에서 기체 화학적 매개변수가 수정될 수 있다. 예를 들어, 1/2의 기체 A와 1/2의 기체 B를 함유한 공종 기체가 1/3의 기체 A, 1/3의 기체 B, 1/3의 기체 C로 이루어지는 기체로 변경될 수 있고, 또는 그 비율이 3/4 기체 A와 1/4 기체 B로 이루어지는 기체로 변할 수 있다. 여러 다른 화학적 매개변수는 여러 다른 공정 결과를 생성한다. 즉, 화학적 매개변수를 변경시킴으로서, 공정 챔버의 활성 반응제를 감소시키거나 증가시킬 수 있다.
발명의 실시예들이 도 1-8을 참고하여 아래에서 설명된다.
도 1은 본 발명의 한 실시예에 따르는 플라즈마 반응기(10)의 도면이다. 플라즈마 반응기(10)는 공정 챔버(12)를 포함하고, 공정 챔버(12) 일부는 챔버 벽(14)에 의해 형성되며, 공정 챔버(12) 내에서 플라즈마(16)가 점화되고 유지되어 기판(18)을 처리할 수 있게 한다. 기판(18)은 처리될 작업 소재를 나타낸다. 이는 예를 들어 에칭될 반도체 기판일 수도 있고, 플랫 패널 디스플레이처리될 글래스 패널일 수도 있다. 도시되는 실시예에서, 공정 챔버(12)는 원기둥 형태로 설정되고, 챔버 벽은 수직으로 놓이도록 배열된다.
대부분의 실시예에서, 기판(18)이 공정 챔버(12)에 삽입되어 지지대(20) 위에 놓이며, 지지대(20)는 공정 중 기판(18)을 지지하고 붙들도록 설정된다. 지지대(20)는 하부 전극(22), 변부 링(24), 그리고 척(26)을 포함한다. 한 실시예에서, 하부 전극(22)은 정합망(29)을 통해 RF 전력 공급원(28)에 의해 바이어스된다. RF 전력 공급원(28)은 RF 에너지를 하부 전극(22)에 공급하도록 설정된다. 대부분의 경우에, 전극/전력공급 장치 배열은 척(26), 변부 링(24), 그리고 기판(18)을 따라 에너지를 전달할만큼 충분히 강한 전기장을 발생시키도록 설정된다. 예를 들어, 하부 전극(22)에 의해 발생된 에너지는 플라즈마(16)와 기판(18) 표면 사이에 시스 전압(sheath voltage)을 형성하도록 배열될 수 있다. 이 전압은 기판(18)을 향해 플라즈마(16)의 이온을 가속시키는 데 사용된다. 더욱이, 전극이 RF 전력 공급 장치에 연결되는 것으로 나타나지만, 다른 설정도 가능하다. 예를 들어, 일부 단일 주파수 플라즈마 반응기에서는 지지대가 접지에 연결되기도 한다.
변부 링(24)은 기판 변부 근접 공정의 전기적 및 기계적 성질을 개선시키도록, 그리고 반응제(이온 충돌)로부터 하부 전극(22)과 척(26)을 보호하도록 배열된다. 이와 같이, 변부 링(24)은 기판(18)의 변부를 둘러싸도록 배열되고 하부 전극(22) 위 척(26) 둘레로 배열된다. 대부분의 경우에, 변부 링(24)은 과량의 마모 이후 교환되는 소비재이도록 설정된다. 변부 링(24)은 실리콘, 실리콘다이옥사이드, 실리콘나이트라이드, 실리콘카바이드, 쿼츠(quartz)(가령, SiO2형태), 세라믹(Al2O3) 등과 같은 적절한 유전체로부터 형성될 수 있다.
척(26)은 하부 전극(22)의 상부면에 연결되고, 기판(18)이 공정을 위해 지지대(20)에 놓일 때 기판(18) 후면을 수용하도록 설정된다. 도시되는 실시예에서, 척(26)은 정전 척을 나타낸다. 이는 정전력에 의해 기판(18)을 척 표면에 고정시킨다. 그러나, 기계식 척이 사용될 수도 있다. 일부 실시예에서, 헬륨 냉각 기체가 기판 후면과 변부 링 후면에 전달되어 공정 중 기판 및 변부 링의 온도 제어를 도와 균일하면서 반복가능한 공정 결과를 보장할 수 있다.
추가적으로, 지지대(20)는 원통형으로서, 공정 챔버와 지지대가 원통형 대칭이도록 공정 챔버와 축방향으로 정렬된다. 그러나, 이는 한 예일 뿐이고 지지대 위치는 각 플라즈마 공정 시스템의 설계에 따라 변할 수 있다. 지지대는 기판(18)의 로딩 및 언로딩을 위한 제 1 위치(도시되지 않음)와 기판(18) 처리를 위한 제 2 위치(도시됨) 사이에서 움직이도록 설정될 수도 있다. 대안으로, 로딩/언로딩용 제 1 위치로부터 기판 처리용 제 2 위치까지 기판(18)을 이동시키는 데 푸시 핀이 사용될 수 있다. 이 종류의 전송 시스템은 잘 알려져 있어 더 이상 설명하지 않는다.
더욱이, 챔버벽(14)과 지지대(20) 사이에 배출 포트(30)가 위치한다. 배기 포트(30)는 공정 중 형성된 가스를 배출하도록 설정되며, 공정 챔버(12) 외부에 위치한 터보몰레큘러 펌프에 연결되는 것이 일반적이다. 대부분의 실시예에서, 터보몰레큘러 펌프는 공정 챔버(12) 내에 적절한 압력을 유지하도록 배열된다. 더욱이, 배기 포트가 챔버 벽과 지지대 사이에 위치한다고 도시됨에도 불구하고, 배기 포트의 실제 위치는 각 플라즈마 공정 시스템의 설계마다 다를 수 있다. 예를 들어, 기체 배기가 공정 챔버 벽에 내장된 포트로부터 이루어질 수도 있다.
공정 챔버(12) 바깥에는, 특히 유전 윈도(32) 바깥에는, 공정 챔버(12) 내부에서 플라즈마(16)를 점화하고 유지시키기 위해 충분히 큰 에너지를 분산시키기 위한 전력 전달 메커니즘(34)이 배치된다. 전력 전달 메커니즘(34)은 단일 RF 전력 공급 장치(36), 유도 전극(38), 그리고 전력 분산 스위치(40)를 포함한다. RF 전력 공급 장치(36)는 정합망(37)을 통해 RF 에너지를 유도 전극(38)에 공급하도록 설정되고, 유도 전극(38)은 공정 챔버(12) 내부에 전기장을 생성하도록 배열된다. 한 실시예에 따라, 유도 전극(38)은 공간적으로 구별되는 다수의 코일로 나누어진다. 도시되는 실시예에서, 유도 전극(38)은 내부 코일(38A)과 외부 코일(38B)로 나누어진다. 내부 코일(38A)은 공정 챔버(12)의 내부 공정 구역(42) 내에 전기장을 생성하도록 배열되며, 외부 코일(38B)은 공정 챔버(12)의 외부 공정 구역(44) 내에 전기장을 생성하도록 배열된다. 내부 공정 구역(42)은 기판(18)의 내부 영역(46)에 대응하고, 외부 공정 구역(44)은 기판(18)의 외부 영역(48)에 대응한다. 따라서, 내부 코일(38A)은 기판(18)의 내부 영역(46) 위 이온 및 반응성 중성 입자들의 형성을 제어하고, 외부 코일(38B)은 기판(18)의 외부 영역(48) 위 이온 및 반응성 중성 입자의 형성을 제어한다.
각 코일(38A, 38B)은 전력 분산 스위치(40)를 통해 RF 전력 공급 장치(36)와 정합망(37)에 따로따로 연결된다. 전력 분산 스위치(40)는 에너지를 RF 전력 공급 장치(36)로부터 내부 코일(38A)과 외부 코일(38B) 사이로 전달하도록 배열된다. 즉, 전력 부산 스위치(40)는 에너지를 내부 코일(38A)에 보내기 위한 제 1 위치와, 에너지를 외부 코일(38B)로 보내기 위한 제 2 위치를 가지도록 설정된다. 따라서, 전력 분산 스위치(40)의 위치에 따라, 내부 코일(38A)이나 외부 코일(38B)이 RF 전력 공급 장치(36)에 연결된다. 전력 분산 스위치(40)는 신호 연결(76)을 통해 제어기(75)와 또한 연결된다. 한 실시예에서, 제어기(75)는 제 1 위치에서 제 2 위치로 언제 이동할지, 그리고 또다른 위치로 이동하기 전에 한 위치에 얼마간 머무는 지를 전력 분산 스위치(40)에게 알리도록 배열된다. 제어기(75)는 RF 전력 공급장치(36)의 양(가령, 와트)의 제어를 포함한 전력 공급 장치와 관련된 다양한 동작을 제어하도록 또한 배열된다. 도시되는 바와 같이, 제어기(75)는 신호 연결(77)을 통해 전력 공급 장치(36)에 연결된다. 공간 분산 스위치는 도 2와 3에 더욱 상세하게 나타날 것이다.
플라즈마 반응기(10)는 공정 챔버(12)에 공정 기체를 분산시키기 위한 기체 주입 메커니즘(50)을 또한 포함한다. 기체 주입 메커니즘(50)은 단일 기체 박스(52), 기체 주입 포트(54), 그리고 기체 분산 스위치(56)를 포함한다. 기체 박스(52)는 (기체 라인(58)을 통해) 기체 주입 포트(54)에 기체성 소스 물질을 전달하도록 설정되고, 기체 주입 포트(54)는 기체성 소스물질을 공정 챔버(54)에 분출하고 유전 윈도(32)와 기판(18) 사이 RF-유도 플라즈마 영역에 분출하도록 설정된다. 도시되는 바와 같이, 기체 주입 포트(54)는 고정 챔버(12)의 내부 둘레 주위로 배치되고, 특히 유전 윈도(32)를 따라 배치된다. 대안으로, 기체성 소스 물질이 유전 윈도에 놓인 샤워헤드를 통해, 또는 공정 챔버 자체 벽에 장착된 포트로부터 분출될 수도 있다. 더욱이, 기체 박스(52)는 유속/유량, 사용될 기체 소스 물질 종류, 그리고 기체 소스 물질의 비를 제어하기 위해 배열되는 기체 흐름 제어기 시스템(도시되지 않음)을 포함하는 것이 통상적이다. 기체 박스(52)는 다수의 기체 실린더(도시되지 않음)에 연결되며, 이 실린더들은 다수의 외부 기체 라인(60)을 통해 다양한 기체 소스 물질을 공급하도록 배열된다. 기체성 소스 물질은 당 분야에 잘 알려진 것이어서 더 이상 상세하게 설명하지 않는다.
한 실시예에 따라, 기체 주입 포트(54)는 다수의 개별 및 공간-구분 포트로나누어진다. 도시되는 실시예에서, 기체 주입 포트(54)는 내부 포트(54A)와 외부 포트(54B)로 구성된다. 내부 포트(54A)는 공정 챔버의 내부 공정 구역(42) 내에 기체성 소스 물질을 유입시키도록 구성되고, 외부 포트(54B)는 공정 챔버(12)의 외부 공정 구역(44)에 기체성 소스 물질을 유입시키도록 배열된다. 언급한 바와 같이, 내부 공정 구역(42)은 기판(18)의 내부 영역(46)에 대응하고, 외부 공정 구역(44)은 기판(18)의 외부 영역(48)에 대응한다. 이와 같이, 내부 포트(54A)는 기판(18)의 내부 영역 위 중성 입자들의 양을 제어하고, 외부 포트(54B)는 기판(18)의 외부 영역(48) 위 중성 입자들의 양을 제어한다.
각각의 포트(54A, 54B)는 기체 분산 스위치(56)를 통해 기체 박스(52)에 따로따로 연결된다. 제 2 분산 스위치(56)는 기체 박스(52)로부터 공급된 기체 소스 물질을 내부 포트(54A)와 외부 포트(54B) 사이에 보내도록 배열된다. 즉, 기체 분산 스위치(56)는 기체성 소스 물질을 내부 포트(54A)에 보내기 위한 제 1 위치와, 기체성 소스 물질을 외부 포트(54B)에 보내기 위한 제 2 위치를 가지도록 설정된다. 따라서, 기체 분산 스위치(56)의 위치에 따라, 내부 포트(54A)나 외부 포트(54B)가 기체 박스(52)에 연결된다. 제 2 분산 스위치(56)는 신호 연결(78)을 통해 제어기(75)와 또한 연결된다. 한 실시예에서, 제어기(75)는 제 1 위치에서 제 2 위치로 언제 이동할지, 그리고 또다른 위치로 이동하기 전에 한 위치에 얼마간 머무는 지를 기체 분산 스위치(56)에게 알리도록 배열된다. 제어기(75)는 신호 연결(79)을 통해 기체 박스(52)에 또한 연결된다. 제어기(75)는 기체성 소스 물질 혼합물 내 각 기체의 유량/유속과 기체 흐름비 제어를 포함한, 기체 박스(52)에 관련된 다양한 동작을 제어하도록 설정된다. 공간 분산 스위치는 도 2와 3에 더욱 상세하게 나타날 것이다.
플라즈마(16)를 생성하기 위해, 기체 주입 포트(54) 중 한개 이상을 통해 공정 챔버(12)에 공정 기체가 입력된다. RF 전력 공급원(36)을 이용하여 전극(38) 중 한개 이상에 전력이 공급된다. 전기장은 공정 챔버(12) 내에 존재하는 소량의 전자를 가속시켜서, 공정 기체의 기체 분자와 충돌하게 한다. 이 충돌로 인해 이온화 및 플라즈마(16)가 시작된다. 당 분야에 잘 알려진 바와 같이, 강한 전기장에 노출될 때 이 공정 기체의 중성 기체 분자들은 전자를 잃어, 양으로 대전된 이온을 남기게 된다. 그 결과, 양으로 대전된 이온, 음으로 대전된 전자, 그리고 중성 기체 분자들이 공정 챔버(12) 내부에 존재한다.
플라즈마(16) 형성 중, 공정 챔버(12) 내 중성 기체 분자들이 기판 표면을 향하려 한다. 예를 들어, 기판에서 중성 기체 분자들의 존재에 기여하는 메커니즘 중 하나는 확산(즉, 공정 챔버 내 분자의 임의적 움직임)일 수 있다. 따라서, 기판(18) 표면을 따라 중성종 층(가령, 중성 기체 분자)이 발견될 수 있다. 이에 따라, 하부 전극(22)에 전력이 공급되면, 이온은 기판(18)을 향해 가속되며, 이 기판에서는 종성종과 조합하여, 기판 처리, 즉, 에칭이나 증착이 활성화된다.
도 1에 도시되는 전력 전달 메커니즘과 기체 전달 메커니즘이 공정 균일성 개선을 위해 사용될 수 있다. 예를 들어, 전력 전달 메커니즘은 내부 및 외부 코일간의 스위칭에 의해 공정 챔버 내 이온 밀도를 공간적으로 변화시키는 데 사용되며, 기체 전달 메커니즘은 내부 및 외부 기체 주입 포트간의 스위칭에 의해 고정챔버 내부의 중성 입자 밀도를 공간적으로 변화시키는 데 사용될 수 있다. 추가적으로, 스위칭 기간, 구성자, 구성자 비율이 시간 슬라이스 사이에서 변경되어 이온 및 중성 입자 밀도를 추가적으로 변경시킬 수 있다. 따라서, 기판 표면을 따라 공정 균일도를 개선시키는 데 관련된 여러 예들이 이제부터 설명될 것이다.
발명의 한 실시예에 따라, 기판 변부 주변의 이온 밀도가 증가하거나 감소되어 기판 중심과 변부간 처리 균일성을 개선시킨다. 이는 본 발명의 창의적 특징을 이용한 여러 다양한 방식으로 이루어질 수 있다. 예를 들어, 기판 변부 주변의 이온을 증가시키는 한가지 접근법은 전력이 내부 구역에 분산되는 시간에 비해 전력이 외부 구역에 분산되는 시간을 증가시키는 것이다. 역으로, 기판 변부 근처의 이온을 감소시키기 위해 이 시간이 감소할 수도 있다. 그러나, 외구 구역에 필요한 시간이 내부 구역에 필요한 시간보다 작을 수 있다. 왜냐하면 내부 구역에 형성된 이온들이 외부구역을 향해 확산할 수 있기 때문이다. 기판 변부 주변 이온을 증가시키기 위한 또다른 접근법은 내부 구역에 분산된 전력에 대해 외부 구역에 분산된 전력을 증가시키는 것이다. 역으로, 기판 변부 주변 이온을 감소시키도록 전력량이 감소될 수도 있다.
발명의 한 태양에 따라, 기판 중심 근처 이온 밀도가 증가하거나 감소되어, 기판 중심과 변부 사이 처리 균일성을 개선시킨다. 또한, 이는 본 발명의 창의적 특징들을 이용한 다양한 방식으로 이루어질 수 있다. 예를 들어, 기판 중심 근처 이온을 증가시키는 한가지 접근법은 전력이 외부 구역에 분산되는 시간에 비해 전력이 내부 구역에 분산되는 시간을 늘리는 것이다. 역으로, 기판 중심 근처 이온을감소시키기 위해 이 시간이 줄어들 수도 있다. 그러나, 외부 구역에 필요한 시간이 내부 구역에 필요한 시간보다 작을 수 있다. 왜냐하면, 내부 구역에 형성된 이온이 외부 구역을 향해 확산될 수 있기 때문이다. 기판 중심 근처 이온을 증가시키기 위한 또다른 접근법은 외부 구역에 분산된 전력에 비해 내부 구역에 분산된 전력량을 증가시키는 것이다. 역으로, 기판 중심 근처 이온을 감소시키기 위해 상기 전력량이 감소할 수도 있다.
본 발명의 또다른 태양에 따라, 기판 변부 근처 중성 입자 밀도는 기판 중심과 변부간의 처리 균일도를 개선시키기 위해 감소하거나 증가할 수 있다. 이는 발명의 창의적 특성을 이용한 다양한 방식으로 이루어질 수 있다. 예를 들어, 기판 변부 근처 중성 입자를 증가시키기 위한 한가지 접근법은 기체가 내부 구역에 분산된 시간에 비해 외부 구역에 기체가 분산된 시간을 늘리는 것이다. 역으로, 기판 변부 근처 중성 입자들을 감소시키기 위해 상기 시간이 감소될 수도 있다. 그러나, 외부 구역에 필요한 시간이 내부 구역에 필요한 시간보다 짧을 수 있다. 왜냐하면 내부 구역에 형성된 중성 입자들이 외부 구역을 향해 확산할 수 있기 때문이다. 기판 변부 근처 중성 입자들을 증가시키기 위한 또다른 접근법은 내부 구역에 분산된 기체의 유속/유량(flow rate)에 비해 외부 구역에 분산된 기체의 유속/유량을 증가시키는 것이다. 역으로, 기판 변부 근처 중성 원자들을 감소시키기 위해 유속/유량이 감소할 수도 있다. 기판 변부 근처 중성 원자들을 증가시키기 위한 또하나의 접근법은 내부 구역에 분산된 기체의 화학적 배합과는 다른 외부구역의 화학적 배합을 가진 기체를 이용하는 것이다. 이는 구성요소 기체의 기체비를 변경시킴으로서,또는 구성성분 기체를 추가하거나 삭제함으로서 이루어질 수 있다.
본 발명의 또다른 태양에 따라, 기판 중심 근처의 중성 입자들은 처리 균일성을 개선시키도록 증가하거나 감소한다. 또한 이는 발명의 창의적 특징을 이용한 다양한 방식으로 이루어질 수 있다. 예를 들어, 기판 중심 근처 중성 입자들을 증가시키기 위한 한가지 접근법은 기체가 외부 구역에 분산된 시간에 비해 기체가 내부 구역에 분산된 시간을 증가시키는 것이다. 역으로, 기판 중심 근처 중성 입자들을 감소시키기 위해 상기 시간이 단축될 수도 있다. 기판 중심 근처 중성 원자들을 증가시키는 또다른 접근법은 외부 구역에 분산된 기체의 유속/유량에 비해 내부 구역에 분산된 기체의 유속/유량을 증가시키는 것이다. 역으로, 기판 중심 근처 중성 입자들을 감소시키기 위해 유속/유량이 감소할 수도 있다. 기판 중심 근처 중성 입자들을 증가시키기 위한 또다른 접근법은 외부 구역에 분산된 기체의 화학적 배합과는 다른 내부 구역 화학 배합을 가진 기체를 이용하는 것이다. 이는 구성요소 기체의 기체비를 변화시킴으로서, 또는 구성요소 기체를 추가/삭제함으로서 이루어질 수 있다.
본 발명이 여러 예를 들어 설명되었으나, 본 발명의 범위 내에 있는 일부 변경사항, 대체사항, 등가사항도 존재한다. 예를 들어 앞서 언급한 예들이 단일 매개변수 변화를 들어 설명되었으나, 처리 균일성에 영향을 미치기 위해 동시에, 또는 다른 순간에 여러 매개변수 변화도 행해질 수 있다. 예를 들어, 구성요소의 크기가 기간이 동시에 변경될 수 있다. 더욱이, 공정 균일성에 추가적 영향을 미치기 위해 구성요소 중복이 동시에, 또는 다른 순간에 일어날 수 있다. 예를 들어, 기체 단계중 전력 단계가 시작될 수도 있고, 역으로, 전력 단계 중에 기체 단계가 시작될 수도 있다. 전력 단계와 기체 단계가 동시에 시작될 수도 있다.
도 2는 전력 전달 메커니즘(34)이나 기체 전달 메커니즘(50)에 사용될 수 있는 공간 분산 스위치(80)의 도면이다. 예를 들어, 공간 분산 스위치(80)는 도 1의 전력 분산 스위치(40)나 기체 분산 스위치(56)일 수 있다. 일반적으로, 공간 분산 스위치(80)는 구성요소 소스(도시되지 않음)로부터 공급된 구성요소(83)를 수용하기 위한 입력(82)과, 분산된 구성요소(83')를 방출하기 위한 제 1 출력(84) 및 제 2 출력(86)을 포함한다. 도 2에 도시되는 바와 같이, 공간 분산 스위치(80)는 공급된 구성요소(83)를 제 1 출력(84)이나 제 2 출력(86)에 분산할 능력을 가진다. 제 1 출력(84)은 공정 챔버 제 1 구역에 구성요소를 출력하기 위한 구성요소 출력에 연결되고, 제 2 구성요소 출력(86)은 공정 챔버의 제 2 영역에 구성요소를 출력하기 위해 제 2 구성요소 출력에 연결된다.
간단하게 말해서, 공급된 구성요소(83)는 단일 구성자로 이루어질 수도 있고, 다수의 구성자로 이루어질 수도 있다. 예를 들어, 공급된 기체의 경우에, 공급된 기체는 단일 기체일 수도 있고, 다수의 혼합 기체일 수도 있다. 공급된 구성요소(83)가 구성요소와 관련된 변화하는 성질을 가질 수도 있다. 예를 들어, 공급된 에너지의 경우에, 공급된 에너지는 증가된 전력을 가질 수도 있고, 감소된 전력을 가질 수도 있다. 공급된 기체의 경우에, 공급된 기체가 증가된 기체 흐름을 가질 수도 있고, 감소된 기체 흐름을 가질 수도 있으며, 서로 다른 혼합 기체 비, 또는 서로 다른 혼합 기체를 가질 수 있다. 공급된 구성요소(83)가 단일 소스(도시되지않음)를 통해 전달되는 것이 선호되며, 상기 단일 소스는 공정 전체과정에서 공급된 구성요소에 연계된 구성자와 성질을 조절하기 위해 배열된다. 대안으로, 다수의 소스가 사용되어 스위치(80)의 입력(82)에 구성요소를 공급할 수 있다. 예를 들어, 제 1 소스는 제 1 구성요소를 스위치에 공급하는 데 사용되고 제 2 소스는 스위치에 제 2 구성요소를 공급하는 데 사용될 수 있다. 그러나, 다중 소스 비용이 매우 높고, 따라서, 한개의 소스를 이용하는 것이 바람직하다.
더 상세하게 설명하기 위해, 공간 분산 스위치(80)는 Y 스위치이다. 즉, 한개의 입력과 두개의 출력을 가지는 스위치이다. 구성요소의 방향은 공간 분산 스위치(80)의 조건에 따라 좌우된다. 공간 분산 스위치(80)가 제 1 조건(도 2A)에서 제 2 조건(도 2B)으로 바뀔 경우, 구성요소의 방향이 제 1 출력(84)에서 제 2 출력(86)으로 변경될 것이다. 설계될 때 이 배열은 한 방향으로 한동안(스위치가 조건 A에 얼마나 머무는 가에 의해 결정됨), 그후 반대 방향으로 또 한동안(스위치가 조건 B에 얼마나 머무는 가에 의해 결정됨), 전이를 최소화하는 구성요소의 일정하게 꾸준한 분포를 정확하게 생성한다. 더욱이, 스위치(80)는 기판을 균일하게 처리하기 위해 단일 공정 전체에서 이 조건들 사이에서 계속적으로 변경된다.
한 구현에서, 공간 분산 스위치(80)는 한개의 입력과 한개의 출력을 가진 한쌍의 밸브나 스위치로부터 형성된다. 특정 실시예에서, 제 1 밸브는 단일 소스와 제 1 구역 사이에 연결되고, 제 2 밸브는 단일 소스와 제 2 구역 사이에 연결된다.
이 구성요소는 한 밸브를 열 때 다른 한 밸브를 닫음으로서 원하는 위치에 분산된다. 예를 들어, 구성요소를 제 1 구역에 분산시키기 위해, 제 1 밸브가 열리고 제 2 밸브는 닫힌다. 역으로, 상기 구성요소를 제 2 구역에 분산시키기 위해, 제 1 밸브는 닫히고 제 2 밸브는 열린다.
스위치가 한개의 입력과 두개의 출력을 가지는 것으로 설명되고 도시되었으나, 이는 한 예일 뿐이며 스위치가 여러개의 출력(또는 여러개의 입력)을 취급할 수 있도록 배열될 수 있다는 것을 이해하여야 한다. 예를 들어, 한개의 입력과 세 개의 출력을 가진 스위치는 공정 챔버의 세 섹터 사이에 한 구성요소를 분산시키는 데 사용될 수 있다. 이 경우에, 적절한 섹터에 구성요소를 분산시키기 위해 세 개의 밸브가 사용될 수 있다.
더욱이, 스위치가 전력 및 기체 전달 메커니즘을 들어 설명되었으나, 그 개념이 다른 구성요소에도 물론 적용될 수 있다. 예를 들어, 스위치가 온도, 바이어스 전력, 자화 등등과 같은 구성요소를 이용한 구성요소 전달 메커니즘에 사용될 수 있다. 각각의 구성요소 전달 시스템은 증가된 처방 제어를 제공한다.
추가적 설명을 위해, 도 2C는 발명의 한 실시예에 따라, 시간의 함수로 스위치 동작을 보여주는 시간 대 방향 그래프(100)를 도시한다. 도시되는 바와 같이, 그래프(100)는 시간축 T와 방향축 D를 포함한다. 방향축 D는 두개의 구분된 방향(102, 104)으로 나누어진다. 예를 들어, 방향(102, 104)은 도 2A와 B의 제 1 출력(84)과 제 2 출력(86)에 각각 대응한다. 더욱이, 시간축 T는 여러 시간 t0-5에서 출발하는 다수의 구분된 시간 시퀀스(106A-106F)로 나누어진다. 구성요소들이 방향(102)과 방향(104) 사이에서 공간적으로 변조될 뿐 아니라, 시간 t0-5사이에서시간도 바뀐다. 즉, 스위치는 처리 과정 중 명시된 시간에서 변경되는 방향을 가진다. 시간 시퀀스(106)는 같을 수도 있고 다를 수도 있다. 도 2C에 도시되는 예에서, 시간 시퀀스(106A, 106B, 106E, 106F)는 같은 시간동안 실행되고, 시간 시퀀스(106C, 106D)는 다른 시간동안 실행된다. 특히, 시간 시퀀스(106C)는 짧은 시간동안 실행되고, 시간 시퀀스(106D)는 긴 시간동안 실행된다. 이와 같이, 방향(가령, 102, 104), 타이밍(가령, t0-5), 그리고 기간(가령, 106)이 처리 균일성 개선을 위해 처리과정 전반에서 조절될 수 있다.
도 3은 발명의 한 실시예에 따르는 스위치(80)의 동작에 대한 순서도이다. 설명을 쉽게 하기 위해, 도 3의 순서도는 한쌍의 스위치를 들어 설명된다. 그러나, 이는 하나의 예일 뿐이며, 다수의 스위치가 단일 공정에서 이용될 수도 있다. 스위칭 동작(200)은 단계 202에서 시작된다. 단계 202에서, 스위치(80) 방향이 제어기에 의해 단계 1 처리에서 설정된다. 즉, 단계 202에서, 스위치가 도 2A의 제 1 조건으로부터 도 2B의 제 2 조건으로(또는 그 역으로) 변경되어, 구성요소의 분산을 제 1 출력 구역으로부터 제 2 출력 구역으로(또는 그 역으로) 향하게 한다. 이방향이 단계 202에서 설정된 후, 과정은 단계 1 공정이 실행되는 단계 204로 진행된다. 단계 1 공정은 다음에 이어질 구성요소 전달 메커니즘에 대한 지정 처방(또는 명령)을 포함한다. 예를 들어, 전력의 경우, 분산된 전력의 크기와 분산 시간이 지정 값으로 설정될 수 있다. 기체 흐름의 경우, 유속/유량, 분산 시간, 기체 화학구조, 그리고 기체비가 지정값으로 설정될 수 있다. 이 지정값들은 타구역의 지정값과 같을 수도 있고 높거나 낮을 수도 있다. 한 예에서, 꾸준하고 균일한 처리를 이끌어내기 위해 지정값들은 시행착오를 통한 실험적 과정으로 결정될 수 있다.
단계 1 공정 다음에는, 스위치(80) 방향이 제어기에 의해 단계 2 공정을 위해 설정되는 단계 206으로 공정이 진행된다. 단계 206에서는 스위치(80)가 도 2B의 제 2 조건으로부터 도 2A의 제 1 조건으로(또는 그 역으로) 변경되어, 구성요소의 분산을 제 2 출력 구역으로부터 제 1 출력 구역으로(또는 그 역으로) 향하게 한다. 방향이 단계 206에서 설정되면, 공정은 단계 2 공정이 실행되는 단계 208로 진행된다. 단계 1 공정과 마찬가지로, 단계 2 공정은 다음에 이어질 구성요소 전달 시스템에 대한 지정 처방(또는 명령)을 포함한다. 단계 2 공정 이후에는 공정 흐름이 단계210으로 진행되어, 공정의 계속 여부를 결정한다. 공정을 계속한다고 결정되면, 과정 흐름은 단계 202로 되돌아간다. 공정을 종료한다고 결정되면, 공정은 단계 212로 진행되어, 과정이 종료됨을 알린다.
추가적 설명을 위해, 본 발명의 한 예가 도 4를 참고하여 이제부터 설명될 것이다. 도 4는 발명의 한 실시예에 따르는, 알루미늄 금속화 에칭 공정의 부분 처방 설정(400)을 도시하는 표이다. 예를 들어, 이 처방은 도 1을 참고하여 앞서 설명한 플라즈마 반응기와 유사한 플라즈마 반응기에 사용될 수 있다. 부분 처방 설정(400)은 다수의 단계(402)와 다수의 매개변수(404)를 포함하며, 이들은 기판 중심으로부터 기판 변부까지 균일한 에칭 결과를 얻기 위해 공정 전반에서, 특히 단계(402) 각각에서 조절될 수 있다. 본 예에서 매개변수는 시간(406), 전극 전력(408), 코일 위치(412), 제 1 유속/유량(414), 제 2 유속/유량(416), 제 3 유속/유량(418), 그리고 흡기 위치(420)를 포함한다.
시간(406)은 단계들(402)의 한 단계가 실행되는 시간과 관련되며, 각 단계의 기간을 제어한다. 전극 전력(408)은 상부 전극(즉, 내부 코일, 또는 외부 코일)에 전달되는 전력(가령, 와트)과 관련된다. 제 1 기체 유속/유량(414)은 주공정 기체의 일부인 제 1 기체의 유속/유량(가령, sccm)과 관련된다. 제 2 기체 유속/유량(416)은 주공정 기체의 일부분인 제 2 기체의 유속/유량과 관련된다. 제 3 기체 유속/유량(418)은 주공정 기체의 일부인 제 3 기체의 유속/유량과 관련된다. 예를 들어, 제 1 기체는 CHF3, 제 2 기체는 BCl3, 그리고 제 3 기체는 Cl2일 수 있다. 흡기 위치(420)는 전달된 기체들의 방향, 즉, 내부 또는 외부 기체 주입 포트와 관련된다.
주 공정 기체가 공정 챔버에 입력되는 단계 1에서 공정이 시작된다. 도시되는 바와 같이, 주공정 기체는 5/20/80의 기체비를 가지며, 내부 기체 주입 포트에 전달된다. 단계 1 공정은 5초동안 계속된다. 단계 1 이후에는, 상부 전극의 내부 코일이 700 와트의 전력을 공급받는 단계 2로 공정이 진행되고, 동일한 기체비 5/20/80을 가진 주공정 기체가 내부 기체 주입 포트로 계속 흘러들어간다. 단계 2 공정은 10초동안 계속된다.
단계 2 종료 이후, 700 와트 전력이 상부 전극의 내부 코일에 계속해서 공급되는 단계 3으로 공정이 진행되고, 새로운 기체 비 10/20/0의 주공정 기체는 방향을 변경하여 외부 기체 주입 포트에 전달되기 시작한다. 단계3 공정은 5초동안 지속된다. 단계 3 이후에, 공정은 단계 4로 진행되어, 500W의 새 전력 설정을 가진 분산 전력이 방향을 변경하고 상부 전극의 외부 코일에 공급되기 시작한다. 게다가, 5/20/80의 새 기체비를 가진 주공정 기체는 방향을 변경하여 내부 기체 주입 포트에 전달되기 시작한다. 단계 4 공정은 5초동안 지속된다. 단계4 완료 이후, 공정은 단계 5로 진행되어, 700와트의 새 전력 설정을 가진 분산된 전력이 방향을 변경하여 상부 전극의 내부 코일에 공급되기 시작한다. 게다가, 새 기체비 10/20/0을 가지는 주공정 기체는 방향을 변경하여 외부 기체 주입 포트에 공급되기 시작한다.
본 예가 알루미늄 에칭 공정을 지향하고 있으나, 다른 물질을 에칭 처리하는 처방으로 변경될 수도 있다. 더욱이, 처방은 유전체를 포함한 다른 물질을 에칭 처리하도록 처방이 변경될 수도 있다. 이와 같이, 주공정 기체는 다른 종류의 기체나 다른 기체 유속/유량, 그리고 기체비로 이루어질 수 있고, 전력은 여러 다른 전력 수준으로 조절될 수 있다. 추가적으로, 앞서 언급한 공정 중에 기체 및 전력 방향이 변경되었으나, 이들 구성요소 중 하나가 변경될 때 이들 구성요소 중 다른 하나가 변함없이 유지될 수도 있다. 또한, 각 공정에 대하여 시간이 변할 수 있고, 5초나 10초의 증분이 제한사항이 될 수 없다. 더욱이, 전력과 기체 흐름이 서로 다른 시간 스케일을 따라서, 공정 중 서로 다른 시간에 변경될 수 있다. 게다가, 부분 처방(400)이란 전체 처방 중 일부분의 처방을 의미한다. 마찬가지로, 5개의 공정 단계들은 제한사항이 아니며, 더 많거나 더 적은 단계들이 기판 처리를 위해 실행될 수 있다.
도 5는 본 발명에 따른 전력 전달 메커니즘(500)의 도면이다. 예를 들어, 전력 전달 메커니즘(500)은 도 1에 도시되는 전력 전달 시스템(34)에 각각 대응한다. 전력 전달 메커니즘(500)은 RF 전력 공급 장치(또는 제너레이터)(502), 전극(504), 정합망(506), 그리고 고전력 RF 스위치(508)를 포함한다. 전극(504)은 두 코일, 특히 내부 코일(510)과 외부 코일(512)을 포함하며, 이 두 코일은 고전력 RF 스위치(508)를 통해 RF 전력 공급 장치(502)에 전기적연결된다. 코일이 두 동심 코일로 도시되지만, 이는 제한사항이 아니다. 공정 중 시간의 함수로, 각 코일들은 RF 전력 공급 장치(502)에 스위칭되어서, RF 전력이 연결되는 곳에서 공간적으로 변화할 수 있다. 한 구현에서, 고전력 RF 스위치가 플라즈마 설정 시간에 비해 빠르게 설정되며, 이는 통상적으로 백막분의 몇초수준이다. 고속 스위칭에 의해, 기판은 전달받은 전력의 일부 복합 평균을 알려고 한다. 스위칭 속도는 설계사항에 따라 좌우되지만, 0.1Hz에서 100Hz 사이가 통상적이다. 전력 분산 스위치는 kHz 시간 스케일에서 동작하도록 배열될 수 있다. 더욱이, 정합망(506)은 고전력 RF 스위치(508)와 RF 전력 공급 장치(502) 사이에 배치된다. 정합망(506)은 플라즈마 부하와 RF 전력 공급 장치(502)의 출력간 임피던스를 부합시키도록 배열된다.
대부분의 경우에, 시스템이 코일을 스위칭하면 시스템 임피던스가 변화한다. 즉, 내부 코일에서 생성된 임피던스가 외부 코일에서 생성된 임피던스와 일반적으로 다르다. 이와 같이, 정합망(match network)(506)은 급속하게 조절할 수 있어야 하고, 또는 전혀 조절할 필요가 없는 방식으로 시스템이 설계되어야 한다.
한 실시예에서, 정합망(506)은 고정 정합망이다. 즉, 전력 공급 장치와 플라즈마 부하 간 설정 임피던스를 가지도록 정합망이 설계된다. 한 구현에서, 내부 코일에 의해 발생된 플라즈마 부하와 전력 공급 장치간 임피던스를 부합시키도록 고정 정합망이 설계된다. 이 구현에서, 외부 코일에 전달된 전력은 외부 코일에서 임피던스 미스매칭에 의해 발생된 역방향 전력을 보상하기 위해 증가된다. 또다른 구현에서, 고정 정합망은 외부 코일에 의해 발생된 플라즈마 부하와 전력 공급 장치간 임피던스를 부합시키도록 배열된다. 본 구현에서, 내부 코일에 전달된 전력은 내부 코일에서의 임피던스 미스매치에 의해 발생된 역방향 전력을 보상하도록 증가된다.
또하나의 구현에서, 고정 정합망은 두 조건을 가지도록 설정된다. 한개의 조건은 내부 코일에 의해 발생된 플라즈마 부하와 전력 공급 장치간 임피던스를 부합시키는 것이고, 또다른 조건은 외부 코일에 의해 발생된 플라즈마 부하와 전력 공급 장치간 임피던스를 부합시키는 것이다. 본 구현에서, 정합망은 구분된 각각의 코일에 의해 발생된 임피던스를 부합시키도록 임피던스 사이를 스위칭하도록 배열된다. 또다른 하나의 구현에서, 내부 코일과 외부 코일 사이에서 임피던스를 부합시키게 정합망이 배열되도록, 유사한 임피던스를 가지게 내부 및 외부 코일이 배열된다.
또하나의 실시예에서, 정합망(506)은 크게 변하는 부하 임피던스 조건 하에서 임피던스를 부합시키도록 설정되는 조정가능한 정합망이다. 예를 들어, 전력 방향, 전력량, 전력 시간, 기체 유속/유량, 챔버 압력, 챔버 온도, 등등을 포함한 다양한 매개변수에 대해 임피던스를 부합시킬 수 있다. 조정가능한 정합망은 순방향 및 역방향 전력을 결정하기 위해 배열되는 와트미터(도시되지 않음)를 일반적으로포함한다. 당 분야에 잘 알려진 바와 같이, 역방향 전력은 플라즈마 부하와 제너레이터의 출력 임피던스간에 미스매치가 있음을 나타내는 증거이다. 따라서, 시스템 임피던스가 변경되었는 지를 결정하기 위해 와트미터가 배열된다. 변화가 있었음을 결정하였을 때, 조정가능한 정합망은 변경된 임피던스를 부합시키도록 조절될 수 있다.
도 6은 발명의 한 실시예에 따른 전력 전달 메커니즘(600)의 도면이다. 예를 들어, 전력 전달 메커니즘(600)은 도 1에 도시되는 전력 전달 메커니즘(34)에 각각 대응한다. 전력 전달 메커니즘(600)은 플라즈마와 코일 임피던스가 다를 경우 사용된다. 전력 전달 메커니즘(600)은 RF 전력 공급 장치(또는 제너레이터)(602), 전극(604), 제 1 정합망(606), 제 2 정합망(608), 그리고 고전력 RF 스위치(610)를 포함한다. 전극(604)은 두 코일, 특히 내부 코일(612)과 외부 코일(614)을 포함한다. 이 두 코일은 고전력 RF 스위치(610)를 통해 RF 전력 공급 장치(602)에 전기적연결된다. 코일이 두 동심 코일로 도시되지만, 이는 한 예일 뿐이다. 공정 중 시간의 함수로, 각 코일은 RF 전력 공급 장치(602)에 스위칭되어, RF 전력이 연결되는 곳을 공간적으로 변화시킨다. 한 구현에서, 고전력 RF 스위치는 플라즈마 설정 시간에 비해 빠르게 설정된다. 고속 스위칭에 의해, 기판은 전달받은 전력의 일부 복합적 평균을 알려는 경향이 있다. 더욱이, 제 1 정합망(606)은 고전력 RF 스위치(610)와 외부 코일(614) 사이에 배치되는 것이 일반적이고, 제 2 정합망(608)은 내부 코일(612)과 고전력 RF 스위치(610) 사이에 배치되는 것이 일반적이다. 제 1 정합망(606)의 경우, 제 1 정합망(606)은 외부 코일(614)을 이용함으로서 생성되는 플라즈마 부하와 RF 전력 공급 장치(602)의 출력 사이에서 임피던스를 부합시키도록 배열된다. 제 2 정합망(608)의 경우에, 내부 코일(612)을 이용함으로서 생성되는 플라즈마 부하와 RF 전력 공급 장치(602)의 출력 사이 임피던스를 부합시키도록 제 2 정합망(608)이 배열된다. 한 실시예에서, 제 1, 2 정합망은 조정가능한 정합망이다. 또다른 실시예에서, 제 1, 2 정합망은 고정식 정합망이다.
도 7은 본 발명의 한 실시예에 따른 기체 전달 메커니즘(700)의 도면이다. 예를 들어, 기체 전달 메커니즘(700)은 도 1에 도시되는 기체 전달 메커니즘(50)에 각각 대응한다. 기체 전달 메커니즘(700)은 기체 공급 장치(702)(또는 기체 박스), 기체 분산 플레이트(704), 그리고 기체 분산 스위치(706)를 포함한다. 기체 분산 플레이트(704)는 두 기체 주입 포트, 특히 내부 기체 주입 포트(708)와 외부 기체 주입 포트(710)를 포함한다. 이들은 기체 분산 스위치(706)를 통해 기체 박스(702)에 연결된다. 기체 공급 장치(702)는 기체를 제 1 기체 라인(712)을 통해 기체 분산 스위치(706)에 공급하고, 스위치는 스위치 조건에 따라, 제 2 기체 라인(714)이나 제 3 기체 라인(716)에 기체를 공급한다. 도시되는 바와 같이, 제 2 기체 라인(714)은 기체를 내부 기체 주입 포트(708)에 전달하고, 제 3 기체 라인(716)은 기체를 외부 기체 주입 포트(710)에 전달한다. 공정 중 시간의 함수로, 각각의 포트는 기체 공급 장치(702)로 스위칭되어, 기체가 분산되는 곳을 공간적으로 변화시킨다. 더욱이, 도 7에는 도시되지 않지만, 기체 주입 포트는 공급받은 기체를 분출하기 위한 다수의 구멍을 포함할 수 있다. 통상적으로, 각 포트들의 구멍들은 서로 연결되어, 포트마다 단 하나의 기체 라인이 필요하다. 기체 분산 플레이트는 종래의 것으로 잘 알려진 것이다. 그러나 발명의 논의를 돕기 위해, 기체 분산 플레이트가 도 8에 상세하게 도시된다.
기체 박스(702)의 경우, 다수의 기체 유입 라인(716)을 가지는 고압 기체 매니폴드를 포함하며, 이 유입 라인(716)들은 개별 기체 공급원(도시되지 않음)에 연결된다. 예를 들어, 기체 소스는 기체 병이나 기체 실린더일 수 있다. 네 개의 기체 유입 라인만이 도시되지만, 이는 한 예일 뿐이고 더 많은 라인이나 더 적은 라인이 사용될 수도 있다. 표준 양의 기체, 따라서 표준 양의 기체 유입 라인은 일반적으로 8개다. 기체 유비 라인(716)은 대량 흐름 제어기(718)에 연결되며, 이는 기체 유속/유량, 기체 혼합물, 기체 비, 그리고 압력을 포함한 기체 분산에 관련된 매개변수들을 제어하고 통제하기 위해 배열된다. 각각의 기체는 고유 대량 흐름 제어기를 포함한다. 대량 흐름 제어기(718)는 밸브(도시되지 않음)와 유량계(flow meter)(도시되지 않음)유량계는 기체가 통과하는 속도, 즉, 유압을 제어하기 위한 것이고, 밸브는 저압 매니폴드(720)에 저압 기체를 출력하기 위한 것이다. 도시되는 바와 같이, 저압 매니폴드(720)는 기체가 혼합되는 튜빙(tubing)을 포함한다. 대량 흐름 제어기 각각을 제어함으로서, 혼합 기체의 기체 화학물질, 기체 비, 그리고 유량/유속을 조절할 수 있다. 기체의 혼합물은 제 1 기체 라인(712)을 통해 기체 분산 스위치(706)에 혼합 기체를 분출하기 위해 밸브(722)에 공급된다.
스위치(706)에 도달하면, 기체 혼합물은 두 스위치 조건 중 하나를 통해 두 기체 주입 포트(708, 710) 중 하나에 전달된다. 스위치(706)가 제 1 조건에 놓이면, 기체 혼합물은 제 2 기체 라인(714)을 통해 내부 기체 주입 포트(708)로 흘러들어가고, 스위치(706)가 제 2 조건에 놓일 경우, 기체 혼합물은 제 3 기체 라인(716)을 통해 외부 기체 주입 포트(710)로 흘러들어간다.
일부 경우에, 스위칭 전이는 상기 조건들 사이에서의 스위칭에 의해 나타날 수 있다. 즉, 스위칭으로 인해 기체 주입 포트가 비균일한, 또는 펄스형의 기체 흐름을 분출할 수 있다. 이 스위칭 전이는 방전이나 플라즈마 형성에 악영향을 끼칠 수 있고, 기체 라인의 특정 문제를 만들거나 기체 라인에 플라즈마 기체의 역류를 이끌 수 있다. 이 효과를 설명하는 데는 여러 가지 방식이 있다. 예를 들어, 한가지 방식은 기체 라인을 통한 기체 흐름의 수송율을 낮추는 것이다. 수송율을 낮춤으로서, 기체 라인으로부터 배기되기 전에 스위칭이 이루어질 수 있고, 따라서, 기체 흐름의 준-스테디-스테이트를 얻을 수 있다. 예를 들어, 기체 라인의 수송율을 낮추는 한가지 방식은 그 길이를 증가시키는 것일 수 있다.
도 8은 기체 분산 플레이트(800)의 도면이다. 예를 들어, 기체 분산 플레이트(800)는 도 1에 도시되는 기체 분산 플레이트(32)에 각각 대응한다. 기체 분산 플레이트(800)는 내부(802)와 외부(804)를 포함한다. 내부(802)는 공정 챔버의 내부 영역에 기체를 분출하기 위한 다수의 내부 구멍(806)을 포함한다. 각각의 내부 구멍(806)은 기체 분산 플레이트(800)의 채널을 통해 서로 연결되며, 내부 기체 주입 포트(도시되지 않음)에 연결된다. 마찬가지로, 외부(804)는 공정 챔버의 외부 영역에 기체를 분출하기 위한 다수의 외부 구멍(808)을 포함한다. 각각의 외부 구멍(808)은 기체 분산 플레이트(800)의 채널을 통해 서로 연결되며, 외부 기체 주입 포트(도시되지 않음)에 연결된다. 구멍(806, 808)에 대해 도면과 같은 설정이 나타나지만, 이는 한 예일 뿐이다. 예를 들어, 한개의 단일 구멍이 내부 및 외부용으로 사용될 수 있다. 기체 분산 플레이트는 당 분야에 잘 알려진 것으로 더 이상 상세하게 설명하지 않는다.
앞서로부터, 본 발명은 공지 기술에 대해 수많은 장점을 제공한다. 여러 다른 실시예들인 아래의 장점 중 한가지 이상을 제공할 수 있다. 본 발명의 한가지 장점은 공정 제어가 용이하다는 점이다. 예를 들어, 본 발명은 플라즈마 공정 챔버 내 여러 위치에서 이온과 중성 입자들의 농도를 제어하는 데 사용될 수 있다. 공정의 공간적 제어를 더 높이기 위해, 본 발명은 지정가능한 시간동안 공정 챔버 내 여러 다른 위치 사이에서 구성요소들의 분산을 공간적으로 변화시킬 수 있다. 제어 수준 증가의 결과, 종래에 비해 더 높은 수준의 균일한 처리를 얻을 수 있다. 발명의 또다른 장점은 비용이 저렴하고 시스템이 덜 복잡하다는 점이다. 분산 스위치를 제공함으로서, 단 하나의 구성요소 소스만이 필요하고 따라서 설계 비용이 절감된다.
본 발명이 선호되는 실시예를 들어 설명되었으나, 본 발명의 범위 내에서 여러 치환, 변경 등이 가능하다. 본 발명의 방법 및 장치를 구현하기 위한 방식에는 여러 대안의 방식이 있다. 예를 들어, 구성요소 전달 메커니즘이 반도체 기판 처리용 플라즈마 반응기를 들어 설명되었으나, 다른 시스템에도 상기 구성요소 전달 메커니즘의 기술 및 방법을 적용할 수 있다. 예를 들어, 화학 증기 증착(CVD), 고온 CVD(thermal CVD), 플라즈마 강화 화학 증기 증착(PECVD), 스퍼티링같은 물리적 증기 증착(PVD) 등 뿐만 아니라, 건식 에칭, 플라즈마 에칭, 반응성 이온 에칭(RIE),자기 강화 반응성 이온 에칭(MERIE), 전자 사이클로트론 공명(ECR), 등을 포함한 대부분의 반도체 공정 시스템에 본 발명의 구성요소 전달 메커니즘이 사용될 수 있다. 구성요소 전달 메커니즘이 반도체 공정이 아닌 다른 시스템에도 적용될 수 있다. 예를 들어, 지가 디스크나 광학적 저장 디스크 제작에도 사용될 수 있다.

Claims (22)

  1. 공정 챔버 내에 하나의 구성요소를 분산시키기 위한 구성요소 전달 메커니즘으로서, 이 구성요소는 공정 챔버 내 작업 소재를 처리하는 데 사용되는 것이고, 상기 구성요소 전달 메커니즘은,
    - 공정 챔버의 요망 영역에 상기 구성요소를 출력하기 위한 다수의 구성요소 출력,
    - 상기 다수의 구성요소 출력에 연결되어, 다수의 구성요소 출력 중 한개 이상에 상기 구성요소를 보내기 위해 배열되는 공간 분산 스위치, 그리고
    - 상기 공간 분산 스위치에 연결되어, 상기 공간 분산 스위치에 상기 구성요소를 공급하기 위해 배열되는 단일 구성요소 소스
    를 포함하는 것을 특징으로 하는 구성요소 전달 메커니즘.
  2. 제 1 항에 있어서, 다수의 구성요소 출력은 적어도 제 1 구성요소 출력과 제 2 구성요소 출력을 포함하고, 공간 분산 스위치는 제 1 구성요소 출력에 상기 구성요소를 보내는 제 1 조건과, 제 2 구성요소 출력에 상기 구성요소를 보내는 제 2 조건을 가지는 것을 특징으로 하는 구성요소 전달 메커니즘.
  3. 제 2 항에 있어서, 제 1 구성요소 출력은 공정 챔버의 제 1 영역에 상기 구성요소를 출력하도록 배열되고, 상기 제 2 구성요소 출력은 공정 챔버의 제 2 영역에 상기 구성요소를 출력하도록 배열되는 것을 특징으로 하는 구성요소 전달 메커니즘.
  4. 제 3 항에 있어서, 공정 챔버의 제 1 영역은 작업 소재의 중앙부에 대응하고, 공정 챔버의 제 2 영역은 공정 소재의 외측 부분에 대응하는 것을 특징으로 하는 구성요소 전달 메커니즘.
  5. 제 2 항에 있어서, 공정 중 공정 챔버 내에 구성요소를 공간적 분산시키기 위한 조건들 사이에서 조정되도록 공간 분산 스위치가 배열되는 것을 특징으로 하는 구성요소 전달 메커니즘.
  6. 제 2 항에 있어서, 공정 중 공정 챔버 내의 구성요소 농도에 영향을 미치기 위해 지정 시간동안 한 조건에 머물도록 상기 공간 분산 스위치가 배열되는 것을 특징으로 하는 구성요소 전달 메커니즘.
  7. 제 1 항에 있어서, 상기 구성요소는 기체성 소스 물질이고, 단일 구성요소 소스는 기체성 소스 물질을 공급하기 위한 기체 공급 박스이며, 구성요소 출력은 기체성 소스 물질을 공정 챔버에 분출하기 위한 기체 주입 포트인 것을 특징으로 하는 구성요소 전달 메커니즘.
  8. 제 7 항에 있어서, 다수의 기체 주입 포트가 적어도 제 1 기체 주입 포트와 제 2 기체 주입 포트를 포함하고, 공간 분산 스위치는 제 1 기체 주입 포트에 기체성 소스 물질을 보내는 제 1 조건과, 제 2 기체 주입 포트에 기체성 소스 물질을 보내는 제 2 조건을 가지는 것을 특징으로 하는 구성요소 전달 메커니즘.
  9. 제 8 항에 있어서, 공정 챔버의 제 1 영역에 기체성 소스 물질을 분출시키도록 제 1 기체 주입 포트가 배열되고, 공정 챔버의 제 2 영역에 기체성 소스 물질을 분출시키도록 제 2 기체 주입 포트가 배열되는 것을 특징으로 하는 구성요소 전달 메커니즘.
  10. 제 9 항에 있어서, 공정 챔버의 제 1 영역이 작업 소재의 중앙부에 대응하고, 공정 챔버의 제 2 영역이 작업 소재의 외측 부분에 대응하는 것을 특징으로 하는 구성요소 전달 메커니즘.
  11. 제 9 항에 있어서, 제 1 기체 주입 포트와 제 2 기체 주입 포트 사이에서 기체성 소스 물질을 공간적으로 분산시키기 위한 분산 조건 사이에서 조정되도록 공간 분산 스위치가 배열되는 것을 특징으로 하는 구성요소 전달 메커니즘.
  12. 제 1 항에 있어서, 구성요소는 에너지이고, 단일 구성요소 소스는 에너지 공급을 위한 전력 공급 장치이며, 구성요소 출력은 공정 챔버 내에 전기장을 생성하기 위한 전극 코일인 것을 특징으로 하는 구성요소 전달 메커니즘.
  13. 제 12 항에 있어서, 다수의 전극 코일은 적어도 제 1 코일과 제 2 코일을 포함하고, 공간 분산 스위치는 에너지를 제 1 코일에 보내는 제 1 조건과, 에너지를 제 2 코일에 보내는 제 2 조건을 가지는 것을 특징으로 하는 구성요소 전달 메커니즘.
  14. 제 13 항에 있어서, 공정 챔버의 제 1 영역 내에 전기장을 생성하도록 제 1 코일이 배열되고, 공정 챔버의 제 2 영역 내에 전기장을 생성하도록 제 2 코일이 배열되는 것을 특징으로 하는 구성요소 전달 메커니즘.
  15. 제 14 항에 있어서, 공정 챔버의 제 1 영역은 작업 소재의 중앙부에 대응하고, 공정 챔버의 제 2 영역은 작업 소재의 외측 부분에 대응하는 것을 특징으로 하는 구성요소 전달 메커니즘.
  16. 제 14 항에 있어서, 공정 중 공정 챔버의 제 1, 2 영역 사이에서 전기장 농도에 영향을 미치도록 제 1, 2 코일 사이에서 에너지를 공간적으로 분산시키기 위한 조건들 사이에서 조정되도록 공간 분산 스위치가 배열되는 것을 특징으로 하는 구성요소 전달 메커니즘.
  17. 제 1 항에 있어서, 작업 소재가 반도체 기판인 것을 특징으로 하는 구성요소 전달 메커니즘.
  18. 제 1 항에 있어서, 작업 소재를 균일하게 처리하도록 공정 챔버 내 이온과 중성 입자들의 양에 영향을 미치게, 상기 구성요소 전달 메커니즘이 플라즈마 반응기에 이용되는 것을 특징으로 하는 구성요소 전달 메커니즘.
  19. 기판을 처리하기 위해 공간적으로 제어되는 플라즈마 반응기로서,
    - 플라즈마가 공정을 위해 점화되고 유지되는 공간인 플라즈마 챔버,
    - 전력 전달 메커니즘, 그리고
    - 기체 전달 메커니즘
    을 포함하고, 이때 상기 전력 전달 메커니즘은,
    - 플라즈마를 점화하고 유지할만큼 충분히 큰 에너지를 발생시키기 위한 단일 전력원,
    - 상기 전력원에 연결되어 전극으로서, 이 전극의 제 1 코일은 공정 챔버의 제 1 전력 영역에 전기장을 생성시키도록 배열되고 이 전극의 제 2 코일은 공정 챔버의 제 2 전력 영역에 전기장을 생성시키도록 배열되는 이러한 특징의 전극, 그리고
    - 전극의 내부 코일 및 외부 코일과 전력원 사이에 배치되어, 내부 코일과 외부 코일 사이에 전력원의 에너지를 전달하기 위한 전력 분산 스위치
    를 포함하고, 이때 상기 기체 전달 메커니즘은,
    - 플라즈마를 형성하여 기판을 처리하기 위해 사용되는 공정 기체를 발생시키기 위한 단일 기체 소스,
    - 공정 챔버의 제 1 기체 영역에 공정 기체를 분출하기 위해 기체 소스에 연결된 제 1 기체 주입 포트,
    - 공정 챔버의 제 2 기체 영역에 공정 기체를 분출하기 위해 기체 소스에 연결된 제 2 기체 주입 포트, 그리고
    - 내부 및 외부 기체 주입 포트 사이에 기체 소스의 공정 기체를 보내기 위해 기체 소스와 내부 및 외부 기체 주입 포트간에 배치되는 기체 분산 스위치
    를 포함하는 것을 특징으로 하는 기판을 처리하기 위해 공간적으로 제어되는 플라즈마 반응기.
  20. 공정 챔버 내 작업 소재를 처리하기 위해 사용되는, 공정 챔버 내 한가지 구성요소를 분산시키기 위한 구성요소 전달 메커니즘으로서, 상기 구성요소 전달 메커니즘은,
    - 상기 구성요소를 공급하기 위한 구성요소 소스,
    - 구성요소 소스로부터 구성요소를 받아들이기 위한 단일 입력과, 구성요소를 방출하기 위해 적어도 제 1 출력과 제 2 출력을 가지는 공간 분산 스위치로서, 제 1 출력을 통해 구성요소를 보내는 제 1 조건과 제 2 출력을 통해 구성요소를 전달하는 제 2 조건을 적어도 가지는 공간 분산 스위치,
    - 공간 분산 스위치의 제 1 출력에 연결되어, 공정 챔버의 제 1 영역에 구성요소를 출력하도록 배열되는 제 1 구성요소 출력과, 공간 분산 스위치의 제 2 출력에 연결되어, 공정 챔버의 제 2 영역에 구성요소를 출력하도록 배열되는 제 2 구성요소 출력, 그리고
    - 공정 챔버의 제 1, 2 영역 내 구성요소 농도에 영향을 미치도록 제 1, 2 조건 사이에 공간 분산 스위치를 보내도록 설정되는, 공간 분산 스위치를 제어하기 위한 제어기
    를 포함하는 것을 특징으로 하는 구성요소 전달 메커니즘.
  21. 공정 처방의 한 구성요소로 작업 소재를 처리하기 위한 방법으로서,
    - 작업 소재가 처리되는 공간인 공정 챔버를 제공하고, 이때 이 공정 챔버에는 제 1 공정 구역과 제 2 공정 구역이 존재하고 각각의 구역은 처리할 작업 소재 부분을 나타내며,
    - 공정 챔버의 제 1 처리 구역에 구성요소를 출력하고,
    - 제 1 공정 구역에서 제 2 공정 구역으로 스위칭하며, 그리고
    - 공정 챔버의 제 2 공정 구역에 구성요소를 출력하는,
    이상의 단계를 포함하는 것을 특징으로 하는 공정 처방의 한 구성요소로 작업 소재를 처리하기 위한 방법.
  22. 공정 챔버 내 작업 소재를 처리하기 위해 사용되는, 공정 챔버 내에 구성요소를 분산시키기 위한 구성요소 전달 메커니즘으로서, 상기 구성요소 전달 메커니즘은,
    - 구성요소를 공급하기 위한 단일 구성요소 소스, 그리고
    - 단일 구성요소 소스로부터 구성요소를 받아들이기 위한 구성요소 입력과, 구성요소를 분산시키기 위한 다수의 구성요소 출력을 가지는 공간 분산 스위치로서, 다수의 구성요소 출력 중 한개 이상 사이에 상기 수용한 구성성분을 보내도록 배열되는 공간 분산 스위치
    를 포함하는 것을 특징으로 하는 구성요소 전달 메커니즘.
KR1020027017759A 2000-06-30 2001-06-08 스위칭 방식 균일성 제어 KR100865054B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/607,599 US6632322B1 (en) 2000-06-30 2000-06-30 Switched uniformity control
US09/607,599 2000-06-30
PCT/US2001/018623 WO2002003415A2 (en) 2000-06-30 2001-06-08 Switched uniformity control

Publications (2)

Publication Number Publication Date
KR20030015295A true KR20030015295A (ko) 2003-02-20
KR100865054B1 KR100865054B1 (ko) 2008-10-23

Family

ID=24432955

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027017759A KR100865054B1 (ko) 2000-06-30 2001-06-08 스위칭 방식 균일성 제어

Country Status (7)

Country Link
US (2) US6632322B1 (ko)
EP (1) EP1295309A2 (ko)
JP (2) JP2004502318A (ko)
KR (1) KR100865054B1 (ko)
CN (2) CN101241829A (ko)
AU (1) AU2001268275A1 (ko)
WO (1) WO2002003415A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170039557A (ko) * 2015-09-28 2017-04-11 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 포인트 소스들의 어레이를 갖는, 작업물을 프로세싱하기 위한 플라즈마 반응기

Families Citing this family (317)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7617474B2 (en) * 1997-09-17 2009-11-10 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US7093229B2 (en) * 1997-09-17 2006-08-15 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
WO2002013225A2 (en) * 2000-08-08 2002-02-14 Tokyo Electron Limited Plasma processing method and apparatus
JP5079949B2 (ja) * 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
US7268157B2 (en) 2002-11-26 2007-09-11 Shenzhen Chipscreen Biosciences, Ltd. Substituted arylalcanoic acid derivatives as PPAR pan agonists with potent antihyperglycemic and antihyperlipidemic activity
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
KR101007822B1 (ko) * 2003-07-14 2011-01-13 주성엔지니어링(주) 혼합형 플라즈마 발생 장치
KR100561848B1 (ko) * 2003-11-04 2006-03-16 삼성전자주식회사 헬리컬 공진기형 플라즈마 처리 장치
US7723236B2 (en) * 2005-01-18 2010-05-25 Tokyo Electron Limited Gas setting method, gas setting apparatus, etching apparatus and substrate processing system
IES20050301A2 (en) 2005-05-11 2006-11-15 Univ Dublin City Plasma source
JP2007220594A (ja) * 2006-02-20 2007-08-30 Nissin Electric Co Ltd プラズマ生成方法及びプラズマ生成装置並びにプラズマ処理装置
US7837827B2 (en) * 2007-06-28 2010-11-23 Lam Research Corporation Edge ring arrangements for substrate processing
JP4788676B2 (ja) * 2007-07-12 2011-10-05 トヨタ自動車株式会社 分析装置用のガス導入装置および方法
JP5192214B2 (ja) 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
US20090114245A1 (en) * 2007-11-02 2009-05-07 Hidehiro Kojiri In-situ chamber cleaning method
KR100963291B1 (ko) * 2008-02-22 2010-06-11 주식회사 유진테크 기판처리장치 및 기판처리방법
US8340827B2 (en) * 2008-06-20 2012-12-25 Lam Research Corporation Methods for controlling time scale of gas delivery into a processing chamber
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
KR101627297B1 (ko) * 2008-10-13 2016-06-03 한국에이에스엠지니텍 주식회사 플라즈마 처리부 및 이를 포함하는 증착 장치 및 증착 방법
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110120375A1 (en) * 2009-11-23 2011-05-26 Jusung Engineering Co., Ltd. Apparatus for processing substrate
US9318341B2 (en) * 2010-12-20 2016-04-19 Applied Materials, Inc. Methods for etching a substrate
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9966236B2 (en) * 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102427038A (zh) * 2011-09-15 2012-04-25 上海华力微电子有限公司 一种先进的自动调整刻蚀均匀性的方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5580908B2 (ja) * 2013-01-31 2014-08-27 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9564285B2 (en) * 2013-07-15 2017-02-07 Lam Research Corporation Hybrid feature etching and bevel etching systems
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
US20150129131A1 (en) * 2013-11-14 2015-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing apparatus and pre-clean system
WO2015095147A1 (en) * 2013-12-17 2015-06-25 Tokyo Electron Limited System and method for controlling plasma density
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP2016082180A (ja) * 2014-10-22 2016-05-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9396956B1 (en) * 2015-01-16 2016-07-19 Asm Ip Holding B.V. Method of plasma-enhanced atomic layer etching
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9741539B2 (en) * 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
CN107369602B (zh) * 2016-05-12 2019-02-19 北京北方华创微电子装备有限公司 反应腔室及半导体加工设备
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR20200038184A (ko) 2018-10-01 2020-04-10 에이에스엠 아이피 홀딩 비.브이. 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7285152B2 (ja) * 2019-07-08 2023-06-01 東京エレクトロン株式会社 プラズマ処理装置
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
TWI846966B (zh) 2019-10-10 2024-07-01 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR102702526B1 (ko) 2020-05-22 2024-09-03 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11658006B2 (en) 2021-01-14 2023-05-23 Applied Materials, Inc. Plasma sources and plasma processing apparatus thereof
US11854770B2 (en) 2021-01-14 2023-12-26 Applied Materials, Inc. Plasma processing with independent temperature control
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI816362B (zh) * 2022-04-14 2023-09-21 相弘科技股份有限公司 多管氣體流量檢測裝置與方法

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3569777A (en) 1969-07-28 1971-03-09 Int Plasma Corp Impedance matching network for plasma-generating apparatus
JPS51144183A (en) * 1975-06-06 1976-12-10 Hitachi Ltd Semiconductor element containing surface protection film
US4207137A (en) 1979-04-13 1980-06-10 Bell Telephone Laboratories, Incorporated Method of controlling a plasma etching process by monitoring the impedance changes of the RF power
JPS59142839A (ja) * 1983-02-01 1984-08-16 Canon Inc 気相法装置のクリ−ニング方法
US4852593A (en) * 1985-06-14 1989-08-01 Magic Spray Inc. Apparatus and method for washing vehicles
US5100484A (en) * 1985-10-15 1992-03-31 General Electric Company Heat treatment for nickel-base superalloys
JPH0615628B2 (ja) * 1986-04-28 1994-03-02 マツダ株式会社 プラズマ処理方法
US5018479A (en) 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
JP2892070B2 (ja) 1989-01-26 1999-05-17 キヤノン株式会社 堆積膜形成装置
US5091049A (en) 1989-06-13 1992-02-25 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5421891A (en) 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5429070A (en) 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5238752A (en) * 1990-05-07 1993-08-24 General Electric Company Thermal barrier coating system with intermetallic overlay bond coat
WO1992005577A1 (fr) * 1990-09-21 1992-04-02 Fujitsu Limited Procede et appareil pour former par croissance des cristaux de composes semi-conducteurs
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5500252A (en) * 1992-09-05 1996-03-19 Rolls-Royce Plc High temperature corrosion resistant composite coatings
JPH06251896A (ja) 1992-12-28 1994-09-09 Hitachi Ltd プラズマ処理方法及び装置
KR100238627B1 (ko) 1993-01-12 2000-01-15 히가시 데쓰로 플라즈마 처리장치
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5450205A (en) 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
US5304398A (en) * 1993-06-03 1994-04-19 Watkins Johnson Company Chemical vapor deposition of silicon dioxide using hexamethyldisilazane
KR100276736B1 (ko) 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
JP3365067B2 (ja) 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5580385A (en) * 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5744049A (en) 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
JP3140934B2 (ja) 1994-08-23 2001-03-05 東京エレクトロン株式会社 プラズマ装置
US5919382A (en) 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
GB9426257D0 (en) * 1994-12-24 1995-03-01 Rolls Royce Plc Thermal barrier coating for a superalloy article and method of application
JPH08288259A (ja) * 1995-04-18 1996-11-01 Sony Corp ヘリコン波プラズマ装置およびこれを用いたドライエッチング方法
US6022446A (en) 1995-08-21 2000-02-08 Shan; Hongching Shallow magnetic fields for generating circulating electrons to enhance plasma processing
US5776269A (en) * 1995-08-24 1998-07-07 Kaiser Aluminum & Chemical Corporation Lead-free 6000 series aluminum alloy
US5983828A (en) 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09115880A (ja) * 1995-10-16 1997-05-02 Hitachi Ltd ドライエッチング装置
US5810932A (en) 1995-11-22 1998-09-22 Nec Corporation Plasma generating apparatus used for fabrication of semiconductor device
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5683548A (en) * 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
JPH09232296A (ja) * 1996-02-23 1997-09-05 Mitsubishi Electric Corp 半導体装置の製造装置および製造方法
JP3360265B2 (ja) * 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5707452A (en) 1996-07-08 1998-01-13 Applied Microwave Plasma Concepts, Inc. Coaxial microwave applicator for an electron cyclotron resonance plasma source
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
TW343360B (en) * 1996-07-31 1998-10-21 Applied Materials Inc Plasma reactor process for high photoresist selectivity and improved polymer adhesion
US6071572A (en) 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US6113731A (en) 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US6189482B1 (en) * 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
JP3343200B2 (ja) 1997-05-20 2002-11-11 東京エレクトロン株式会社 プラズマ処理装置
US6178920B1 (en) 1997-06-05 2001-01-30 Applied Materials, Inc. Plasma reactor with internal inductive antenna capable of generating helicon wave
US5795451A (en) 1997-06-12 1998-08-18 Read-Rite Corporation Sputtering apparatus with a rotating magnet array
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6132552A (en) 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US6007330A (en) * 1998-03-12 1999-12-28 Cosmos Factory, Inc. Liquid precursor delivery system
JPH11297673A (ja) 1998-04-15 1999-10-29 Hitachi Ltd プラズマ処理装置及びクリーニング方法
US6203862B1 (en) * 1998-05-13 2001-03-20 Intevac, Inc. Processing systems with dual ion sources
US6178925B1 (en) * 1999-09-29 2001-01-30 Advanced Technology Materials, Inc. Burst pulse cleaning method and apparatus for liquid delivery system
US20030155079A1 (en) * 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170039557A (ko) * 2015-09-28 2017-04-11 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 포인트 소스들의 어레이를 갖는, 작업물을 프로세싱하기 위한 플라즈마 반응기

Also Published As

Publication number Publication date
CN101241829A (zh) 2008-08-13
JP2004502318A (ja) 2004-01-22
US20040031564A1 (en) 2004-02-19
EP1295309A2 (en) 2003-03-26
JP2012169629A (ja) 2012-09-06
AU2001268275A1 (en) 2002-01-14
JP6140927B2 (ja) 2017-06-07
KR100865054B1 (ko) 2008-10-23
CN1449572A (zh) 2003-10-15
WO2002003415A3 (en) 2002-05-23
CN100372971C (zh) 2008-03-05
WO2002003415A2 (en) 2002-01-10
US6632322B1 (en) 2003-10-14
US7282454B2 (en) 2007-10-16

Similar Documents

Publication Publication Date Title
KR100865054B1 (ko) 스위칭 방식 균일성 제어
EP1230665B1 (en) Plasma processing system with dynamic gas distribution control
KR101094982B1 (ko) 플라즈마 에칭 처리 장치 및 플라즈마 에칭 처리 방법
US7662232B2 (en) Plasma processing apparatus
US8337713B2 (en) Methods for RF pulsing of a narrow gap capacitively coupled reactor
US7998307B2 (en) Electron beam enhanced surface wave plasma source
TWI452627B (zh) Plasma processing apparatus and method
KR100322700B1 (ko) 플라즈마처리장치및그방법
US20040219797A1 (en) Plasma etching method and plasma etching unit
US20070204907A1 (en) Apparatus and method of gas injection sequencing
KR100598631B1 (ko) 임피던스가 감소된 챔버
KR970072182A (ko) 플라즈마 처리방법 및 그 장치
JP2006203210A (ja) 半導体プラズマ処理装置及び方法
KR930006857A (ko) 실리콘 산화막 제조방법 및 장치
KR20190038414A (ko) 온도 제어 방법
US7938081B2 (en) Radial line slot antenna having a conductive layer
JP2001160551A (ja) 滑らかな表面を有するようにポリシリコンをエッチングする方法
KR20050011349A (ko) 바이어스 제어 장치
KR20070116505A (ko) 반도체 기판 처리장치
US10381238B2 (en) Process for performing self-limited etching of organic materials
JP3732287B2 (ja) プラズマ処理装置
WO2008033928A2 (en) Electron beam enhanced surface wave plasma source
KR101213391B1 (ko) 기판처리장치
KR20230096752A (ko) 기판 처리 장치, 기판 처리 방법 및 플라즈마 발생 방법
CN114496705A (zh) 基板处理装置以及基板处理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121008

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20131008

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20141007

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20151006

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20161011

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20171012

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20181010

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20191008

Year of fee payment: 12