KR930006857A - 실리콘 산화막 제조방법 및 장치 - Google Patents

실리콘 산화막 제조방법 및 장치 Download PDF

Info

Publication number
KR930006857A
KR930006857A KR1019920015864A KR920015864A KR930006857A KR 930006857 A KR930006857 A KR 930006857A KR 1019920015864 A KR1019920015864 A KR 1019920015864A KR 920015864 A KR920015864 A KR 920015864A KR 930006857 A KR930006857 A KR 930006857A
Authority
KR
South Korea
Prior art keywords
gas
plasma
substrate
silicon oxide
oxide film
Prior art date
Application number
KR1019920015864A
Other languages
English (en)
Other versions
KR0120920B1 (ko
Inventor
아끼오 시미즈
나오또 쓰지
Original Assignee
원본미기재
후지 덴끼 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 원본미기재, 후지 덴끼 가부시끼가이샤 filed Critical 원본미기재
Publication of KR930006857A publication Critical patent/KR930006857A/ko
Application granted granted Critical
Publication of KR0120920B1 publication Critical patent/KR0120920B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32678Electron cyclotron resonance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32688Multi-cusp fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

ECR 플라즈마 CVD장치는 반도체 기판상에 실리콘 산화막을 형성하기 위해 사용된다. 장치내부의 가스 압력은 7×10-3내지 1×10-1Torr의 범위내에서 설정되고 고주파 전력이 기판에 인가된다.
첨두형 자계가 발생되며, 고주파 전계와 첨두형 자계 사이의 공동작용 효과에 의해 막이 개선된 방수성을 갖는다. 장치 내부의 가스 압력은 배기관에 연결된 바이패스 도관의 가스 통로 단면적을 제어하고, 그 중간에 배기관으로 다른 가스를 도입하고, 진공 펌프의 회전수를 제어함으로써 제어된다. 양쪽에 기판으로부터 10cm이하의 거리에 위치된 첨두면을 가진 첨두형 자계가 발생될 수 있도록 서브 솔레노이드는 솔레노이드는 기판의 한 측상의 그 단부가 기판으로부터 10cm이상의 거리에 위치되도록 구성된다.

Description

실리콘 산화막 제조방법 및 장치
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제6도는 본 발명에 따른 막 형성시 플라즈마 밀도(전자밀도)와 전자 온도에 대한 마이크로웨이브 전력과 고주파 전력의 기여도를 분리하여 도시하는 그래프,
제7도는 본 발명의 한 실시예에 따른 실리콘 산화막 제조 방법에 의해 형성된 8인치 기판상에 형성된 막의 성장 속도와 막 두께 분포의 각각의 가스 압력에 대한 의존도를 도시하는 그래프,
제8도는 본 발명의 한 실시예에 따른 실리콘 산화막 제조방법에 의해 형성된 8인치 기판상에 형성된 막의 성장 속도의 면분포의 가스 압력에 대한 의존도를 도시하는 그래프,
제9도는 본 발명의 방법에 따른 막 형성시 발생되는 플라즈마의 플라즈마 발광강도의 첨두면(cusp piane)의 위치에 대한 의존도를 기판에 인가되는 RF전력을 파라미터로 이용하여 도시하는 그래프,
제10도는 본 발명의 방법에 따른 막 형성시 전자밀도와 전자 온도의 첨두면의 위치에 대한 의존도를 기판에 인가하는 RF 전력을 파라미터로 이용하여 도시하는 그래프,
제11도는 본 발명의 막 형성시 방수성의 첨두면의 위치에 대한 의존도를 기판에 인가되는 RF전력을 파라미터로 이용하여 도시하는 그래프,
제12도는 기판상에 형성된 실리콘 산화막의 방수성을 검사하는 방법을 예시하는 개략도,
제13도는 본 발명의 방법에 따라 형성된 막의 방수성의 전자 밀도에 대한 의존도를 기판에 인가되는 RF전력을 파라미터로 이용하여 도시하는 그래프,
제14A도는 첨두면이 기판 표면위에 위치하는 경우와 기판의 후방의 무한 거리에 위치하는 경우 사이의 본 발명의 방법의 한 실시예에 따른 막 형성시 첨두면의 위치와 막 형성 온도에 의존하는 방수성에서의 차를 간접적으로 나타내는 적외선 흡수 스펙트럼을 도시하는 도면,
제14B도는 막 형성 온도가 300℃인 경우와 100℃인 경우 사이의 기판의 후방 무한 거리에 첨두면이 위치하여 막 형성이 수행되는 본 발명의 방법의 한 실시예에 따른 막 형성시 첨두면의 위치와 막 형성 온도에 의존하는 방수성에서의 차를 간접적으로 나타내는 적외선 흡수 스펙트럼을 도시하는 도면,
제15도는 본 발명의 한 실시예에 따른 방법에 의한 막 형성이 단차부에서 수행될때의 상태를 도시하는 개략도,
제16도는 가스 유량비 O2SiH4를 약 1로 하여 막 형성시 수행되는 본 발명의 한 실시예에 따른 방법에 의해 형성되는 막 방수성을 간접적으로 나타내는 적외선 흡수 스펙트럼을 도시하는 도면,
제17A도는 막 형성 온도를 약 260℃에서 설정하고 O2가스의 흐름 속도는 변화시키면서 SiH4가스의 흐름속도를 23SCCM에서 고정시켰을때의 O2가스의 유량에 대한 어닐링 전후 각각의 응력에서의 변화를 나타내는 O2가스 유량에 대한 막의 품질의 의존도를 도시하는 그래프,
제18도는 본 발명의 방법에 의한 실리콘 산화막 형성시 막형성 온도 특성을 도시하는 그래프,
제19도는 본 발명의 방법에 의한 막 형성시 전자 밀도와 전자 온도의 기판 표면에서의 분포를 가스 압력을 파라미터로 이용하여 도시하는 그래프,
제20A도는 본 발명의 방법에 의한 막 형성시 반응 가스의 분포가 주변 방향으로 균일할때의 막 두께 분포를 나타내는 플라즈마 반응실내의 반응 가스의 분포에서의 균일성 사이의 관계를 도시하는 등고선도,
제20B도는 본 발명의 방법에 의한 막 형성시 반응가스의 분포가 주변 방향으로 약 1/10만큼 균일하지 않을때의 막 두께 분포를 나타내는 플라즈마 반응실내의 반응 가스의 분포에서의 균일성 사이의 관계를 도시하는 등고선도,
제21A도는 막 형성후 및 어닐링후 각각의 본 발명의 방법에 의해 형성된 막의 응력의 첨두면의 위치에 대한 의존도를 도시하는 그래프,
제21B도는 본 발명의 방법에 의해 형성된 막의 굴절율과 에칭 비율 각각의 첨두면의 위치에 대한 의존도를 도시하는 그래프,
제21C도는 본 발명의 방법에 의해 형성된 막의 성장 속도와 막 두께 분포 각각의 첨두면의 이치에 대한 위존도를 도시하는 그래프.

Claims (25)

  1. 기판을 지지하는 플라즈마 반응실과 연통해 있는 플라즈마 생성실과 상기 플라즈마 생성실 주위에 동축 관계로 배치되어 있는 여자 솔레노이드를 갖고 있는 ECR플라즈마 CVD장치에서 반도체 기판상에 실리콘 산화막을 제조하는 방법에 있어서, 플라즈마 원료 가스를 상기 플라즈마 생성실에 공급하고, 마이크로웨이브 방사선을 상기 플라즈마 생성실로 도입하고, 상기 플라즈마 생성실내의 전자 사이클로트론 공명 조건에 따라서 자계를 발생하도록 전자 사이클로트론 공명 조건에 따라서 자계를 발생하도록 상기 여자 솔레노이드를 통해 흐르는 전류를 제어함으로써 가스 플라즈마를 발생하는 단계와, 상기 여자 솔레노이드에 의해 발생되는 자력선을 따라 상기 플라즈마 생성실로 부터의 상기 플라즈마를 상기 플라즈마 반응실로 추출하는 단계와, 상기 플라즈마 반응실에 반응 가스를 공급하는 단계와, 상기 플라즈마를 상기 플라즈마 반응실에 배치된 상기 반도체 기판의 표면상으로 향하게 하는 단계와, 7×10-3내지 1×10-1Torr의 범위내에서 상기 플라즈마 반응실내의 가스 압력을 제어하는 단계, 및 상기 반도체 기판에 고주파 전력을 인가하는 단계를 포함하는 것을 특징으로 하는 실리콘 산화막 제조방법.
  2. 제1항에 있어서, 상기 장치는 반도체 기판 부근에 제2여자 솔레노이드를 더 포함하고 있으며, 상기 방법은 상기 여자 솔레노이드에 의해 발생되는 자계를 한 성분으로서 포함하고 있는 첨두형 자계를 상기 반도체 기판 부근에서 형성하는 단계를 더 포함하는 것을 특징으로 하는 실리콘 산화막 제조방법.
  3. 제2항에 있어서, 상기 첨두형 자계는, 실리콘 산화막이 형성될 상기 반도체 기판 표면으로부터 최대로 10cm의 양 방향으로의 거리에서 첨두면을 갖는 것을 특징을 하는 실리콘 산화막 제조방법.
  4. 제3항에 있어서, 상기 플라즈마 원료 가스로서의 O2가스와 상기 가스로서의 SiH4가 150℃ 내지 300℃의 범위내의 기판 온도에서 1.5이상의 유량비 O2/SiH4로 각각 상기 플라즈마 생성실과 상기 플라즈마 반응실에 공급 되는 것을 특징으로 하는 실리콘 산화막 제조방법.
  5. 제1항에 있어서, 상기 플라즈마 원료 가스로서의 O2가스와, 상기 반응 가스로서의 SiH4가스가 1±0.2의 유량비 O2/SiH4로 각각 상기 플라즈마 생성실과 상기 플라즈마 반응실에 공급 되는 것을 특징으로 하는 실리콘 산화막 제조방법.
  6. 제2항에 있어서, 상기 플라즈마 원료 가스로서의 O2가스와, 상기 반응 가스로서의 SiH4가스가 1±0.2의 유량비 O2/SiH4로 각각 상기 플라즈마 생성실과 상기 플라즈마 반응실에 공급 되는 것을 특징으로 하는 실리콘 산화막 제조방법.
  7. 제2항에 있어서, 상기 플라즈마 원료 가스로서의 O2가스와, 상기 반응 가스로서의 SiH4가스가, 150℃ 내지 300℃의 범위내의 기판 온도에서 1.5이상의 유량비 O2/SiH4로 각각 상기 플라즈마 생성실과 상기 플라즈마 반응실에 공급 되는 것을 특징으로 하는 실리콘 산화막 제조방법.
  8. 실리콘 산화막 제조장치에 있어서, 마이크로웨이브 발생수단과, 상기 마이크로웨이브 발생수단에 의해 발생된 마이크로웨이브를 전송하기 위한 마이크로웨이브 전송수단과, 하나의 벽을 갖고 있으며, 상기 마이크로웨이브 전송수단과, 연통되어 상기 마이크로웨이브 전송수단과 마주하는 관계로 단부에 경계가 정해진 개구와 가스 공급 수단을 갖고 있는 플라즈마 생성실과, 상기 플라즈마 생성실 주위에 동축 관계로 배치되어 상기 마이크로웨이브와의 공명 효과에 의해 상기 플라즈마 생성실로 도입되는 가스의 플라즈마를 생성하기 위한 자계를 한정해 주기위한 제1여자 솔레노이드과, 상기 개구를 통해 플라즈마 생성실과 연통해 있고, 하나의 측벽과, 기판이 장착되는 기판 지지표면을 가진 기판 홀더와, 상기 플라즈마 반응실에 반응 가스를 공급하기 위한 반응 가스 공급수단과, 플라즈마 반응실과 연통해 있고 진동 펌프를 갖고 있으며 플라즈마 반응실내의 가스를 배기하기 위한 가스 배기수단을 포함하고 있으며, 상기 플라즈마로, 함께 배치된 기판의 표면상에 실리콘 산화막을 형성하기 위한 플라즈마 반응실과, 상기 기판 홀더에 제공되어 상기 기판 홀더의 기판지지 표면상에 기판을 흡착시키기 위한 정전척과, 기판에 대해 상기 제1여자 솔레노이드와 마주하는 관계로 배치되어 상기 기판의 상기 표면 부근에서 상기 제1여자 솔레노이드에 의해 발생되는 자계의 극성과 반대의 극성을 가진 첨두형 자계를 발생하기 위한 제2여자 솔레노이드와, 고주파 전력을 인가하기 위한 전원을 구비하고 것을 특징으로 하는 실리콘 산화막 제조장치.
  9. 제8항에 있어서, 상기 제2여자 솔레노이드는 실리콘 산화막이 형성될 상기 기판의 표면에 마주하고 있는 단부를 갖고 있으며, 상기 단부는 실리콘 산화막이 형성될 상기 기판의 표면으로부터 10cm영역에 첨두면을 갖게 되는 것을 특징으로 하는 실리콘 산화막 제조장치.
  10. 제8항에 있어서, 상기 가스 배기 수단은 상기 플라즈마 반응실과 연통해 있고 개폐용 밸브를 갖고 있으며 상기 플라즈마 반응실내의 가스를 배기하기 위한 가스 배기관과, 상기 장치 내부의 가스 압력이 7×10-3내지 1×10-1Torr의 범위내에서 제어될수 있도록 상기 밸브에 병렬로 상기 배기관에 접속된 가변 가스 통로 영역을 가진 가변 구멍을 포함하고 있는 것을 특징으로 하는 실리콘 산화막 제조장치.
  11. 제8항에 있어서, 상기 배기관은, 상기 장치내의 가스 압력이 7×10-3내지 1×10-1Torr의 범위내에서 제어될수 있도록 상기 플라즈마 생성실에 도입되는 가스와 동일한 가스와 N가스 또는 불활성 가스를 상기 배기관으로 도입하기 위한 가스 도입구를 그 중간에 갖추고 있는 것을 특징으로 하는 실리콘 산화막 제조장치.
  12. 제8항에 있어서, 상기 진공 펌프에 접속되어, 상기 장치내의 가스 압력이 7×10-3내지 1×10-1Torr의 범위내에서 제어될수 있도록 상기 진공 펌프의 배기 능력을 변화시키기 위해 상기 진공 펌프의 회전수를 제어하기 위한 제어수단을 더 구비하는 것을 특징으로 하는 실리콘 산화막 제조장치.
  13. 제8항에 있어서, 상기 가스 공급수단내에 또는 상기 플라즈마 생성실의 측벽에 Ar가스를 공급하기 위한 가스 도입구를 더 구비하는 것을 특징으로 하는 실리콘 산화막 제조장치.
  14. 제8항에 있어서, 상기 반응 가스 공급수단은 상기 가스 공급수단에 포스핀과 다이보레인을 각각 공급하기 위한 가스 도입구를 갖고 있는 것을 특징으로 하는 실리콘 산화막 제조장치.
  15. 제8항에 있어서, 실리콘 산화막 형성시 150℃ 내지 300℃의 범위내의 온도에서 상기 기판을 가열시키기 위한 가열 수단을 더 구비하는 것을 특징으로 하는 실리콘 산화막 제조장치.
  16. 제8항에 있어서, 상기 반응 가스 공급수단은, 상기 플라즈마 반응실의 상기 측벽에서 상기 반응 가스가 상기 플라즈마 반응실로 방출되도록 하는 가스 방출구를 갖고 있으며, 상기 가스 방출구는 상기 기판으로부터 적어도 5cm의 최단 거리에 배치되어 있으며, 상기 각각의 가스 방출구를 통해 흐르는 가스의 방출 방향 및 방출유량은 상기 기판의 중심부를 향해 흐르는 가스의 유량의 주변 방향으로서 분포의 비균일성이 총 가스 유량의 10% 내에 있을수 있도록 조정 되는 것을 특징으로 하는 실리콘 산화막 제조장치.
  17. 실리콘 산화막 제조장치에 있어서, 마이크로웨이브 발생수단과, 상기 마이크로웨이브 발생수단에 의해 발생되는 마이크로웨이브를 전송하기 위한 마이크로웨이브 전송수단과, 하나의 벽을 갖고 있으며, 상기 마이크로웨이브 전송수단과 연통해 있고, 상기 마이크로웨이브 전송 수단과 마주하는 관계로 상기 단부에서 경계가 정해진 개구와 가스 공급 수단을 갖고 있는 플라즈마 생성실과, 상기 플라즈마 생성실 주위에 동축 관계로 배치되어, 상기 마이크로웨이브와의 공명 효과에 의해 상기 플라즈마 생성실로 도입되는 가스의 플라즈마를 생성하기 위한 자계를 한정해 주기 위한 제1여자 솔레노이드와, 상기 개구를 통해 상기 플라즈마 생성실과 연통해 있고, 하나의 측벽과, 기판이 장착되는 기판 지지표면을 가진 기판 홀더와, 플라즈마 반응실에 반응 가스를 공급하기 위한 반응 가스 공급수단과, 플라즈마 반응실에 연통해 있고 진동 펌프를 가지고 있으며 상기 플라즈마 반응실내의 가스를 배기하기 위한 가스 배기수단을 포함하고 있으며, 상기 플라즈마로, 함께 배치된 기판의 표면상에 실리콘 산화막을 형성하기 위한 플라즈마 반응실과, 기판에 대해 상기 제1여자 솔레노이드와 마주하는 관계로 배치되어, 상기 기판의 상기 기판 부근에서 상기 제1여자 솔레노이드에 의해 발생되는 자계의 극성과 반대의 극성을 가진 첨두형 자계를 발생하기 위한 제2여자 솔레노이드와, 고주파 전력을 인가하기 위한 전원 및 상기 플라즈마 반응실과 연관되어, 상기 플라즈마 반응실내의 가스 압력을 제어하기 위한 압력 제어수단을 구비하고 것을 특징으로 하는 실리콘 산화막 제조장치.
  18. 제17항에 있어서, 상기 가스 배기 수단은, 상기 플라즈마 반응실과 연통해 있고 상기 플라즈마 반응실내의 가스를 배기하기 위한 가스 배기관을 포함하고 있고, 상기 입력 제어수단은, 상기 가스 배기관에 배치되어 상기 배기관을 개폐하기 위한 밸브와, 상기 장치 내부의 가스 압력이 7×10-3내지 1×10-1Torr의 범위내에서 제어될수 있도록 상기 밸브와 병렬로 상기 배기관에 접속된 가변 가스 통로 영역을 가진 가변 구멍을 포함하고 있는 것을 특징으로 하는 실리콘 산화막 제조장치.
  19. 제17항에 있어서, 상기 압력 제어수단은, 상기 배기관의 중간에 제공되어 상기 장치내부의 가스 압력이 7×10-3내지 1×10-1Torr의 범위내에서 제어될수 있도록 상기 플라즈마 생성실로 도입되는 것과 도일한 가스와, N2가스 또는 불활성 가스를 상기 배기관으로 도입하기 위한 가스 도입구를 포함하고 있는 것을 특징으로 하는 실리콘 산화막 제조방법.
  20. 제17항에 있어서, 상기 진공 펌프에 접속되어, 상기 장치 내부의 가스 압력이 7×10-3내지 1×10-1Torr의 범위내에서 제어될수 있도록 상기 진공 펌프의 배기 능력을 변화시키기 위한 상기 진공 펌프의 회전수를 제어하기 위한 압력 제어수단을 더 구비하는 것을 특징으로 하는 실리콘 산화막 제조장치.
  21. 제17항에 있어서, 상기 가스 공급수단내에 또는 상기 플라즈마 생성실의 측벽에서 Ar가스를 공급하기 위한 가스 도입구를 더 포함하고 있는 것을 특징으로 하는 실리콘 산화막 제조장치.
  22. 제17항에 있어서, 상기 반응 가스 공급수단은, 상기 반응 가스 공급수단에 포스핀과 다이보레인을 각각 공급하기 위한 가스 도입구를 갖고 있는 것을 특징으로 하는 실리콘 산화막 제조장치.
  23. 제17항에 있어서, 실리콘 산화막 형성시 150℃ 내지 300℃의 범위내의 온도에서 상기 기판을 가열시키기 위한 가열 수단을 더 포함하고 있는 것을 특징으로 하는 실리콘 산화막 제조장치.
  24. 제17항에 있어서, 상기 반응 가스 공급수단은, 상기 플라즈마 반응실의 측벽에, 상기 반응 가스가 상기 플라즈마 반응실로 방출되도록 하는 가스 방출구를 갖고 있으며, 상기 가스 방출구는 상기 기판으로부터 적어도 5cm의 최단 거리에 배치되어 있으며, 각각의 가스 방출구를 통해 흐르는 가스의 방출 방향 및 방출유량은 상기 기판의 중심부를 향해 흐르는 가스의 유량의 주변 방향으로의 분포에서의 비균일성이 총 가스 유량의 10% 내에 있을수 있도록 조정 되는 것을 특징으로 하는 실리콘 산화막 제조장치.
  25. 제17항에 있어서, 상기 제2솔레노이드는 실리콘 산화막이 형성되는 상기 기판의 표면에 마주하는 단부를 갖고 있으며, 상기 단부는 막이 형성될 상기 기판 표면으로부터 10cm이상의 거리에 배치되어 있고, 그래서 상기 첨두형 자게계 그 양쪽에 상기 기판의 상기 표면으로부터 10cm영역에 첨두면을 갖게 되는 것을 특징으로 하는 실리콘 산화막 제조장치.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019920015864A 1991-09-02 1992-09-01 실리콘 산화막 제조방법 및 장치 KR0120920B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP5249/1992 1991-01-16
JP220810/1991 1991-09-02
JP22081091 1991-09-02
JP4005249A JP3042127B2 (ja) 1991-09-02 1992-01-16 酸化シリコン膜の製造方法および製造装置

Publications (2)

Publication Number Publication Date
KR930006857A true KR930006857A (ko) 1993-04-22
KR0120920B1 KR0120920B1 (ko) 1997-10-20

Family

ID=26339163

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019920015864A KR0120920B1 (ko) 1991-09-02 1992-09-01 실리콘 산화막 제조방법 및 장치

Country Status (5)

Country Link
US (1) US5626679A (ko)
JP (1) JP3042127B2 (ko)
KR (1) KR0120920B1 (ko)
DE (1) DE4229161A1 (ko)
GB (1) GB2260339B (ko)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR910003742B1 (ko) * 1986-09-09 1991-06-10 세미콘덕터 에너지 라보라터리 캄파니 리미티드 Cvd장치
JP2581255B2 (ja) * 1990-04-02 1997-02-12 富士電機株式会社 プラズマ処理方法
FR2712309B1 (fr) * 1993-11-10 1995-12-22 Yannick Chouan Procédé de dépôt de couches minces de silice à basse température et machine de dépôt pour la mise en Óoeuvre de ce procédé.
EP0665307A3 (en) * 1994-01-27 1997-04-09 Canon Sales Co Inc CVD apparatus for film production and manufacturing process.
JP2720420B2 (ja) * 1994-04-06 1998-03-04 キヤノン販売株式会社 成膜/エッチング装置
JP3107275B2 (ja) * 1994-08-22 2000-11-06 東京エレクトロン株式会社 半導体製造装置及び半導体製造装置のクリーニング方法
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
JPH0987851A (ja) * 1995-09-21 1997-03-31 Canon Inc マイクロ波プラズマ処理装置及び処理方法
US5670218A (en) * 1995-10-04 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method for forming ferroelectric thin film and apparatus therefor
US5789040A (en) * 1997-05-21 1998-08-04 Optical Coating Laboratory, Inc. Methods and apparatus for simultaneous multi-sided coating of optical thin film designs using dual-frequency plasma-enhanced chemical vapor deposition
WO1997044141A1 (en) * 1996-05-22 1997-11-27 Optical Coating Laboratory, Inc. Method and apparatus for dual-frequency plasma-enhanced cvd
US6248206B1 (en) * 1996-10-01 2001-06-19 Applied Materials Inc. Apparatus for sidewall profile control during an etch process
JP3085231B2 (ja) * 1997-02-20 2000-09-04 日本電気株式会社 半導体装置の製造方法
US6127285A (en) * 1997-02-28 2000-10-03 Dallas Instruments Incorporated Interlevel dielectrics with reduced dielectric constant
US6026762A (en) * 1997-04-23 2000-02-22 Applied Materials, Inc. Apparatus for improved remote microwave plasma source for use with substrate processing systems
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6274058B1 (en) 1997-07-11 2001-08-14 Applied Materials, Inc. Remote plasma cleaning method for processing chambers
JP3384714B2 (ja) * 1997-07-16 2003-03-10 富士通株式会社 半導体装置およびその製造方法
US6599560B1 (en) * 1997-10-30 2003-07-29 Fsi International, Inc. Liquid coating device with barometric pressure compensation
JP3189780B2 (ja) * 1998-03-24 2001-07-16 日本電気株式会社 半導体装置の製造装置及びその製造方法
KR100268453B1 (ko) * 1998-03-30 2000-11-01 윤종용 반도체 장치 및 그것의 제조 방법
JP3236576B2 (ja) 1999-03-24 2001-12-10 キヤノン販売株式会社 層間絶縁膜の形成方法、化学的気相成長装置、及び半導体装置
EP1139403A1 (en) * 2000-03-27 2001-10-04 Infineon Technologies AG Method for depositing a doped silicon oxide
EP1297397A2 (en) * 2000-06-14 2003-04-02 Applied Materials, Inc. Methods and apparatus for maintaining a pressure within an environmentally controlled chamber
WO2003023844A1 (fr) 2001-09-10 2003-03-20 Matsushita Electric Industrial Co., Ltd. Procede d'evaluation de film, procede de mesure de temperature et procede de fabrication de dispositif a semi-conducteur
US6739840B2 (en) * 2002-05-22 2004-05-25 Applied Materials Inc Speed control of variable speed pump
KR100481181B1 (ko) * 2002-11-08 2005-04-07 삼성전자주식회사 반도체소자의 다공성 물질막을 형성하는 방법
JP4865253B2 (ja) * 2005-05-13 2012-02-01 株式会社日立ハイテクノロジーズ プラズマ処理装置
WO2007111098A1 (ja) 2006-03-24 2007-10-04 Konica Minolta Medical & Graphic, Inc. 透明バリア性シート及びその製造方法
JPWO2007111074A1 (ja) 2006-03-24 2009-08-06 コニカミノルタエムジー株式会社 透明バリア性シート及び透明バリア性シートの製造方法
JPWO2007111075A1 (ja) 2006-03-24 2009-08-06 コニカミノルタエムジー株式会社 透明バリア性シート及び透明バリア性シートの製造方法
JPWO2007111092A1 (ja) 2006-03-24 2009-08-06 コニカミノルタエムジー株式会社 透明バリア性シートおよび透明バリア性シートの製造方法
WO2007111076A1 (ja) 2006-03-24 2007-10-04 Konica Minolta Medical & Graphic, Inc. 透明バリア性シートおよび透明バリア性シートの製造方法
US20100114103A1 (en) * 2008-11-06 2010-05-06 The Regents Of The University Of California Apparatus and methods for alteration of anatomical features
WO2013094200A1 (ja) * 2011-12-22 2013-06-27 キヤノンアネルバ株式会社 基板処理装置
TWI522490B (zh) * 2012-05-10 2016-02-21 應用材料股份有限公司 利用微波電漿化學氣相沈積在基板上沈積膜的方法
US10727075B2 (en) * 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4115184A (en) * 1975-12-29 1978-09-19 Northern Telecom Limited Method of plasma etching
CA1159012A (en) * 1980-05-02 1983-12-20 Seitaro Matsuo Plasma deposition apparatus
JPS5751265A (en) * 1980-09-10 1982-03-26 Hitachi Ltd Microwave plasma etching device
US4384918A (en) * 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
DE3272669D1 (en) * 1982-03-18 1986-09-25 Ibm Deutschland Plasma-reactor and its use in etching and coating substrates
JPH0635323B2 (ja) * 1982-06-25 1994-05-11 株式会社日立製作所 表面処理方法
DE3378508D1 (en) * 1982-09-10 1988-12-22 Nippon Telegraph & Telephone Plasma deposition method and apparatus
GB2154365A (en) * 1984-02-10 1985-09-04 Philips Electronic Associated Loading semiconductor wafers on an electrostatic chuck
JPS60163433A (ja) * 1984-02-03 1985-08-26 Toshiba Corp プラズマ処理装置
ATE49023T1 (de) * 1984-03-03 1990-01-15 Stc Plc Pulsierendes plasmaverfahren.
JPH0697660B2 (ja) * 1985-03-23 1994-11-30 日本電信電話株式会社 薄膜形成方法
GB2172822B (en) * 1985-03-25 1988-09-01 Furniture Ind Res Ass Vacuum chucks
JPH0658909B2 (ja) * 1985-07-15 1994-08-03 株式会社日立製作所 低温プラズマによる成膜方法及び装置
JPS6289873A (ja) * 1985-10-14 1987-04-24 Semiconductor Energy Lab Co Ltd 透明導電膜形成方法
US4728869A (en) * 1985-12-18 1988-03-01 Anicon, Inc. Pulsewidth modulated pressure control system for chemical vapor deposition apparatus
US4760008A (en) * 1986-01-24 1988-07-26 Semiconductor Energy Laboratory Co., Ltd. Electrophotographic photosensitive members and methods for manufacturing the same using microwave radiation in magnetic field
JPH0740566B2 (ja) * 1986-02-04 1995-05-01 株式会社日立製作所 プラズマ処理方法及びその装置
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
EP0260718B1 (en) * 1986-09-19 1992-08-05 Fujitsu Limited An x-ray-transparent membrane and its production method
GB8622820D0 (en) * 1986-09-23 1986-10-29 Nordiko Ltd Electrode assembly & apparatus
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US4776918A (en) * 1986-10-20 1988-10-11 Hitachi, Ltd. Plasma processing apparatus
EP0273741B1 (en) * 1986-12-29 1991-10-23 Sumitomo Metal Industries, Ltd. Plasma apparatus
KR960015609B1 (ko) * 1987-01-19 1996-11-18 미쓰다 가쓰시게 플라즈마 처리장치 및 방법
JPS63195266A (ja) * 1987-02-10 1988-08-12 Semiconductor Energy Lab Co Ltd 炭素膜がコーティングされた時計
US5053244A (en) * 1987-02-21 1991-10-01 Leybold Aktiengesellschaft Process for depositing silicon oxide on a substrate
KR900008505B1 (ko) * 1987-02-24 1990-11-24 세미콘덕터 에너지 라보라터리 캄파니 리미티드 탄소 석출을 위한 마이크로파 강화 cvd 방법
JPS6456874A (en) * 1987-03-27 1989-03-03 Canon Kk Microwave plasma cvd device
JPH01127679A (ja) * 1987-03-27 1989-05-19 Canon Inc 堆積膜形成方法
JPS63244619A (ja) * 1987-03-30 1988-10-12 Sumitomo Metal Ind Ltd プラズマ装置
DE3719616A1 (de) * 1987-06-12 1988-12-29 Leybold Ag Verfahren und vorrichtung zur beschichtung eines substrats
US4838990A (en) * 1987-07-16 1989-06-13 Texas Instruments Incorporated Method for plasma etching tungsten
US4935303A (en) * 1987-10-15 1990-06-19 Canon Kabushiki Kaisha Novel diamond-like carbon film and process for the production thereof
US5024716A (en) * 1988-01-20 1991-06-18 Canon Kabushiki Kaisha Plasma processing apparatus for etching, ashing and film-formation
JPH0668152B2 (ja) * 1988-01-27 1994-08-31 株式会社半導体エネルギー研究所 薄膜形成装置
JP2689126B2 (ja) * 1988-03-01 1997-12-10 アネルバ株式会社 マイクロ波プラズマ処理装置
US4836233A (en) * 1988-06-06 1989-06-06 Eclipse Ion Technology, Inc. Method and apparatus for venting vacuum processing equipment
JP2743386B2 (ja) * 1988-06-14 1998-04-22 住友金属工業株式会社 薄膜形成方法
JPH0250429A (ja) * 1988-08-12 1990-02-20 Matsushita Electric Ind Co Ltd プラズマ処理装置
US4996077A (en) * 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
JP2913657B2 (ja) * 1989-03-25 1999-06-28 ソニー株式会社 成膜方法、エッチング方法及びプラズマ装置
JP2705222B2 (ja) * 1989-06-13 1998-01-28 富士電機株式会社 Ecrプラズマcvd装置
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
JPH0336723A (ja) * 1989-07-04 1991-02-18 Fujitsu Ltd 半導体装置の製造方法及び電子サイクロトロン共鳴エッチング装置
US4971651A (en) * 1990-02-05 1990-11-20 Hitachi, Ltd. Microwave plasma processing method and apparatus
JPH03263324A (ja) * 1990-02-16 1991-11-22 Fuji Electric Co Ltd 窒化シリコン膜の製造方法
JP2546405B2 (ja) * 1990-03-12 1996-10-23 富士電機株式会社 プラズマ処理装置ならびにその運転方法
JP2581255B2 (ja) * 1990-04-02 1997-02-12 富士電機株式会社 プラズマ処理方法
US5045346A (en) * 1990-07-31 1991-09-03 Gte Laboratories Incorporated Method of depositing fluorinated silicon nitride
JP2859721B2 (ja) * 1990-08-07 1999-02-24 キヤノン株式会社 プラズマ処理装置
JP2598336B2 (ja) * 1990-09-21 1997-04-09 株式会社日立製作所 プラズマ処理装置
JP3020580B2 (ja) * 1990-09-28 2000-03-15 株式会社日立製作所 マイクロ波プラズマ処理装置
US5200232A (en) * 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5234526A (en) * 1991-05-24 1993-08-10 Lam Research Corporation Window for microwave plasma processing device

Also Published As

Publication number Publication date
JPH05117867A (ja) 1993-05-14
GB2260339A (en) 1993-04-14
GB2260339B (en) 1995-04-26
GB9218399D0 (en) 1992-10-14
KR0120920B1 (ko) 1997-10-20
JP3042127B2 (ja) 2000-05-15
DE4229161A1 (de) 1993-03-04
US5626679A (en) 1997-05-06

Similar Documents

Publication Publication Date Title
KR930006857A (ko) 실리콘 산화막 제조방법 및 장치
US6497783B1 (en) Plasma processing apparatus provided with microwave applicator having annular waveguide and processing method
KR100445018B1 (ko) 고종횡비 실리콘 반도체 디바이스 콘텍트들을 금속화하는 방법 및 장치
EP0478174B1 (en) Silicon dioxide deposition method
JP2925535B2 (ja) 環状導波路を有するマイクロ波供給器及びそれを備えたプラズマ処理装置及び処理方法
JP3377773B2 (ja) 放電電極への給電方法、高周波プラズマ発生方法および半導体製造方法
KR20030015295A (ko) 스위칭 방식 균일성 제어
KR20010086231A (ko) Rf 전력 공급형 플라즈마 강화 화학 증기 증착 반응기및 플라즈마 강화 화학 증기 증착을 달성하는 방법
US4545328A (en) Plasma vapor deposition film forming apparatus
JPH0773997A (ja) プラズマcvd装置と該装置を用いたcvd処理方法及び該装置内の洗浄方法
KR20070033930A (ko) 처리 장치
JPH0864540A (ja) 薄膜形成方法及び装置
JPH09129555A (ja) プラズマ化学蒸着装置
KR100518615B1 (ko) 시료의 표면처리방법 및 에칭방법
JP3286951B2 (ja) プラズマcvd成膜方法と装置
JPH05315268A (ja) プラズマcvd装置
JPH06196410A (ja) プラズマ処理装置
JP3530788B2 (ja) マイクロ波供給器及びプラズマ処理装置並びに処理方法
JP2000031121A (ja) プラズマ放出装置及びプラズマ処理装置
US6730369B1 (en) Device and method for plasma processing
JP3732287B2 (ja) プラズマ処理装置
JP2001345312A (ja) プラズマ処理装置及びプラズマ処理方法並びに構造体の製造方法
KR100425658B1 (ko) 마이크로파 공급기, 이를 구비한 플라즈마 처리 장치, 및 플라즈마 처리 방법
JP2848755B2 (ja) プラズマcvd装置
JPH10233295A (ja) マイクロ波導入装置及び表面処理方法

Legal Events

Date Code Title Description
A201 Request for examination
G160 Decision to publish patent application
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20020808

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee