KR20010086231A - Rf 전력 공급형 플라즈마 강화 화학 증기 증착 반응기및 플라즈마 강화 화학 증기 증착을 달성하는 방법 - Google Patents

Rf 전력 공급형 플라즈마 강화 화학 증기 증착 반응기및 플라즈마 강화 화학 증기 증착을 달성하는 방법 Download PDF

Info

Publication number
KR20010086231A
KR20010086231A KR1020007008679A KR20007008679A KR20010086231A KR 20010086231 A KR20010086231 A KR 20010086231A KR 1020007008679 A KR1020007008679 A KR 1020007008679A KR 20007008679 A KR20007008679 A KR 20007008679A KR 20010086231 A KR20010086231 A KR 20010086231A
Authority
KR
South Korea
Prior art keywords
electrode
power
chamber
vapor deposition
chemical vapor
Prior art date
Application number
KR1020007008679A
Other languages
English (en)
Other versions
KR100388530B1 (ko
Inventor
수히트 사란
거테히에스. 산두
폴 스미스
메이 창
Original Assignee
추후제출
미크론 테크놀로지,인코포레이티드
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 추후제출, 미크론 테크놀로지,인코포레이티드, 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 추후제출
Publication of KR20010086231A publication Critical patent/KR20010086231A/ko
Application granted granted Critical
Publication of KR100388530B1 publication Critical patent/KR100388530B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/961Ion beam source and generation

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Plasma Technology (AREA)

Abstract

플라즈마 강화 화학 증기 증착(PECVD) 반응기와 이 반응기의 구현 방법이 기술된다. 선호되는 실시예에 따라, 반응 챔버는 이와 연관된 제 1, 2 전극(22, 26)을 포함한다. 단일 RF 전력 제너레이터가 RF 전력 스플리터(16)에 연결되고, 상기 RF 전력 스플리터(16)는 RF 전력을 분할하고, 분할된 전력을 제 1, 2 전극에 공급한다. 두 전극에 공급되는 전력이 1:1 비와는 다른 전극간 전력비에 따르는 것이 바람직하다. 한 개의 선호되는 태양에 따라, 반응 챔버는 평행 플레이트식 PECVD 시스템의 일부를 포함한다. 전력비는 조절가능한 것이 선호되고, 변화될 수 있다. 전력비 조절을 달성하는 한가지 방법은 전극의 표면 영역을 변화시키는 것이다. 또다른 방법은 출력 전력을 변화시키는 전력 스플리터를 제공하는 것이다. PECVD 공정 방법 역시 기술된다.

Description

RF 전력 공급형 플라즈마 강화 화학 증기 증착 반응기 및 플라즈마 강화 화학 증기 증착을 달성하는 방법{RF POWERED PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION REACTOR AND METHODS OF EFFECTING PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION}
반도체 공정은 반도체 기판 표면 위에서의 박막이나 층의 증착을 포함한다. 이때 상기 반도체 기판은 그위에 이미 형성된 다른 층을 가질 수도 있고 가지지 않을 수도 있다. 이러한 박막이나 층의 증착을 달성하는 한가지 방법은 화학 증기 증착(CVD)을 이용하는 것이다. CVD는 증기 상태의 화학 물질이나 반응물의 화학 반응을 포함하고, 기판이나 기판 표면 위에 증착될 원하는 구성요소를 상기 화학 물질이나 반응물이 포함할 수 있다. 반응성 기체는 반응 챔버 또는 반응기로 유입되고, 원하는 박막이나 층을 형성하기 위해 가열된 표면에서 분해되고 반응한다.
바람직한 박막이나 층을 형성하기 위해 사용될 수 있는 CVD 공정에는 세가지가 있다. 대기압 CVD(APCVD), 저압 CVD(LPCVD), 그리고 플라즈마 증가 CVD(PECVD) 방식이 있다. 앞서의 두 공정(APCVD와 LPCVD)은 압력 구분에 따라 결정되는 특징을가지고, 원하는 화학 반응을 얻기 위한 에너지 입력으로 열 에너지를 사용한다. 후자의 공정(PECVD)은 에너지 입력 방법과 압력 구분에 따라 결정되는 특징을 가진다.
PECVD 시스템에서, 화학 반응을 시작하고 유지하기 위해 열 에너지에 의존하는 것보다는, RF-유도 글로 방전이 반응성 기체에 에너지를 전달하기 위해 사용된다. 이는 APCVD 및 LPCVD 시스템에서보다 저온으로 기판을 유지시킨다. 일부 예에서 낮은 기판 온도가 선호된다. 왜냐하면, 일부 기판은 다른 방법에 의한 코팅을 수용할만한 열적 안정성을 가지지 않기 때문이다. 증착 속도가 증가될 수 있고 고유 조성 및 성질의 박막이나 층이 생성될 수 있다는 점을 다른 바람직한 특성이 포함한다. 더욱이, PECVD 공정 및 시스템은 양호한 접착성, 낮은 핀홀 밀도, 양호한 단계식 포장, 적절한 전기적 성질, 그리고 정밀 라인 이동 공정과의 호환성과 같은 다른 장점을 제공한다.
PECVD 시스템을 포함하는 증착 공정과 연관된 한가지 문제점은 비균일한 박막 또는 층의 포장에 있고, 이는 높은 애스펙트 비를 이끈다. 가령, "브레드-로핑(bread-loafing)"이나 커스핑(cusping)으로 알려진 문제가 증착 공정에서 발생할 수 있다. 이는 기판의 특징부 사이에 키 구멍 공간으로 나타나는 것을 형성하는 증착 물질의 비균일 형성을 일으킬 수 있다. 플라즈마 에칭 처리 사이(삽입 플라즈마 에칭)에서 매우 얇은 층을 여러번 증착하는 것이 기존의 한가지 방법이다. 앞서의 삽입 플라즈마 에칭은 보다 균일한 층 형성을 위해 커스프를 제거하는 역할을 한다. 그 후, 바람직한 포장을 얻을 때까지 증착 및 에칭이 반복된다.PECVD 공정 및 반응기에서 박막이나 층의 증착 품질을 개선시키는 것이 선호된다.
본 발명은 RF 전력공급되는 플라즈마 강화 화학 증기 증착 반응기와 플라즈마 강화 화학 증기 증착을 달성하는 방법에 관한 것이다.
도 1은 본 발명의 선호되는 실시예에 따르는 플라즈마 강화 화학 증기 증착(PECVD) 반응기 시스템의 블록 다이어그램.
도 2는 도 1의 시스템에서 사용하기 위한 한 개의 선호되는 PECVD 반응기의 도면.
도 3은 도 1의 시스템에서 사용하기 위한 또다른 한 개의 선호되는 PECVD 반응기의 도면.
도 4는 도 1의 시스템에서 사용하기 위한 한 개의 선호되는 전력 스플리터의 도면.
도 5는 도 1의 시스템에서 사용하기 위한 또다른 선호되는 전력 스플리터의 도면.
도 6은 본 발명의 선호되는 실시예와 연관하여 사용하기 위한 선호되는 공정 방법의 순서도.
발명은 PECVD 공정 시스템 및 방법을 개선시킴과 연관된 사항으로부터 시작되었다. 본 발명은 또한, 앞서 언급한 장점 및 특성을 포함하여, PECVD 시스템과 연관된 특성 및 장점을 개선시킴과 연관된 사항으로부터 시작되었다.
발명의 실행을 위한 최적의 모드
도 1에서, 플라즈마 강화 화학 증기 증착(PECVD) 반응기 시스템(10)이 블록 다이어그램 형태로 도시된다. 시스템(10)은 기체 공급 유닛(12), 화학 증기 증착 반응기(14), RF 전력 스플리터(16), 그리고 RF 전력 제너레이터(18)를 포함한다.
기체 공급 유닛(12)은 발명에 따르는 공정을 위해 한 개 이상의 기체 반응물을 반응기(14)에 공급할 수 있다. 일반적으로, 이러한 시스템은 에너지를 반응성 기체로 이동시키기 위해 RF-유도 글로 방전을 사용한다. 이어서, 에너지를 얻는 방전 영역 내에 자유 전자가 생성되고, 그래서 기체 분자와 자유 전자가 충돌할 때, 기체 상태 분리 및 반응성 기체의 이온화가 이루어진다. 따라서, 에너지를 얻은 종들이 기판 등에 흡수된다.
PECVD 반응기(14)는 발명에 따라 생성되는 공정 챔버를 형성한다. 제 1 선호되는 실시예에서, 반응기(14)는 평행 플레이트 반응기로 이루어진다. 이러한 평행 플레이트 반응기는 한 개의 반도체 웨이퍼만을 처리하도록 하는 구조를 가진다. 대안으로, 여러 반도체 웨이퍼를 처리할 수 있는 반응기 구조를 가질 수도 있다. 제 2 선호되는 실시예에서, 반응기(14)는 유도 코일 PECVD 반응기로 이루어진다. 도 2 및 3과 연관하여 두 선호되는 실시예가 아래에서 더욱 상세히 기술될 것이다.
도 1에서, RF 전력 스플리터(16)가 RF 입력 전력을 분할한다. 상기 RF 입력 전력은 RF 전력 제러네이터(18)에 의해 RF 전력 성분으로 제공되고, 상기 RF 전력 성분은 분리 반응기 전극에 전력 공급을 위해 사용된다. 선호되는 실시예에서, 시스템 오퍼레이터에 의해 조작될 수 있는 선택된 전력비에 따라 이러한 전력이 분할된다. 이러한 비율은 1:1 비가 이인 것이 선호된다. 이러한 분리된 전력은 라이이나 터미널(15, 17)을 통해 개별 전극으로 공급되고, 상기 전극으로는 반응기(14)부분이 있다.
도 2에서, 제 1 선호되는 실시예에 따르는 PECVD 반응기가 도시된다. 반응기(20)는 한 개 이상의 웨이퍼를 처리하도록 하는 구조를 가질 수도 있고 가지지 못할 수도 있는 충전식 평행 플레이트 반응기로 이루어지는 것이 선호된다. 제 1 전극(22)을 포함하는 공정 챔버(21)를 반응기(20)가 형성하게 된다. 전극(22)은 반도체 웨이퍼 W 형태의 한 개 이상의 반도체 소재를 지지하도록 하는 구조를 가진다. 본 명세서에서 "지지"라는 용어는 한 개 이상의 반도체 소재를 원하는 방향 및 위치에 고정시키는 것을 의미한다. 그래서 화학 증기 증착이 일어날 수 있게 한다. 따라서, 반도체 소재가 지지되거나 보지되며, 또는 도시되는 수평 위치와는 다른 방향 및 위치에 놓이게 된다. 더욱이, 두 개의 전극만을 포함하는 시스템 내용에서 발명이 논의됨에도 불구하고, 창의적인 반응기와 방법은 단 두 전극만에 반드시 제한될 필요가 없는 시스템에서의 용도를 찾을 수 있다. 제 1 전극(22)은 제 1 전극 표면 영역(24)을 포함하고, 웨이퍼 W가 발명에 따르는 공정을 위해 상기 표면 영역(24) 위에 기대게 된다. 공정 챔버(21)는 내부에 배치되는 제 2 전극(26)을 포함한다. 전극이 서로 적절히 이격되도록 전극 사이에 갭이 존재한다. 선호되는 실시예에서, 제 2 전극(26)은 서셉터 주변에 작동가능하게 위치하는 샤워 헤드 전극을 구성하고, 상기 샤워 헤드 전극은 기체 공급 유닛(12)(도 1)으로부터 챔버로 기체성 반응물을 제공하는 구조를 가진다. 그러나, 기체성 반응물이 다른 방식으로 반응기에 삽입될 수도 있다. 제 2 전극(26)은 제 1 전극 표면 영역(24)과는 다르면서 작은 것이 선호되는 제 2 전극 표면 영역(28)을 형성하는 것이 선호된다. 즉, 제 1 전극 표면 영역(24)은 제 2 전극 표면 영역(28)보다 크다. 이러한 표면 영역차는 단일 RF 전원을 이용하여서도 두 전극간에 RF 전력차를 발전시킬 수 있다. 이는 아래의 설명으로부터 명백해질 것이다.
도 2에서, 라인 15, 17은 제 1, 2 전극(22, 26)에 각각 연결된다. 이러한 라인은 RF 전력 제러네이터(18)(도 1)를 RF 전력 스플리터(16)를 통해 전극에 연결한다. 상기 RF 전력 스플리터(16)는 서셉터 및 샤워 헤드 전극과 RF 전력 제너레이터 사이에 삽입된다. RF 전력 제너레이터(18)는 단일 제너레이터 전원을 포함하는 것이 선호되고, 상기 단일 제너레이터 전원은 공정 챔버와 작동가능하게 연결되어, RF 전력 스플리터에 RF 전력을 제공하는 구조를 가지며, 상기 RF 전력 스플리터는 선택된 전력비에 따라 샤워 헤드와 서셉터 모두에 RF 전력을 제공한다. 이는 서셉터 전극이 접지되고 샤워 헤드 전극만이 RF 전원에 의해 전력을 공급받았던 기존 PECVD 반응기와는 차별화되는 새로운 특징을 보여준다. 도시되는 단일 RF 전력 제너레이터는 RF 전력을 전극에 제공하도록 하는 구조를 가지고, 이는 공정 챔버 내의 플라즈마 공정 환경을 발전시키는 데 효과적이고, 반도체 소재에 대해 원하는 바이어스를 제공하는 데 효과적이다. 가령, 선호되는 전력차로 전극을 유지하는 것은 높은 애스펙트 비와 같이 등각 포장을 증가시키는 웨이퍼나 소재를 향해 이온종의 가속을 용이하게 한다. 더욱이, 박막이나 층 조성의 보다 큰 균일성과 더 큰 순도 레벨이 가능하다.
도 3에서, 그리고 발명의 또다른 선호되는 실시예에서, 다른 종류의 PECVD반응기(30)가 설명된다. 이러한 반응기는 유도 코일 PECVD 반응기를 포함한다. 반응기(30)는 발명에 따라 일어나는 화학 증기 증착 공정이 일어나는 공정 챔버(31)를 포함한다. 제 1 전극(32)이 반응기 내부에 위치하고, 웨이퍼 W와 같은 한 개 이상의 반도체 소자를 지지하는 구조를 가진다. 제 1 전극(32)은 선호되는 단일 RF 전력 제너레이터(18)(도 1)에 의해 전력을 공급받는다. 발명에 따라 여러 웨이퍼가 처리될 수도 있다. 제 2 전극(34)이 공정 챔버(31) 외부에 배치되고, 상기 선호되는 RF 전력 제너레이터에 의해 전력을 공급받는 다수의 코일로 이루어진다.
도 2와 3에서, 이는 PECVD 반응기로 이루어지고, 단일 RF 전력 제너레이터나 공급 장치에 의해 전력을 공급받는 전극을 포함한다. 제 1 실시예에 따라, 두 전극은 공정 챔버의 내부에 배치된다(도 2). 제 2 선호되는 실시예에 따라, 한 개 이상의 전극이 공정 챔버 외부에 배치된다(도 3). 두 선호되는 실시예의 두 전극은 도 1의 제너레이터(18)와 같은 단일 RF 전력 제너레이터로부터 전력을 공급받는다. 앞서 언급한 바와 같이, 이는 두 전극이 공통 단일 RF 전원으로부터 RF 전력을 공급받지 않았던 기존 PECVD 반응기와 차별화된 모습을 보인다.
도 4에서, 선호되는 RF 전력 스플리터(36)가 도시된다. 선호되는 실시예의 전력 스플리터(36)는 입력측의 제 1 권선(40)과 출력측의 제 2 권선을 포함하는 변압기(38)를 포함한다. 입력측(40)은 동축 케이블(44)을 통해 RF 전력 제너레이터(18)(도 1)에 연결되고, 이에 의해 생성되는 전력을 수용한다. 출력측(42)은 두 개 이상의 출력 단자(15, 17)를 포함하고, 상기 두 단자(15, 17)는 제 1, 2 전극(22, 26)(도 2)이나 제 1, 2 전극(32, 34)(도 3)에 연결된다. 선호되는 실시예에서, 출력측은 2개 이하의 단자를 가지고, 제 1, 2 전극은 이에 의해 전력을 공급받는 공정 챔버만을 구성한다. 전력 스플리터(36)는 전력 제너레이터(18)에 의해 제공되는 입력 전력을 제 1, 2 전력 성분으로 분할하고, 그 후에 상기 제 1,2 전력 성분은 전극에 각각 제공된다. 선호되는 변압기의 출력측은 선택된 전력비에 따라 제 1, 2 전극 각각에 전력을 제공한다. 임피던스 정합을 위해 적절한 정합망(46)이 제공된다. 이러한 정합망은 여러 축전 및 유도 성분을 포함하고, 상기 성분들은 임피던스 정합용 구조를 가진다. 이는 박스(46)에 블록 다이어그램 형태로 나타난다.
발명의 선호되는 태양에 따라, RF 전력 스플리터(36)는 중앙 탭 변압기를 포함하고, 상기 중앙 탭 변압기에서는 제 1, 2 전극에 각각 제공되는 출력 전력이 같다. 전력 스플리터(36)가 도 2의 PECVD 반응기와 연계하여 사용될 때 이는 바람직하다. 이러한 상황에서, 전극에 공급되는 전력의 비가 전극(22, 26)의 표면 영역(24, 28)에 비례한다는 것이 발견되었다. 그러므로, 표면 영역을 조작하거나 변경함으로서, 전력비를 조작하거나 선택할 수 있고, 제 1, 2 전력 성분의 크기에 영향을 미칠 수 있다. 상기 제 1, 2 전력 성분은 이러한 전력 성분이 공급되는 전극 각각에 의해 나타난다. 선호되는 실시예에서, 이러한 표면 영역은 서로 다르고, 서셉터 표면 영역이 샤워 헤드 표면 영역보다 크다. 이는 정해진 관계에 따라 전력차가 발전될 수 있게 한다. 이러한 관계는 미리 정해진 상대적 크기로 이루어진다. 상기 상대적 크기란 전극 영역의 4번째 전력의 역비율에 직접 비례하는 값이다. 서셉터와 샤워 헤드간과 같이 상대적 표면 영역비를 변화시킴으로서, 공급되는 전력에서의 변화가 달성될 수 있다. 선호되는 실시예에서, 제 2 전극이나 샤워 헤드(26)는 제 1 전극이나 서셉터(22)의 표면 영역보다 작은 표면 영역을 가진다. 이는 서셉터에 공급되는 것보다 샤워헤드에 공급되는 전력을 더 크게 한다. 이는 소재를 지지하는 전극 방향으로 높은 에너지 상태의 종이 이끌어지도록 함으로서 선호되는 방식으로 챔버(21) 내로 반응물의 증착을 이끈다.
도 5에서, 대안의 선호되는 전력 스플리터(36a)가 도시된다. 이러한 대안의 선호되는 전력 스플리터는 전극 간의 표면 영역비, 즉 이러한 전극이 도 2의 반응기나 도 3의 반응기와 연관된 전극인 지에 독립적으로 원하는 전력차를 개발할 수 있게 한다. 먼저의 전력 스플리터와 같은 부분은 동일한 번호로 표시하였고, 다른 부분은 첨자 "a"를 붙였다. 따라서, 전력 스플리터(36a)는 선호되는 반응기(20, 30) 중 하나와 연결되는 출력측(42a)과 RF 제너레이터(18)(도 1)와 연결되는 입력측(40)으로 이루어진다. 이는 도 2의 반응기(20)가 거의 같은 표면 영역을 가지는 서셉터 전극과 샤워 헤드 전극을 가지게 할 수 있으나 이것을 반드시 요구하지는 않는다. 전력 스플리터(36a)는 전극에 공급되는 전력을 변화시키는 방식으로 선택 전력비를 조절시킬 수 있다. 따라서 선호되는 실시예에서, RF 전력 스플리터는 다수의 제 2 권선(42a)을 가지는 변압기로 이루어진다. 이는 (48)에서와 같이 가변적으로 접지가능한 것이 바람직하다.
도 5에서, 출력측(42a)은 9개의 권선으로 이루어지는 것으로 도시된다. 다른 권선이나 코일을 선택적으로 접지함으로서, 샤워 헤드와 서셉터에 다른 전력비가 제공된다. 도시되는 바와 같이 2번 코일/권선이 접지될 경우, 제 1 전극(도 2의(22)나 도 3의 (34))은 출력 제너레이터로부터의 입력 전력 2/9를 수신한다. 따라서, 제 2 전극(도 2의 (26)이나 도 3의 (34))은 입력 전력의 7/9를 수신한다. 7번 코일/권선이 접지될 경우, 전력의 분배가 뒤집혀서, 제 1 전극이 입력 전력의 7/9를 수신하고, 제 2 전극은 입력 전력의 2/9를 수신한다. 이와 같이, 선호되는 전극에 전력을 제공하는 것은 다른 처리 영역을 수용하기 위해 변화될 수 있다. 도시되는 도 5의 실시예에서, 전력 스플리터(36a)는 다른 처리 영역을 수용하기 위해 선택된 전력비를 변화시키기 위해 최종 사용자에 의해 조절도리 수 있다. 이러한 공정 영역은 제 1 전극보다 제 2 전극에 더 큰 전력량을 제공한다. 또한, 반도체 소재에 가장 근접한 전극에 제공되는 전력은 상기 소재로부터 이격된 전극에 제공되는 전력보다 작다.
따라서, 두 분리 스플리터가 기술되었다. 도 4에 도시되는 첫 번째 스플리터는 동일한 양의 출력 전력 생성에 유익하다. 이러한 전력 스플리터는 도 2의 반응기(20)와 같은 반응기에 사용하기 적합한다. 이때, 도시되는 전극에 공급되는 전력의 최종 크기는 전극의 표면 영역비를 변화시킴으로서 조절될 수 있다. 이러한 전력 스플리터는 반응기(30)와 연계하여 사용될 수도 있다. 또한, 전력 스플리터(36a)(도 5)는 도 2의 반응기(20)와 같은 반응기에 사용하기 적합한 선택 전력비로 가변적으로 출력 전력을 조절할 수 있다. 이때, 전극 표면 영역간에 큰 변화를 가지지 않거나 가질 필요가 없다. 추가적으로, 이러한 전력 스플리터는 도 3의 반응기(30)와 연계하여 사용될 수도 있다. 또한 이것이 선호된다.
도 6에서, 앞서 기술한 반응기와 연계된 반도체 소재 공정의 선호되는방법(100)의 순서도가 도시된다. 선호되는 방법은 앞서 기술된 PECVD 반응기 중 선택된 하나에 반도체 소재를 위치시키는 첫 단계 110을 포함한다. 선호되는 실시예에 따라, 공정 챔버의 내부에서 소재를 지지하기 위해 서셉터가 제공된다. 도 2의 실시예에 따라, 샤워 헤드 전극(26)이 서셉터 주변에 제공되고, 기체성 반응제를 챔버에 제공하는 구조를 가진다. 도 3의 실시예에 따라, 챔버 외부에 한 개 이상의 반응기 전극이 배치된다. 단계 112에서, 반응기 챔버에 기체성 반응제가 제공되고, 단계 114에서, 선호되는 단일 또는 공통 RF 전원으로부터 RF 전력이 제공된다. 단계 116에서, 앞서 기술한 전극 각각에 선택적으로 제공되는 제 1, 2 전력 성분으로 RF 전력이 분할된다. 가령, 단계 118에서의 제 1 전력 성분이 제 1 전극에 공급된다. 단계 120에서, 제 2 전력 성분이 제 2 전극에 공급된다. 공급된 전력 성분은 전력 스플리터(36a)의 제 2 출력측(42a)(도 5)의 가변 선택 접지나 전극 표면 영역(도 2)의 변화로부터 생기는 차와 서로 다르다. 선호되는 실시예에 따라, 전력 성분의 상대적 크기를 변화시키기 위해 중심 코일보다는 변압기 출력 코일이 선택적으로 접지될 수 있다. 이는 개별 사용자가 반응기 전극간에 따라 원하는 전력비를 선택할 수 있는 부가적 단계 122로 나타난다. 단계 124에서, 그리고 원하는 전력비가 선택 전극에 공급될 때, 반도체 소자는 화학 증기 증착에 영향을 미치도록 처리된다. 단계 126에서, 공정이 완료되고, 앞서의 내용에 따라 다음 소재가 처리된다.
발명이 몇몇 실시예를 들어 설명되었으나 발명은 이러한 특정예에 제한되지 않는다. 왜냐하면, 여기서 공개된 수단은 본 발명을 공개하기 위한 선호되는 형태일 뿐이기 때문이다. 그러므로 발명은 첨부된 청구범위의 적절한 범위 내에서 그 형태의 수정이 가능한 형태로 청구될 것이다.

Claims (41)

  1. 충전식 플라즈마 강화 화학 증기 증착 반응기로서, 상기 반응기는 공정 챔버, 서셉터 전극, 샤워헤드 전극, 단일 RF 전력 제너레이터, 그리고 RF 전력 스플리터로 이루어지고,
    상기 서셉터 전극은 챔버 내에 위치하여 한 개 이상의 반도체 소재를 지원하는 구조를 가지며,
    상기 샤워헤드 전극은 챔버 내에 위치하여 서셉터 전극의 인접 위치에 작동적으로 배치되며, 챔버에 기체성 반응제를 제공하는 구조를 가지며,
    상기 RF 전력 제러네이터는 서셉터 전극 및 샤워헤드 전극과 작동적으로 연결되어, 반도체 소재에 대해 상대적으로 원하는 바이어스와, 챔버 내의 플라즈마 공정 환경을 발전시키기에 효과적인 RF 전력을 제공하는 구조를 가지며, 그리고
    상기 RF 전력 스플리터는 서셉터 전극 및 샤워헤드 전극과 RF 전력 제너레이터에 작동적으로 삽입되고, RF 전력 스플리터는 서셉터 전극 및 샤워헤드 전극 간의 선택된 전력비에서 RF 전력 제너레이터로부터 서셉터 및 샤워헤드까지 전력을 제공하는 구조를 가지는 것을 특징으로 하는 충전식 플라즈마 강화 화학 증기 증착 반응기.
  2. 제 1 항에 있어서, 서셉터 전극 및 샤워 헤드 전극은 서로 다른 표면 영역을 가지고, 선택된 전력비는 그 사이의 표면 영역비에 비례하는 것을 특징으로 하는플라즈마 강화 화학 증기 증착 반응기.
  3. 제 1 항에 있어서, 선택된 전력비가 1:1과는 다른 것을 특징으로 하는 플라즈마 강화 화학 증기 증착 반응기.
  4. 제 1 항에 있어서, RF 전력 스플리터는 두 개 이상의 출력 단자를 가지는 중앙 탭 변압기를 포함하고, 개별 출력 단자는 서셉터 전극 및 샤워헤드 전극 중 각각에 연결되는 것을 특징으로 하는 플라즈마 강화 화학 증기 증착 반응기.
  5. 제 1 항에 있어서, RF 전력 스플리터는 중앙 탭 변압기를 포함하고,
    서셉터 전극 및 샤워헤드 전극은 서로 다른 표면 영역을 가지며, 그리고
    선택된 전력비는 표면 영역비에 비례하는 것을 특징으로 하는 플라즈마 강화 화학 증기 증착 반응기.
  6. 제 1 항에 있어서, 서셉터 전극 및 샤워헤드 전극은 동일한 표면 영역을 가지는 것을 특징으로 하는 플라즈마 강화 화학 증기 증착 반응기.
  7. 제 1 항에 있어서, 선택된 전력비가 조절가능한 것을 특징으로 하는 플라즈마 강화 화학 증기 증착 반응기.
  8. 제 1 항에 있어서, RF 전력 스플리터는 선택된 전력비를 변화시키기 위해 다수의 가변 접지 권선을 가지는 변압기를 포함하는 것을 특징으로 하는 플라즈마 강화 화학 증기 증착 반응기.
  9. 제 1 항에 있어서, 서셉터 전극 및 샤워헤드 전극은 서로 동일한 표면 영역을 가지고, 선택된 전력비가 조절가능한 것을 특징으로 하는 플라즈마 강화 화학 증기 증착 반응기.
  10. 제 1 항에 있어서, 서셉터 전극 및 샤워헤드 전극이 동일한 표면 영역을 가지고, RF 전력 스플리터는 선택된 전력비를 조절하기 위해 다수의 가변 접지 권선을 가지는 변압기를 포함하는 것을 특징으로 하는 플라즈마 강화 화학 증기 증착 반응기.
  11. 플라즈마 강화 화학 증기 증착 반응기로서, 상기 반응기는 챔버, 제 1 전극, 제 2 전극, 단일 RF 전력 제너레이터, 그리고 변압기를 포함하고,
    상기 챔버는 공정 볼륨을 형성하고,
    상기 제 1 전극은 챔버와 작동적으로 연관되며,
    상기 제 2 전극도 챔버와 작동적으로 연관되고,
    상기 변압기는 입력측과 출력측을 가지며, 상기 입력측은 생성되는 전력을 수신하기 위해 RF 전력 제너레이터에 연결되고, 상기 출력측은 두 개 이하의 출력단자를 가지며, 한 개의 출력 단자가 제 1 전극에 연결되고, 다른 한 개의 출력 단자가 제 2 전극에 연결되며, 출력측은 선택되는 전력비에 따라 제 1, 2 전극에 전력을 제공하는 것을 특징으로 하는 플라즈마 강화 화학 증기 증착 반응기.
  12. 제 11 항에 있어서, 한 개 이상의 전극이 챔버 내에 배치되고, 반도체 소재를 지지하는 구조를 가지는 것을 특징으로 하는 플라즈마 강화 화학 증기 증착 반응기.
  13. 제 11 항에 있어서, 전극 중 한 개가 챔버 외부에 배치되는 것을 특징으로 하는 플라즈마 강화 화학 증기 증착 반응기.
  14. 제 11 항에 있어서, 제 1, 2 전극이 챔버 내에 배치되고, 제 1 전극은 반도체 소재를 지지하는 구조를 가지는 것을 특징으로 하는 플라즈마 강화 화학 증기 증착 반응기.
  15. 제 11 항에 있어서, 제 1, 2 전극이 서로 다른 표면 영역을 가지는 것을 특징으로 하는 플라즈마 강화 화학 증기 증착 반응기.
  16. 제 11 항에 있어서, 제 1, 2 전극이 챔버 내에 배치되고, 제 1 전극은 반도체 소재를 지지하는 구조를 가지며, 그리고
    제 1, 2 전극은 서로 다른 표면 영역을 가지는 것을 특징으로 하는 플라즈마 강화 화학 증기 증착 반응기.
  17. 제 11 항에 있어서, 선택되는 전력비 변화를 위해 변압기 출력측이 다수의 가변 접지 권선을 포함하는 것을 특징으로 하는 플라즈마 강화 화학 증기 증착 반응기.
  18. 평행 플레이트식 플라즈마 강화 화학 증기 증착 반응기로서, 상기 반응기는 공정 챔버, 서셉터 전극, 샤워헤드 전극, 그리고 RF 전원을 포함하며,
    상기 서셉터 전극은 챔버 내에 위치하여 한 개 이상의 반도체 소재를 지지하는 구조를 가지며, 서셉터 전극은 제 1 표면 영역을 포함하고,
    상기 샤워 헤드 전극은 챔버 내에 위치하여 챔버에 반응제를 제공하는 구조를 가지며, 샤워헤드 전극은 제 1 표면 영역보다 작은 제 2 표면 영역을 가지고, 그리고
    상기 단일 RF 전원은 샤워 헤드 전극 및 서셉터 전극에 작동적으로 연결되고, 서셉터 전극에 의해 지원되는 반도체 소재에 대해 상대적으로 원하는 바이어스를 개발하기 위해, 그리고 공정 챔버 내에 플라즈마 공정 환경을 개발하기 위해 효과적인 정해진 상태적 크기에 따라 각각의 전극에 RF 전력을 제공하는 구조를 가지는 것을 특징으로 하는 평행 플레이트식 플라즈마 강화 화학 증기 증착 반응기.
  19. 제 18 항에 있어서, 정해진 상대적 크기가 전극 영역의 4번째 전력의 역비에 비례하는 것을 특징으로 하는 평행 플레이트식 플라즈마 강화 화학 증기 증착 반응기.
  20. 평행 플레이트식 플라즈마 강화 화학 증기 증착 반응기로서, 상기 반응기는 공정 챔버, 서셉터 전극, 샤워헤드 전극, 단일 RF 전력 제너레이터, 그리고 중앙 탭 변압기를 포함하며,
    상기 서셉터 전극은 챔버 내에 위치하여 한 개 이상의 반도체 소재를 지지하는 구조를 가지며, 서셉터 전극은 서셉터 표면 영역을 포함하고,
    상기 샤워 헤드 전극은 챔버 내에 위치하여 서셉터 전극 주변에서 작동하고, 챔버에 기체성 반응제를 제공하는 구조를 가지며, 샤워헤드 전극은 서셉터 전극 표면 영역보다 작은 샤워헤드 표면 영역을 가지고, 그리고
    상기 단일 RF 전력 제너레이터는 공정 챔버와 작동적으로 연관되고, RF 전력을 제공하는 구조를 가지며,
    상기 중앙 탭 변압기는 입력층과 두 개 이하의 출력 단자를 가지며, 입력측은 RF 전력 제너레이터에 연결되어 RF 전력 제너레이터로부터 RF 전력을 수신할 수 있고, 개별 출력 단자는 서셉터 전극 및 샤워헤드 전극에 연결되어, 전극 영역비에 비례하는 선택 전력비로 각각의 전극에 RF 전력을 제공하는 구조를 가지는 것을 특징으로 하는 평행 플레이트식 플라즈마 강화 화학 증기 증착 반응기로서, 상기 반응기는 공정 챔버, 서셉터 전극, 샤워헤드 전극, 그리고 RF 전원을 포함하며,
    상기 서셉터 전극은 챔버 내에 위치하여 한 개 이상의 반도체 소재를 지지하는 구조를 가지며, 서셉터 전극은 제 1 표면 영역을 포함하고,
    상기 샤워 헤드 전극은 챔버 내에 위치하여 챔버에 반응제를 제공하는 구조를 가지며, 샤워헤드 전극은 제 1 표면 영역보다 작은 제 2 표면 영역을 가지고, 그리고
    상기 단일 RF 전원은 샤워 헤드 전극 및 서셉터 전극에 작동적으로 연결되고, 서셉터 전극에 의해 지원되는 반도체 소재에 대해 상대적으로 원하는 바이어스를 개발하기 위해, 그리고 공정 챔버 내에 플라즈마 공정 환경을 개발하기 위해 효과적인 정해진 상태적 크기에 따라 각각의 전극에 RF 전력을 제공하는 구조를 가지는 것을 특징으로 하는 평행 플레이트식 플라즈마 강화 화학 증기 증착 반응기.
  21. 플라즈마 강화 화학 증기 증착 반응기로서, 상기 반응기는 공정 챔버, 제 1 전극, 제 2 전극, 단일 RF 전력 제너레이터, 그리고 변압기를 포함하며,
    상기 제 1 전극은 챔버 내에 위치하여 소재를 지지하는 구조를 가지고,
    상기 제 2 전극은 챔버와 작동적으로 연관되며,
    상기 단일 RF 전력 제너레이터는 RF 전력을 제공하는 구조를 가지고,
    상기 변압기는 입력측과 출력측을 가지며, 상기 출력측은 두 개의 출력 단자만으로 반응기 전극과 개별 연결을 형성하고, 상기 입력측은 RF 전력 제너레이터와 작동가능하게 연결되어 RF 전력 제너레이터로부터 전력을 수신하며, (a) 소재에 대해 상대적으로 바람직한 바이어스를 계발하기 위해, 그리고 (b) 공정 챔버 내부에플라즈마 공정 환경을 구축하고 유지하기 위해 효과적인 선택 전력비로 전극 각각에 RF 전력을 제공하는 구조를 출력 단자가 가지며, 그리고
    출력측은 전력 각각에 제공되는 RF 전력과 선택 전력비를 변화시키기 위해 선택적으로 접지될 수 있는 다수의 개별 권선을 추가로 포함하는 것을 특징으로 하는 플라즈마 강화 화학 증기 증착 반응기.
  22. 제 21 항에 있어서, 반응기는 유도 코일 반응기이며, 제 2 전극은 챔버 외부에 배치되는 것을 특징으로 하는 플라즈마 강화 화학 증기 증착 반응기.
  23. 제 21 항에 있어서, 반응기는 평행 플레이트 반응기이고, 제 2 전극은 챔버 내부에 배치되는 것을 특징으로 하는 플라즈마 강화 화학 증기 증착 반응기.
  24. 공정 챔버 내의 반도체 소재 위에 플라즈마 증가 화학 증기 증착 물질의 반도체 공정 방법으로서, 상기 방법은:
    소재를 지지하기 위한 제 1 전극을 제공하고,
    챔버와 연관되어 작동하는 제 2 전극을 제공하며, 이때 상기 제 1, 2 전극은 공정 챔버 전극만을 형성하고, 상기 공정 챔버 전극에 대해 상대적으로 바람직한 바이어스가 계발되고, 플라즈마 공정 환경이 생성되며,
    단일 RF 전력 제너레이터로부터 제 1, 2 전극에 RF 전력을 공급하고, 공급되는 전력은 제 1, 2 전극간 선택 전력비를 1:1과는 다르게 형성하며, 그리고
    공정 챔버 내의 제 1 전극에 의해 지원되는 웨이퍼 상의 물질층을 화학 증기 증착하기 위해 효과적인 공정 챔버 내의 한 개 이상의 반응성 기체를 제공하는 이상의 단계로 이루어지는 것을 특징으로 하는 반도체 공정법.
  25. 제 24 항에 있어서, 제 2 전극은 챔버 내에 제공되는 것을 특징으로 하는 반도체 공정법.
  26. 제 24 항에 있어서, 제 2 전극이 챔버 외부에 제공되는 것을 특징으로 하는 반도체 공정법.
  27. 제 24 항에 있어서, 제 2 전극이 챔버 내부에 위치하고,
    제 2 전극은 정해진 표면 영역을 가지는 샤워 헤드 전극을 포함하며, 샤워헤드 전극은 반응제를 챔버 내에 제공하는 구조를 가지며, 그리고
    제 1, 2 전극의 표면 영역 각각은 서로 다른 것을 특징으로 하는 반도체 공정법.
  28. 제 24 항에 있어서, RF 전력을 공급하는 단계는:
    제 1, 전극, 제 2, 전극, 그리고 RF 전력 스플리터 사이에 작동 연결을 형성하고,
    RF 전력 스플리터와 단일 RF 전력 제너레이터 사이에 작동 연결을 형성하며,
    RF 전력 제너레이터에 의해 공급되는 RF 전력을 제 1, 2 전력 성분으로 분할하고,
    제 1 전극에 제 1 전력 성분을 공급하며, 그리고
    제 2 전극에 제 2 전력 성분을 공급하는 이상의 단계를 추가로 포함하는 것을 특징으로 하는 반도체 공정법.
  29. 제 24 항에 있어서, RF 전력을 공급하는 단계는:
    제 1 전극, 제 2 전극, 그리고 변압기간에 작동 연결을 형성하고, 이때 상기 변압기는 입력측과 출력측을 가지며, 제 1, 2 전극은 변압기 출력측과 작동적으로 연결되고,
    변압기 입력측과 단일 RF 전력 제너레이터 사이에 작동 연결을 형성하며,
    RF 전력 제너레이터에 의해 공급되는 RF 전력을 제 1, 2 전력 성분으로 분할하고,
    제 1 전극에 제 1 전력 성분을 공급하며, 그리고
    제 2 전극에 제 2 전력 성분을 공급하는 이상의 단계로 이루어지는 것을 특징으로 하는 반도체 공정법.
  30. 제 24 항에 있어서, RF 전력을 공급하는 단계는:
    제 1 전극, 제 2 전극, 그리고 변압기간에 작동 연결을 형성하고, 이때 상기 변압기는 입력측과 출력측을 가지며, 제 1, 2 전극은 변압기 출력측과 작동적으로연결되고,
    변압기 입력측과 단일 RF 전력 제너레이터 사이에 작동 연결을 형성하며,
    RF 전력 제너레이터에 의해 공급되는 RF 전력을 제 1, 2 전력 성분으로 분할하고,
    제 1 전극에 제 1 전력 성분을 공급하며,
    제 2 전극에 제 2 전력 성분을 공급하는 이상의 단계로 이루어지고, 그리고
    변압기 출력측은 제 1, 2 전력 성분의 크기를 변화시킬 수 있는 다수의 가변 접지 코일을 포함하는 것을 특징으로 하는 반도체 공정법.
  31. 공정 챔버 내 반도체 소재 위의 플라즈마 강화 화학 증기 증착 물질의 반도체 공정법으로서, 상기 방법은:
    소재를 지지하기 위해 챔버 내에 제 1 전극을 제공하고,
    챔버 내에 제 2 전극을 제공하며,
    입력측과 출력측을 가지는 변압기를 제공하고, 이때 출력측은 다수의 코일을 포함하며, 코일 중 하나는 중심 코일로 이루어지고,
    변압기 입력측과 단일 RF 전력 제너레이터 사이에 작동 연결을 형성하고, 이때 상기 제너레이터는 변압기 입력측에 RF 전력을 제공하는 구조를 가지며, 공정 챔버와 작동상 연관되는 RF 전원만을 포함하며,
    변압기 출력측과 제 1, 2 전극 사이에 작동 연결을 형성하고, 이때 상기 연결은 변압기와 공정 챔버 전극간의 연결만으로 이루어지며,
    서로 크기가 다른 제 1, 2 전력 성분을 생성하기 위해 중심 코일과는 다른 변압기 출력측 코일 중 하나를 접지시키고, 이때 제 1 전력 성분은 제 1 전극에 공급되고, 제 2 전력 성분은 제 2 전극에 공급되며, 그리고
    공정 챔버 내의 제 1 전극에 의해 지지되는 웨이퍼 위에 물질층을 화학 증기 증착하기 위해 효과적인 공정 챔버 내의 한 개 이상의 반응성 기체를 제공하는 이상의 단계로 이루어지는 것을 특징으로 하는 반도체 공정법.
  32. 제 31 항에 있어서, 제 1 전력 성분이 제 2 전력 성분보다 큰 것을 특징으로 하는 반도체 공정법.
  33. 제 31 항에 있어서, 제 1, 2 전력 성분의 상대적 크기를 변화시키기 위해 선택적으로 접지되는 다수의 출력측 코일 중 나머지를 변압기가 가질 수 있는 것을 특징으로 하는 반도체 공정법.
  34. 공정 챔버 내 반도체 소재 위의 플라즈마 강화 화학 증기 증착 물질의 반도체 공정법으로서, 상기 방법은:
    단일 RF 전원에 의해 생성되는 RF 전력을 다른 크기의 제 1, 2 RF 전력 성분으로 분할하고, 이대 단일 RF 전원은 공정 챔버와 연관된 RF 전원만으로 이루어지며,
    다른 크기의 제 1, 2 RF 전력 성분을 두 공정 챔버 전극에만 공급하며, 그리고
    공정 챔버 내의 전극 중 하나에 의해 지지되는 웨이퍼의 물질층을 화학 증기 증착하기 위해 효과적인 공정 챔버 내의 한 개 이상의 반응성 기체를 제공하는 이상의 단계로 이루어지는 것을 특징으로 하는 반도체 공정법.
  35. 제 34 항에 있어서, 상기 반도체 공정법은:
    제 1 RF 전력 성분을 제 1 전극에 공급하고, 이때 제 1 전극은 공정용 한 개 이상의 반도체 소재를 지지하며, 그리고
    제 2 RF 전력 성분을 제 2 전극에 공급하며, 이때 제 2 전극은 제 1 전극보다 더 큰 크기로 전력공급되는 것을 특징으로 하는 반도체 공정법.
  36. 제 34 항에 있어서, 한 개 이상의 공정 챔버 전극이 공정 챔버 외부에 배치되는 것을 특징으로 하는 반도체 공정법.
  37. 플라즈마 강화 화학 증기 증착을 달성하기 위한 반도체 공정법으로서, 상기 공정법은 증착 중 단일 RF 전력 제너레이터로부터 플라즈마 강화 화학 증기 증착 반응기의 일부로 이루어지는 두 전극에만 RF 전력을 공급하는 단계를 포함하고, 이때 단일 RF 전력 제너레이터는 반응기와 연관된 RF 전력 제너레이터만으로 이루어지는 것을 특징으로 하는 반도체 공정법.
  38. 제 37 항에 있어서, 전극이 서로 다른 표면 영역을 가지면서 반응기 내부에 배치되는 것을 특징으로 하는 반도체 공정법.
  39. 제 37 항에 있어서, 한 개 이상의 전극이 반응기 내부에 배치되는 것을 특징으로 하는 반도체 공정법.
  40. 제 37 항에 있어서, 반응기가 유도 코일 반응기인 것을 특징으로 하는 반도체 공정법.
  41. 제 37 항에 있어서, 1:1과는 다른 선택 전력비에 따라 전극에 RF 전력이 공급되는 것을 특징으로 하는 반도체 공정법.
KR10-2000-7008679A 1998-02-19 1999-02-16 Rf 전력 공급형 플라즈마 강화 화학 증기 증착 반응기 KR100388530B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/026,042 US6395128B2 (en) 1998-02-19 1998-02-19 RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
US09/026,042 1998-02-19

Publications (2)

Publication Number Publication Date
KR20010086231A true KR20010086231A (ko) 2001-09-10
KR100388530B1 KR100388530B1 (ko) 2003-06-25

Family

ID=21829553

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-7008679A KR100388530B1 (ko) 1998-02-19 1999-02-16 Rf 전력 공급형 플라즈마 강화 화학 증기 증착 반응기

Country Status (9)

Country Link
US (5) US6395128B2 (ko)
EP (2) EP1764822A3 (ko)
JP (1) JP3527201B2 (ko)
KR (1) KR100388530B1 (ko)
AT (1) ATE346375T1 (ko)
AU (1) AU2767499A (ko)
DE (1) DE69934101T2 (ko)
TW (1) TW460606B (ko)
WO (1) WO1999043018A1 (ko)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6395128B2 (en) 1998-02-19 2002-05-28 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6562684B1 (en) 2000-08-30 2003-05-13 Micron Technology, Inc. Methods of forming dielectric materials
US6838380B2 (en) * 2001-01-26 2005-01-04 Fei Company Fabrication of high resistivity structures using focused ion beams
US6660651B1 (en) 2001-11-08 2003-12-09 Advanced Micro Devices, Inc. Adjustable wafer stage, and a method and system for performing process operations using same
US6861105B2 (en) * 2002-06-18 2005-03-01 Guardian Industries Corp. Method of making automotive trim with chromium inclusive coating thereon, and corresponding automotive trim product
US7406245B2 (en) * 2004-07-27 2008-07-29 Lumitex, Inc. Flat optical fiber light emitters
US7625460B2 (en) * 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7042311B1 (en) * 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
KR101183140B1 (ko) * 2004-05-06 2012-09-14 주성엔지니어링(주) 펄스형 알에프전력을 이용하는 공정장비 및 이를 이용한기판의 처리방법
US20050258148A1 (en) * 2004-05-18 2005-11-24 Nordson Corporation Plasma system with isolated radio-frequency powered electrodes
US8453600B2 (en) * 2004-12-28 2013-06-04 Hitachi Kokusai Electric Inc. Substrate processing apparatus
TW200834671A (en) * 2007-02-12 2008-08-16 Innolux Display Corp Plasma enhanced chemical vapor deposition device
US7863582B2 (en) * 2008-01-25 2011-01-04 Valery Godyak Ion-beam source
EP2145979A1 (fr) * 2008-07-16 2010-01-20 AGC Flat Glass Europe SA Procédé et installation pour le dépôt de couches sur les deux faces d'un substrat de façon simultanée
EP2145978A1 (fr) * 2008-07-16 2010-01-20 AGC Flat Glass Europe SA Procédé et installation pour le dépôt de couches sur un substrat
US8617668B2 (en) * 2009-09-23 2013-12-31 Fei Company Method of using nitrogen based compounds to reduce contamination in beam-induced thin film deposition
US8755204B2 (en) * 2009-10-21 2014-06-17 Lam Research Corporation RF isolation for power circuitry
US9598771B2 (en) 2011-08-30 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric film defect reduction
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9484233B2 (en) 2012-04-13 2016-11-01 Novellus Systems, Inc. Carousel reactor for multi-station, sequential processing systems
US9449795B2 (en) 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
JP5788616B1 (ja) * 2015-02-04 2015-10-07 株式会社京三製作所 高周波電源装置、及びデュアルカソード用電源
CN113445029A (zh) * 2020-03-25 2021-09-28 拓荆科技股份有限公司 双面沉积设备及方法

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
JPS63193527A (ja) 1987-02-06 1988-08-10 Mitsubishi Electric Corp エツチング装置
US5230931A (en) 1987-08-10 1993-07-27 Semiconductor Energy Laboratory Co., Ltd. Plasma-assisted cvd of carbonaceous films by using a bias voltage
US4887005A (en) 1987-09-15 1989-12-12 Rough J Kirkwood H Multiple electrode plasma reactor power distribution system
US4871421A (en) * 1988-09-15 1989-10-03 Lam Research Corporation Split-phase driver for plasma etch system
JPH02213480A (ja) 1989-02-14 1990-08-24 Nippon Light Metal Co Ltd 高周波プラズマ発生用アルミニウム電極
JPH02298024A (ja) 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
JP3016821B2 (ja) 1990-06-15 2000-03-06 東京エレクトロン株式会社 プラズマ処理方法
FR2663806A1 (fr) 1990-06-25 1991-12-27 Commissariat Energie Atomique Reacteur a plasma du type triode, utilisable notamment pour la gravure, le depot ou le nettoyage de surfaces.
DE4025396A1 (de) * 1990-08-10 1992-02-13 Leybold Ag Einrichtung fuer die herstellung eines plasmas
US5052339A (en) 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
JPH04362091A (ja) 1991-06-05 1992-12-15 Mitsubishi Heavy Ind Ltd プラズマ化学気相成長装置
US5260236A (en) 1991-06-07 1993-11-09 Intel Corporation UV transparent oxynitride deposition in single wafer PECVD system
JPH05175163A (ja) * 1991-12-24 1993-07-13 Mitsubishi Electric Corp プラズマ処理装置
EP0552491B1 (en) 1992-01-24 1998-07-15 Applied Materials, Inc. Plasma etch process and plasma processing reactor
JP3122228B2 (ja) 1992-05-13 2001-01-09 忠弘 大見 プロセス装置
US5567267A (en) 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5665167A (en) * 1993-02-16 1997-09-09 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus having a workpiece-side electrode grounding circuit
JP3351843B2 (ja) 1993-02-24 2002-12-03 忠弘 大見 成膜方法
US5439524A (en) 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5433786A (en) 1993-08-27 1995-07-18 The Dow Chemical Company Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein
US5468296A (en) 1993-12-17 1995-11-21 Lsi Logic Corporation Apparatus for igniting low pressure inductively coupled plasma
US5435881A (en) * 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
EP0721514B1 (en) 1994-05-13 1999-09-22 Applied Materials, Inc. Magnetically enhanced multiple capacitive plasma generation apparatus and related method
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JPH0831806A (ja) * 1994-07-20 1996-02-02 Hitachi Ltd プラズマ処理装置
US5607542A (en) 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
WO1996039794A1 (fr) 1995-06-05 1996-12-12 Tohoku Unicom Co., Ltd. Alimentation servant a une decharge par electrodes multiples
US5656123A (en) 1995-06-07 1997-08-12 Varian Associates, Inc. Dual-frequency capacitively-coupled plasma reactor for materials processing
TW323387B (ko) 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP2814370B2 (ja) 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5865937A (en) * 1995-08-21 1999-02-02 Applied Materials, Inc. Broad-band adjustable power ratio phase-inverting plasma reactor
KR100201386B1 (ko) * 1995-10-28 1999-06-15 구본준 화학기상증착장비의 반응가스 분사장치
DE69619075T2 (de) 1995-12-05 2002-10-02 Applied Materials Inc Plasmatempern von Dünnschichten
JPH09167755A (ja) 1995-12-15 1997-06-24 Nec Corp プラズマ酸化膜処理装置
JP2882339B2 (ja) * 1996-02-21 1999-04-12 日本電気株式会社 タングステンcvd反応室内のエッチング方法
US5981899A (en) 1997-01-17 1999-11-09 Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
US6395128B2 (en) * 1998-02-19 2002-05-28 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition

Also Published As

Publication number Publication date
TW460606B (en) 2001-10-21
JP3527201B2 (ja) 2004-05-17
US6395128B2 (en) 2002-05-28
JP2002504749A (ja) 2002-02-12
US6533894B2 (en) 2003-03-18
EP1057205B1 (en) 2006-11-22
US20010003270A1 (en) 2001-06-14
US20020061656A1 (en) 2002-05-23
AU2767499A (en) 1999-09-06
EP1057205A1 (en) 2000-12-06
EP1764822A3 (en) 2008-01-23
US6705246B2 (en) 2004-03-16
US20020056416A1 (en) 2002-05-16
DE69934101T2 (de) 2007-06-21
DE69934101D1 (de) 2007-01-04
KR100388530B1 (ko) 2003-06-25
EP1764822A2 (en) 2007-03-21
US6235646B1 (en) 2001-05-22
US6159867A (en) 2000-12-12
WO1999043018A1 (en) 1999-08-26
ATE346375T1 (de) 2006-12-15

Similar Documents

Publication Publication Date Title
KR100388530B1 (ko) Rf 전력 공급형 플라즈마 강화 화학 증기 증착 반응기
KR100388529B1 (ko) Rf 전력 공급형 플라즈마 강화 화학 증기 증착 반응기 및 그 방법
US5683548A (en) Inductively coupled plasma reactor and process
US6106678A (en) Method of high density plasma CVD gap-filling
US4282267A (en) Methods and apparatus for generating plasmas
US6033481A (en) Plasma processing apparatus
EP0074212B1 (en) Apparatus for forming thin film
US20060096540A1 (en) Apparatus to manufacture semiconductor
US4948750A (en) Method and apparatus for producing semiconductor layers composed of amorphous silicon-germanium alloys through glow discharge technique, particularly for solar cells
KR930006857A (ko) 실리콘 산화막 제조방법 및 장치
US6642661B2 (en) Method to affect spatial distribution of harmonic generation in a capacitive discharge reactor
JPH09199486A (ja) マイクロ波プラズマリアクタ
US4545328A (en) Plasma vapor deposition film forming apparatus
JPH09115893A (ja) プログラミングされた反応物ガスの散布を有するプラズマリアクタ
JPS63187619A (ja) プラズマcvd装置
US4539934A (en) Plasma vapor deposition film forming apparatus
JPWO2008123295A1 (ja) プラズマ処理装置
JPH11340150A (ja) プラズマ化学蒸着装置
JP2848755B2 (ja) プラズマcvd装置
US6027662A (en) Materials processing by separately generated process medium constituents
JP2001073150A (ja) マイクロ波供給器及びプラズマ処理装置並びに処理方法
JP2628529B2 (ja) プラズマcvd装置
JP2993813B2 (ja) プラズマcvd装置
JP2001118795A (ja) プラズマ生成装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120517

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee