TW460606B - RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition - Google Patents

RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition Download PDF

Info

Publication number
TW460606B
TW460606B TW088102446A TW88102446A TW460606B TW 460606 B TW460606 B TW 460606B TW 088102446 A TW088102446 A TW 088102446A TW 88102446 A TW88102446 A TW 88102446A TW 460606 B TW460606 B TW 460606B
Authority
TW
Taiwan
Prior art keywords
electrode
power
chamber
electrodes
chemical vapor
Prior art date
Application number
TW088102446A
Other languages
Chinese (zh)
Inventor
Sujit Sharan
Gurtej S Sandhu
Paul Smith
Mei Chang
Original Assignee
Applied Materials Inc
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc, Micron Technology Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW460606B publication Critical patent/TW460606B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/961Ion beam source and generation

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

Plasma enhanced chemical vapor deposition (PECVD) reactors and methods of effecting the same are described. In accordance with a preferred implementation, a reaction chamber includes first and second electrodes operably associated therewith. A single RF power generator is connected to an RF power splitter which splits the RF power and applies the split power to both the first and second electrodes. Preferably, power which is applied to both electrodes is in accordance with a power ratio as between electrodes which is other than a 1:1 ratio. In accordance with one preferred aspect, the reaction chamber comprises part of a parallel plate PECVD system. In accordance with another preferred aspect, the reaction chamber comprises part of an inductive coil PECVD system. The power ratio is preferably adjustable and can be varied. One manner of effecting a power ratio adjustment is to vary respective electrode surface areas. Another manner of effecting the adjustment is to provide a power splitter which enables the output power thereof to be varied. PECVD processing methods are described as well.

Description

經濟部中央橾準局員工消费合作社印製 4 6 Ο 6 w ο Α7 Β7五、發明説明(1 ) 技術領域 本發明係關於RF動力推動的電漿增強之化學蒸氣沈 積反應器及執行電漿增強之化學蒸氣沈積之方法。 背景技術 半導體製程經常涉及/或沈積薄膜或薄層於半導體基 板表面上其可有或未有其它層已經成形於其上。一種執行 膜或廣沈積之方式係透過化學蒸氣沈積(CVD)。CVD涉及 氣相化學品或反應物之化學沈積,該化學品或反應物含有 預定沈積於基板或基板表面之成分。反應物氣體被導入反 應腔室或反應器内,及於受熱表面分解及反應而形成預定 膜或層。 現有三大CVD方法可用於形成預定膜或層。包括: 大氣壓CVD(APCVD),低壓CVD(LPCVD)及電漿增強之 CVD(PECVD)。前二方法(APCVD及LPCVD)係以其壓力為 特徵,典型使用熱能作為能量輸入來執行預定化學反應。 後述方法(PECVD)係以其壓力計畫及能量輸入方法為特徵 -~— 一.·... ' 〇 PECVD系統中,並非依賴熱能來引發與維持化學反 應,RF感應輝光放電用於移轉能量給反應物氣體。如此 可使基板保持於比APCVD及LPCVD系統更低溫。基板溫 度較低於某些情況合所需,原因為若干基板不具有熱穩定 性來接受藉其它方法塗布。其它預定特徵包括沈積速率可 提升,且可生產具有獨特組成及性質之膜或層。此外 PECVD方法及系統提供其它優點,例如黏著性良好,針 訂 線 (請先聞讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 4 經濟部中央揉準扃貝工消费合作杜印装 B7 五'發明説明(2 ) " ' 孔密度低,階遮蓋率良好,電氣性質適當,及與細線圖樣 轉印過程相容》 但沈積處理包括PECVD處理關聯之一問題在於膜或 層遮蓋率不均勻可能特別導致高縱橫比凹凸部,例如沈積 過程中典型發生稱做「麵包捲」或瓣尖問題。此等問題通 常涉及沈積材料非期望地非均勻積聚,而介於基板上之基 本結構間出現如同鎖孔之空間。先前技術解決之道係進盘 多^極薄層沈積且中間介入電漿蝕刻處理。介入之電漿蝕 刻係用來去除或切除尖頭而形成較為均勻的施用層,隨後 重^進行沈積及蝕刻直至達成預定遮蓋率為止β希望改良 PECVD方法及反應器沈精之膜或層品質。 本發明係有關改良PECVD處理系統及方法。本發明 亦係關於改良PECVD系統關聯之優點及特點包括前述優 點及特點。 _圖_式之簡單說明 後文將參照下列附圊描述本發明之較佳具體例。 第1圖為根據本發明之較佳具體例之電漿增強之化學 蒸氣沈積(PECVD)反應器系統之方塊圖。 第2圖顯示用於第1囷系統之較佳PECVD反應器之一 實務。 第3圊顯示用於第1圖系統之另一較佳PECVD反應器 之另一實務。 第4圖顯示用於第1圖系統之較佳功率分配器之一實務 本紙浪尺度適用中國國家標準(CNS ) Α4規格(210.Χ29·?公釐) I---------1------1T------^ {請先«讀背面之注意事項再填寫本頁) 4 6 0 A7 B7 五、發明説明(3 ) 第5圖顯示用於第1圖系統之另一較佳功率分配器之另 一實務。 第6圖為流程圖示例說明用於本發明之較佳具體例之 較佳處理方法。 執行本發明之最佳模式及束發明之谋壬 參照第1圖,電漿增強之化學蒸氣沈積(PE(:VD)反應 系統以方塊圖形式顯示概略於1〇。系統1〇包括一供氣單元 12, 一化學蒸氣沈積反應器14, 一rf功率分配器丨6及一 RF 功率產生器18 〇 供氣單元12可供給一或多種氣態反應物至反應器14用 於根據本發明處理*典型此等系統使用RF感應輝光放電 來移轉能量至反應物氣趙。隨後於放電區内產生自由電子 而其獲取能量’故當其碰撞氣趙分子時,發生反應物氣體 之氣相解離及離子化。如此’能量物種被吸收於工件或基 板上。 經濟部中央橾準局員工消費合作社印装 ----------装— (請先閲讀背面之注意事項再填寫本頁) 線 PECVD反應器14界定一處理腔室或容積,於其内部 進行根據本發明之處理。第一較佳實務中,反應器14包含 平行板反應器。平行板反應器可配置成僅處理單一半導體 工件或晶圓。另外,反應器配置成處理多於一個半導趙工 件或晶圓。第二較佳實務中,反應器14包含電感線圈 PECVD反應器。二較佳實務將於後文就第2及3圖詳細討 〇 仍然參照第1圖,RF功率分配器16於示例說明之較佳 具體例中分配或以其它方式劃分RF輸入功率(該rf輪入功 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 460 A7 B7 經濟部中央橾準局負工消費合作社印裝 五、發明説明(4 率係由RF功率產生器18產生)成為RF功率組成分,隨後用 來動力推動個別反應器電極。較佳實務中,jb 根 據選定之功率比分配或劃分,選定之功率比可数立乍 員操縱。較佳此種比例非為直接1 : 1之比》此種經過分配 或劃分的功率隨後透過導線或端子15,17施用至各層反應 器14之部分之個別電極,容後詳述。 參照第2图,根據第一較佳實務之PECVD反應器概略 陳述於20 »反應器20較佳包含電容平行板反應器,其可或 可未配置成可處理多於一工件或晶圓。較佳反應器2〇界定 一處理腔室21 ’其包括一第一電極22設置於其内部。電極 22係配置成支撐至少一半導體工件呈半導體晶圓w形式。 此處使用「支撐」一詞於本文件及連同各具體例意圖表示 夹持或定位一或多個半導體工件於預定取向故可進行化學 蒸氣沈積。如此半導體工件可被支撐、夾持或以其他方式 定位成所示水平位置以外之其它方向。此外,雖然本發明 係就僅含二電極之系統内容討論,但須了解本發明之反應 器及方法可用於不一定僅限於二電極之系統。第一電極22 包含第一電極表面積24,晶圓W停靠於第一表面積上用於 根據本發明之製程處理。於示例說明之較佳具體例中,第 一電極22為感受器其可支撐工件。處理腔室21包含第二電 極26其係設置於腔室内部。二電極間存在一間隙,故電極 彼此適當隔開。於示例說明之較佳具體例中,第二電極26 組成蓮蓬頭電極’其係以工作式批鄰感受器,且配置成可 由供氣單元12供給氣態反應物(第1圖)。但氣態反應物可 本紙張適财關家料(CNS }職格⑺Qx297^ 裝 訂 線 (請先聞讀背面之注ί項再填寫本頁) 經濟部中央樣準局貝工消費合作杜印製 4 6 0 b ^ ^ A7 ___B7_ 五、發明説明(5 ) 以其它方式導入反應器内。較佳第二電極26界定第二電極 表面積28,其係與第一電極表面積24不同且較佳較小。換 言之’第一電極表面積24係大於第二電極表面積28。此種 第一與第二電極間之奏J6積差異可使電極僅使用單一 RF 功率源即可發展出RF功率差異。由後文說明顯然易知。 仍然參照第2圖,導線15及17分別工作式連接至第一 及第二電極22,26。此導線連接RF功率產生器18(第1圖) 經由RF功率分配器16之個別電極,RF功率分配器供後文 討論目的係以工作式插置於RF功率產生器與感受器及蓮 蓬頭電極二者間。較佳RF功率產生器18包含單一產生器 功率源,功率源係工作式連結處理腔室且配置成可提供rF 功率給RF功率分配器,而後者又根據選定之功率比提供RF 功率給感受器及蓮蓬頭,容後詳述《如此表示於先前 PECVD反應器之一大新穎差異,後者僅有一蓮蓮頭電極 係藉RF功率源供電,而感受器電極係接地。示例說明之 單一 RF功率產生器較佳配置成可提供RF功率至電極,其 可於處理腔室内部有效發展電漿處理環境及相對於半導體 工件提供預定偏壓。例如維持電極於較佳功率偏差有助於 加速離子或離子物種朝向工件或晶圊,其可促進服貼遮蓋 率,特別於高縱橫比凹凸部時尤為如此。又復,可獲得瞑 或層組成之較為均勻及/或較高純度。 參照第3圖且根據本發明之另一較佳實務’陳述不同 型PECVD反應器30。此種反應器包含感應線圈PECVD。 反應器30包含一處理腔室31,於腔室内部可進行根據本發 本紙張尺度適用中國國家標準(CNS ) A4規格(2丨0X297公釐) ----------^------1T------^ (請先閲讀背面之注意事項再填寫本頁) 經濟部中央揉準局貝工消費合作社印裝 4 6 0 b ^ 6 A7 _ _____ B7 五、發明説明(6 ) 明之化學蒸氣沈積處理。第一電極32係設置於反應器内部 ,且配置成可支撐至少一半導體工件,如晶園从於其上。 第一電極32係藉較佳單_RF功率產生器18供電(第1圖)。 根據本發明可有多於一個待處理晶圊。第士電 於處理腔室31外部,包含複數線圈其可藉同一較佳單—RF 功率產生器供電》 參照第2及3囷,包含pEcVD反應器其包括個別電極 ’二者皆由單一 RF功率產生器或功率源供電。根據第一 實務’二電極係設置於處理腔室内部(第2圖根據第二 較佳實務’至少一電極係設置於處理腔室外部(第3圖)。 較佳實務之二電極皆由單一RF#率產生器如第1圖之功率 產生器18供電。如前述,如此表示與先前pecvD反應器 之新穎差異,後者中二電極並非使用來自共通單一 RF# 率源之RF功率動力推動。 參照第4園,較佳RF功率分配器陳述於3 6。於示例說 明之較佳具體例中,功率分配器36包含變壓器38,其包括 輸入端或一次繞線40及輸出端或二次繞線42。輸入端40係 透過同軸纜線44工作式耦合或連結至rf功率產生器ι8(第 1圖)及接收如此產生之功率β輸出端42包含至少二輸出端 子15,17’其係工作式耦合或連結至第一及第二電極22, 26(第2囷之PECVD反應器)或第一及第二電極32,34(第3 圖之PECVD反應器)。較佳實務中’輸出端含有多於兩個 端子,第一及第二反應器構成由其供給動力之唯一處理腔 室電極。功率分配器36將功率產生器18供給的輸入功率分 本紙張尺度適用中國國家標準(CNS ) Α4規格(2!0Χ297公釐) 9 ----------装-- (請先聞讀背面之注意事項再填寫本頁) 、?τ Α7 _________Β7_ 五、發明説明(7 ) 配成第一及第二功率組成分,其隨後供給個別電極。較佳 變壓器之輸出端根據選定之功率比(容後詳述)供給功率給 第一及第二電極。適當匹配網路46係用於阻抗匹配用途。 此種網路典型包括各種電容及電感組件其配置用於阻抗匹 配。此係以方塊圖形式表示於框46。 經濟部中央標準局貝工消费合作杜印策 根據本發明之一較佳方面,RF功率分配器36包含一 中央分接變壓器,其中供給第一及第二電極之輸出功率幅 度大致相等。當功率分配器36用與第2圖之PECVD反應器 結合使用時此乃合所需。但某些情況下發現供給各電極之 功率比係與電極22, 26表面積24, 28成比例*因此藉由改 變或操縱表面積’可操縱或選擇功率比並影響由此種功率 組成分施用的個別電極「所見」之第一及第二功率组成分 幅度。於示例說明之較佳具體例中,此種表面積彼此不同 ’感受器表面積係大於蓮蓬頭表面積。如此可依據可定義 關係發展出功率差。此種關係係由預定相對幅度組成,該 幅度係與電極表面積之第四次幂之倒數比成正比^換言之 ’藉由改變感受器與蓮蓬頭間之相對表面積比,可執行改 變施加功率。於示例說明之較佳具體例中,第二電極或蓮 蓬頭26之表面積係小於第一電極或感受器22之表面積。如 此導致施加至蓮篷頭之功率幅度比感受器更高。如此優異 地允許被導入腔室21之反應物以較佳方式沈積,使高能物 種被抽取朝向支撐工件之電極方向。 參照第5圊,另一較佳功率分配器概略示於36立。此種 替代較佳功率分配器可獲得預定功率差異而無須考慮電極 ---------------—...___ 10 (請先閲讀背面之注意事項再填客本頁) 本紙張尺度適用中國國家標準(CNS ) A4规格(210X297公釐) 460606 A7 B7 五、發明説明(8 ) 間之表面積比且與表面積比無關,無論該等電極係屬第2 圖之反應器或第3圖之反應器皆如此。若屬適當對所述功 率分配器標示以類似編號,差異係附接「a」或以不同編 號表示。如此功率分配器36a包含輸入端40,其係工作式 輕合RF產生器18(第1圖),及輸出端42a其係工作式轉合較 佳反應器20’ 30中任一者。如此可使第2圈之反應器2〇無 須具有感受器電極及蓮蓮頭電極而其各自之表面積較為接 近相等。功率分配器36a較佳允許選定之功率比係以改變 供給電極之功率之方式調整》如此於示例說明之較佳具體 例中,RF功率分配器包含變壓器有複數二次繞線42a。此 如48指示*可以變化方式接地。 仍然參照第5圖且供舉例說明之用,輸出端42a顯示為 包含九組繞線。經由將不同繞線或線圈選擇性接地,可將 不同功率比供給蓮蓬頭及感受器電極。特別例如若如所示 編號2線圈或繞線接地,則第一電極亦即電極22(第2圖)或 32(第3圖)接收來|功率產生器之2/9或22.2%輸入功率。 如此第二電極亦即電極26(第2圖)或34(第3圊)接收7/9或 77.8°/。輸入功率β相關地,若編號7之線圈或繞線接地, 則功率分布顛倒,亦即第一電極接收7/9輸入功率而第二 電極接收2/9輸入功率。如此供給較佳電極之功率可改變 來配合不同的處理計畫。於示例說明之較佳第5圖具體例 十’功率分配器36a可由終端使用者改變選定功率比調整 來配合不同的處理計畫a此種處理計畫較佳可提供適量功 率給第二電極而非第一電極。另外,供給最接近半導體工 本紙張尺度適用中圉國家揉準(CNS ) A4規格(21〇χ 297公董) I------;--裝---\-I.--tr------0 {請先閲婧背面之注意事項再填寫本頁) 經濟部中央標準局貝工消費合作社印製 11 經濟部中央標準局貝工消費合作社印製 460606 A7 _______B7_五、發明説明(9 ) 件電極之功率係低於供給較遠離工件之電極之功率。 如此已經敘述兩種較佳功率分配器。其中第一種(第4 圖)可優異地產生具有大致相等幅度之輸出功率。此種功 率分配器適合用於反應器,如第2圖之反應器20,其中供 給示例說明電極之最終功率幅度可藉由改變電極表面積比 調整。此種功率分配器也可合併反應器30使用。另外且同 等較佳地功率分配器36a(第5圖)允許輸出功率以變化方式 調整至適合用於反應器如第2圖反應器20之選定功率比, 其中電極可具有或無須具有電極表面積間有意義的變化。 此外’此等功率分配器可且較佳合併第3圖之反應器3〇使 用。 參照第6圖,結合前述反應器使用之處理半導體工件 之較佳方法之代表流程圖概略示於1〇〇。較佳方法包含首 先於步驟110設置一半導體工件於前述PECVD反應器中之 選定者。根據較佳實務,設置感受器用於支撐工件於處理 腔室内部。根據第2圖具體例,蓮蓬頭電極26係設置成工 作式毗鄰感受器,配置成供給氣態反應物之腔室内部。根 據第3圖具體例,反應器電極中之至少一者係設置於腔室 外部。於步驟112 ,氣態反應物供給反應器腔室,此時於 步驟114,供給來自較佳單一或共通RF功率源之RF功率。 於步驟116 ’供給的rf功率劃分為第一及第二功率組成分 ,其選擇性供給前述個別電極。例如於步驟118之第一功 率組成分施加至第一電極。於步驟12〇,第二功率組成分 施加至第二電極。較佳施加之功率組成分與另—組成Printed by the Consumers' Cooperative of the Central Government Bureau of the Ministry of Economic Affairs 4 6 Ο 6 w ο A7 B7 V. Description of the Invention (1) Technical Field The present invention relates to an RF-powered plasma enhanced chemical vapor deposition reactor and a plasma enhanced reactor. Chemical vapor deposition method. BACKGROUND Semiconductor processes often involve / or depositing a thin film or layer on the surface of a semiconductor substrate, which may or may not have other layers already formed thereon. One way to perform film or wide deposition is through chemical vapor deposition (CVD). CVD involves chemical deposition of a gas phase chemical or reactant that contains components that are intended to be deposited on a substrate or substrate surface. The reactant gas is introduced into a reaction chamber or reactor, and is decomposed and reacted on a heated surface to form a predetermined film or layer. Three existing CVD methods can be used to form a predetermined film or layer. These include: Atmospheric Pressure CVD (APCVD), Low Pressure CVD (LPCVD), and Plasma Enhanced CVD (PECVD). The first two methods (APCVD and LPCVD) are characterized by their pressure and typically use thermal energy as an energy input to perform a predetermined chemical reaction. The method described below (PECVD) is characterized by its pressure plan and energy input method-~ ... I. In PECVD system, it does not rely on thermal energy to initiate and maintain chemical reactions. RF-induced glow discharge is used for transfer Energy is given to the reactant gas. This keeps the substrate cooler than APCVD and LPCVD systems. The substrate temperature is lower than necessary in some cases, because some substrates do not have thermal stability to accept coating by other methods. Other predetermined features include increased deposition rates and the ability to produce films or layers with unique compositions and properties. In addition, the PECVD method and system provide other advantages, such as good adhesion and needle stitching (please read the precautions on the back before filling out this page). This paper size applies to China National Standard (CNS) A4 (210X297 mm). 4 Economy The Ministry of Central and South Korea's Central Bank of China's consumer cooperation Du printed B7 five 'Inventory (2) "' Low hole density, good step coverage, proper electrical properties, and compatibility with the fine line pattern transfer process "But the deposition process includes One of the problems associated with PECVD processing is that uneven coverage of the film or layer may particularly cause high aspect ratio bumps, such as what is commonly referred to as "bread rolls" or valve tip problems during deposition. These problems often involve the undesired, non-uniform accumulation of sedimentary materials, with spaces like keyholes appearing between the basic structures on the substrate. The solution of the prior art is to deposit multiple thin layers and interpose plasma etching. Interventional plasma etching is used to remove or cut off the tip to form a more uniform application layer, and then repeat the deposition and etching until a predetermined coverage rate is achieved. Β Hope to improve the PECVD method and the quality of the membrane or layer of the reactor. The invention relates to an improved PECVD processing system and method. The invention also relates to the advantages and features associated with improved PECVD systems, including the aforementioned advantages and features. Brief description of _ 图 _ 式 Hereinafter, a preferred specific example of the present invention will be described with reference to the following appendix. Figure 1 is a block diagram of a plasma enhanced chemical vapor deposition (PECVD) reactor system according to a preferred embodiment of the present invention. Figure 2 shows the practice of one of the preferred PECVD reactors for the 1st system. Figure 3 shows another practice of another preferred PECVD reactor for the system of Figure 1. Figure 4 shows one of the best power dividers used in the system of Figure 1. The paper scale is applicable to China National Standard (CNS) A4 specification (210. × 29 ·? Mm) I --------- 1 ------ 1T ------ ^ {Please «read the precautions on the reverse side before filling out this page) 4 6 0 A7 B7 V. Description of the invention (3) Figure 5 shows the first figure Another practice of another preferred power divider for the system. Fig. 6 is a flowchart illustrating a preferred processing method for a preferred embodiment of the present invention. Refer to Figure 1 for the best mode for carrying out the invention and the invention of the beam invention. The plasma enhanced chemical vapor deposition (PE (: VD)) reaction system is shown in block diagram in outline at 10. The system 10 includes a gas supply Unit 12, a chemical vapor deposition reactor 14, an RF power divider 6 and an RF power generator 18. The gas supply unit 12 can supply one or more gaseous reactants to the reactor 14 for processing according to the present invention. * Typical These systems use RF-induced glow discharge to transfer energy to the reactant gas. Subsequently, free electrons are generated in the discharge area and they gain energy. Therefore, when they collide with gas molecules, gas-phase dissociation of the reactant gas and ions occur. In this way, 'energy species are absorbed on the work piece or substrate. Printed by the Consumer Cooperatives of the Central Procurement Bureau of the Ministry of Economic Affairs ————————— (Please read the precautions on the back before filling this page) The line PECVD reactor 14 defines a processing chamber or volume within which the processing according to the invention is performed. In a first preferred practice, the reactor 14 comprises a parallel plate reactor. The parallel plate reactor can be configured to process only a single half In addition, the reactor is configured to process more than one semiconducting workpiece or wafer. In a second preferred practice, the reactor 14 includes an inductor PECVD reactor. The second preferred practice will be described later. Figures 2 and 3 are discussed in detail. Still referring to Figure 1, the RF power splitter 16 distributes or otherwise divides the RF input power in the preferred specific example illustrated (the rf wheel-in power is used in this paper and the Chinese standard applies to Chinese standards (CNS) A4 specification (210X297 mm) 460 A7 B7 Printed by the Central Consumers' Bureau of the Ministry of Economic Affairs, Printed by the Consumers Cooperative Cooperative V. Invention description (4 rate is generated by the RF power generator 18) becomes the RF power component, which is then used Power to push the individual reactor electrodes. In the best practice, jb is allocated or divided according to the selected power ratio, and the selected power ratio can be manipulated by several members. The preferred ratio is not a direct 1: 1 ratio. The distributed or divided power is then applied to individual electrodes of parts of each layer of the reactor 14 through wires or terminals 15, 17 and will be described in detail later. Referring to Figure 2, the PECVD reactor according to the first best practice is outlined at 2 0 »The reactor 20 preferably includes a capacitive parallel plate reactor, which may or may not be configured to process more than one workpiece or wafer. The preferred reactor 20 defines a processing chamber 21 ′ which includes a first electrode 22 is provided inside. The electrode 22 is configured to support at least one semiconductor workpiece in the form of a semiconductor wafer w. The term "support" is used herein and in conjunction with the specific examples to indicate holding or positioning one or more semiconductors The workpiece can be chemically vapor-deposited in a predetermined orientation. Thus, the semiconductor workpiece can be supported, clamped, or otherwise positioned in a direction other than the horizontal position shown. In addition, although the present invention is discussed in terms of a two-electrode system However, it must be understood that the reactor and method of the present invention can be applied to a system that is not necessarily limited to two electrodes. The first electrode 22 includes a first electrode surface area 24 on which the wafer W rests for processing in accordance with the present invention. In the preferred embodiment, the first electrode 22 is a susceptor which can support a workpiece. The processing chamber 21 includes a second electrode 26 which is disposed inside the chamber. There is a gap between the two electrodes, so the electrodes are properly spaced from each other. In a preferred specific example, the second electrode 26 constitutes a showerhead electrode, which is a working batch sensor and is configured to be supplied with a gaseous reactant by the gas supply unit 12 (Fig. 1). However, the gaseous reactants can be used in this paper (CNS) post code ^ Qx297 ^ binding line (please read the note on the back first and then fill out this page) Printed by the Central Bureau of Procurement, Ministry of Economic Affairs. 6 0 b ^ ^ A7 ___B7_ 5. Description of the invention (5) is introduced into the reactor in other ways. The preferred second electrode 26 defines a second electrode surface area 28, which is different from the first electrode surface area 24 and is preferably smaller. In other words, 'the surface area of the first electrode 24 is greater than the surface area of the second electrode 28. This difference in J6 product between the first and second electrodes allows the electrode to develop RF power differences using only a single RF power source. It will be explained later Obviously easy to see. Still referring to Figure 2, wires 15 and 17 are operatively connected to the first and second electrodes 22, 26, respectively. This wire is connected to the RF power generator 18 (Figure 1) via the RF power divider 16 individually. Electrodes and RF power dividers are discussed below for the purpose of being inserted between the RF power generator and the susceptor and showerhead electrodes. The preferred RF power generator 18 includes a single generator power source, and the power source is a working type Link processing chambers and It is configured to provide rF power to the RF power splitter, which in turn provides RF power to the susceptor and shower head according to the selected power ratio. I will describe in detail later "This is a big novel difference in the previous PECVD reactor, which has only one lotus. The lotus head electrode is powered by an RF power source, and the susceptor electrode is grounded. The single RF power generator illustrated is preferably configured to provide RF power to the electrode, which can effectively develop a plasma processing environment inside the processing chamber and relatively Provide a predetermined bias voltage for semiconductor workpieces. For example, maintaining a better power deviation of the electrodes can help accelerate the ion or ion species toward the workpiece or the crystal, which can promote the coverage rate, especially when the aspect ratio is high. It is possible to obtain a more uniform and / or higher purity of the tritium or layer composition. Referring to FIG. 3 and according to another preferred practice of the present invention, a different type of PECVD reactor 30 is stated. Such a reactor includes an induction coil PECVD. The reactor 30 includes a processing chamber 31, and the inside of the chamber can carry out the application of the Chinese National Standard (CNS) A4 specification (2 丨0X297 mm) ---------- ^ ------ 1T ------ ^ (Please read the notes on the back before filling this page) Printed by a consumer cooperative 4 6 0 b ^ 6 A7 _ _____ B7 V. Description of the invention (6) Chemical vapor deposition process of the invention. The first electrode 32 is arranged inside the reactor and is configured to support at least one semiconductor workpiece, such as a crystal The first electrode 32 is powered by a better single RF power generator 18 (Fig. 1). According to the present invention, there can be more than one crystal wafer to be processed. Tishidian is outside the processing chamber 31 Including multiple coils, they can be powered by the same preferred single-RF power generator. "With reference to sections 2 and 3, including pEcVD reactors, which include individual electrodes, both are powered by a single RF power generator or power source. According to the first practice, the two-electrode system is disposed inside the processing chamber (Figure 2 according to the second preferred practice. At least one electrode system is disposed outside the processing chamber (Figure 3). The two electrodes of the best practice are all composed of a single electrode. The RF # rate generator is powered by the power generator 18 in Figure 1. As mentioned above, this represents a novel difference from the previous pecvD reactor, in which the two electrodes are not driven by RF power from a common single RF # rate source. In the fourth circle, the preferred RF power splitter is described in 36. In the preferred specific example, the power splitter 36 includes a transformer 38, which includes an input terminal or a primary winding 40 and an output terminal or a secondary winding. 42. The input terminal 40 is operatively coupled or connected to the rf power generator ι8 (FIG. 1) through a coaxial cable 44 and receives the power so generated. The β output terminal 42 includes at least two output terminals 15, 17 'which are working modes. Coupling or connection to the first and second electrodes 22, 26 (PECVD reactors in the second frame) or the first and second electrodes 32, 34 (PECVD reactors in FIG. 3). In the best practice, the 'output end contains More than two terminals, first and second The reactor constitutes the sole processing chamber electrode powered by it. The power divider 36 divides the input power supplied by the power generator 18 into the paper size applicable to the Chinese National Standard (CNS) Α4 specification (2! 0 × 297 mm) 9- -------- Install-(Please read the notes on the back before filling this page),? Τ Α7 _________ Β7_ V. Description of the invention (7) The first and second power components are configured, and then Supply individual electrodes. The output end of the better transformer supplies power to the first and second electrodes according to the selected power ratio (detailed later). The proper matching network 46 is used for impedance matching. Such networks typically include various The capacitive and inductive components are configured for impedance matching. This is shown in block diagram form in box 46. The Central Standards Bureau of the Ministry of Economic Affairs, Shelley Consumer Cooperation, Du Yinze According to a preferred aspect of the present invention, the RF power divider 36 includes a Central tapping transformer in which the output power to the first and second electrodes is approximately equal. This is desirable when the power divider 36 is used in combination with the PECVD reactor in Figure 2. However, in some cases it has been found that The power ratio of each electrode is proportional to the electrode 22, 26 surface area 24, 28 * Therefore, by changing or manipulating the surface area, the power ratio can be manipulated or selected and affects the number of "seen" of individual electrodes applied by this power component And the second power component. In the preferred specific example, such surface areas are different from each other. The surface area of the susceptor is larger than the surface area of the showerhead. In this way, a power difference can be developed based on a definable relationship. This relationship is determined by a predetermined relative amplitude. Composition, the amplitude is proportional to the inverse ratio of the fourth power of the surface area of the electrode ^ In other words, by changing the relative surface area ratio between the susceptor and the shower head, the applied power can be changed. In the preferred embodiment, the surface area of the second electrode or shower head 26 is smaller than the surface area of the first electrode or susceptor 22. This results in a higher amplitude of power applied to the head than the sensor. This allows the reactants introduced into the chamber 21 to be deposited in a better manner, so that high-energy species are extracted toward the electrode supporting the workpiece. Referring to Section 5 (a), another preferred power splitter is shown schematically at 36 °. This alternative to the better power divider can get the predetermined power difference without considering the electrodes ---------------—...___ 10 (Please read the precautions on the back before filling in the guestbook (Page) This paper size applies Chinese National Standard (CNS) A4 specification (210X297 mm) 460606 A7 B7 V. Description of the invention (8) The surface area ratio between and is independent of the surface area ratio, regardless of whether these electrodes belong to the reaction shown in Figure 2 This is true of the reactor or the reactor of FIG. 3. Where appropriate, the power splitter is marked with a similar number, the difference is attached by "a" or indicated by a different number. In this way, the power divider 36a includes an input terminal 40, which is any one of the working light-duty RF generator 18 (FIG. 1), and the output terminal 42a, which is any one of the working-type switching better reactors 20'30. In this way, the reactor 20 of the second circle does not need to have a susceptor electrode and a lotus head electrode, and their respective surface areas are relatively close to each other. The power divider 36a preferably allows the selected power ratio to be adjusted by changing the power supplied to the electrode. "In the preferred specific example as described above, the RF power divider includes a transformer having a plurality of secondary windings 42a. As indicated by 48 * can be grounded in varying ways. Still referring to Figure 5 and for illustration purposes, the output 42a is shown as containing nine sets of windings. By selectively grounding different windings or coils, different power ratios can be supplied to the shower head and sensor electrodes. For example, if the number 2 coil or winding is grounded as shown, the first electrode, that is, electrode 22 (picture 2) or 32 (picture 3), receives 2/9 or 22.2% of the input power of the power generator. In this way, the second electrode, that is, the electrode 26 (picture 2) or 34 (picture 3) receives 7/9 or 77.8 ° /. Correlation of input power β, if the coil or winding number 7 is grounded, the power distribution is reversed, that is, the first electrode receives 7/9 input power and the second electrode receives 2/9 input power. The power supplied to the better electrode can be changed to match different processing plans. In the illustrated example of the preferred embodiment, the power divider 36a can be changed by the end user to adjust the selected power ratio to match different processing plansa. This type of processing plan may provide a proper amount of power to the second electrode. Non-first electrode. In addition, the paper closest to the semiconductor paper standard is applicable to the Central European National Standard (CNS) A4 specification (21〇χ 297 directors) I ------; ------- \-I .-- tr- ----- 0 {Please read the notes on the back of Jing before filling out this page) Printed by the Shellfish Consumer Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs 11 Printed by the Shellfish Consumer Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs 460606 A7 _______B7_V. Invention Note (9) The power of the electrode is lower than the power supplied to the electrode farther from the workpiece. Thus, two preferred power dividers have been described. The first of these (Figure 4) produces excellent output power with approximately equal amplitude. Such a power divider is suitable for use in a reactor, such as reactor 20 in Fig. 2, in which the final power amplitude provided to the example electrode can be adjusted by changing the electrode surface area ratio. Such a power divider can also be used in combination with the reactor 30. In addition, and equally preferably, the power divider 36a (FIG. 5) allows the output power to be adjusted in a variable manner to a selected power ratio suitable for use in a reactor, such as reactor 20 in FIG. 2, where the electrodes may or may not have an electrode surface area. Meaningful change. In addition, these power dividers can be used preferably and in combination with the reactor 30 of FIG. 3. Referring to FIG. 6, a representative flowchart of a preferred method for processing semiconductor workpieces in combination with the aforementioned reactor is schematically shown in FIG. The preferred method includes first placing a semiconductor workpiece in a selected one of the aforementioned PECVD reactors before step 110. According to better practice, a susceptor is provided to support the workpiece inside the processing chamber. According to the specific example of Fig. 2, the shower head electrode 26 is arranged adjacent to the susceptor and is arranged inside the chamber for supplying gaseous reactants. According to the specific example in Fig. 3, at least one of the reactor electrodes is provided outside the chamber. At step 112, the gaseous reactants are supplied to the reactor chamber. At this time, at step 114, RF power from a preferred single or common RF power source is supplied. The rf power supplied at step 116 'is divided into first and second power components, which are selectively supplied to the aforementioned individual electrodes. For example, the first power component is applied to the first electrode in step 118. At step 120, a second power component is applied to the second electrode. The composition of the better applied power and other-composition

(請先閲讀背面之注意事項再填寫本育) ,装. 線--- i^n t 12 4 6 U l ? j A7 ____B7_ 五、發明説明(10 ) 差異係來自於電極表面積變化(第2圖)或功率分配器36a之 一次繞線或輸出端42a之變化選擇性接地(第5圖),根據較 佳實務,變壓器輸出線圈而非中心線圈可選擇性接地用於 改變功率組成分之相對幅度β此係指示為選擇性步驟122 ,其中個別使用者可選擇各反應器電極間之預定功率比。 於處理步驟124’且以預定功率比施加至選定電極,半導 體工件經處理而執行化學蒸氣沈積◊於步驟丨26,完成處 理,且根據前文說明處理次一工件。 遵照法令規定,本發明已經或多或少就結構及方法特 點做出特定說明。但須了解本發明絕非囿限於所示及所述 之特定特點,此處揭示之手段包含將本發明付諸執行之較 佳形式。因此本發明係以隨附之申請專利範圍之適當範圍 適當解說之任何形式或修改形式申請專利。 ----------^---^——:--1T------'^ (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 本紙張尺度朝中關家縣⑽s丨从胁(21()><297公慶) 4 6 Ο 6 Ο 〇 經濟部中央揉準局負工消费合作社印製 Α7 Β7 五、發明説明(ιι ) 元件標號對照 10…電漿增強之化學蒸氣 30…PECVD反應器 沈積反應器系統 31…處理腔室 12…供氣單元 32“·第一電極 14…化學蒸氣沈積反應器 34…第二電極 15…導線,端子 36,36a…功率分配器 16…RF功率分配器 38···變壓器 17…導線,端子 40…輸入端,第一繞線 18…RF功率產生器 42…輸出端,第二繞線 20…反應器 44…同軸纜線 21…處理腔室 46·*· S己 Μ $ ,才匡 22…第一電極 48…接地 24…第一電極表面積 100…流程圖 26…第二電極 110-26…步騨 28…第一電極表面積 -I I n 裝 ~~ .. ~ n 訂 線 (請先閲讀背面之注意ί·項再填寫本頁) 本紙伕尺度適用中國國家標準(〇^>戍4規格(2〖0父297公釐) 14(Please read the notes on the back before filling in this education), install. Thread --- i ^ nt 12 4 6 U l? J A7 ____B7_ V. Description of the invention (10) The difference is due to the change in electrode surface area (Figure 2 ) Or a winding of the power splitter 36a or a change in the output terminal 42a selectively grounded (Figure 5), according to better practice, the transformer output coil instead of the center coil can be selectively grounded to change the relative amplitude of the power component β This is indicated as an optional step 122, in which individual users can select a predetermined power ratio between the electrodes of each reactor. In processing step 124 'and applying the predetermined power ratio to the selected electrode, the semiconductor workpiece is processed to perform chemical vapor deposition. In step 26, the processing is completed, and the next workpiece is processed according to the previous description. In accordance with the statutory regulations, the present invention has more or less specified the structure and method characteristics. It should be understood, however, that the invention is in no way limited to the specific features shown and described, and the means disclosed herein include a preferred form of putting the invention into effect. Accordingly, the present invention is a patent application in any form or modification that is properly explained in the appropriate scope of the accompanying patent application scope. ---------- ^ --- ^ ——: --1T ------ '^ (Please read the notes on the back before filling out this page) Staff Consumer Cooperatives, Central Bureau of Standards, Ministry of Economic Affairs Printed paper scale toward Zhongguanjia County ⑽s 丨 Congxiu (21 () > < 297 Public Holiday) 4 6 Ο 6 〇 〇 Printed by the Central Government Bureau of the Ministry of Economic Affairs and Consumer Cooperatives A7 Β7 V. Description of the invention (Ι) Component reference 10 ... Plasma enhanced chemical vapor 30 ... PECVD reactor deposition reactor system 31 ... Processing chamber 12 ... Gas supply unit 32 "· First electrode 14 ... Chemical vapor deposition reactor 34 ... Second Electrode 15 ... wire, terminals 36, 36a ... power splitter 16 ... RF power splitter 38 ... transformer 17 ... wire, terminal 40 ... input, first winding 18 ... RF power generator 42 ... output, Two windings 20 ... reactor 44 ... coaxial cable 21 ... processing chamber 46 ... * 22, first Kuang 22 ... first electrode 48 ... ground 24 ... first electrode surface area 100 ... flow chart 26 ... second Electrode 110-26… Step 28… Surface area of the first electrode-II n installed ~~ .. ~ n Thread (please read the note on the back first) Complete this page) suitable for the present paper groom scale Chinese national standard (square ^ > 4-shu size (297 mm 2 parent 〖0) 14

Claims (1)

公告本 ^ 3'4 60 6 8. \)s ¾^.¾督龙財,---5-55.:¾工消脅合作社印製 申請專利範圍 第88102446號申請案申請專利範圍修正本 90.03.19. 1. 一種電容式電漿增強之化學蒸氣沈積反應器,其包含: 一處理腔室; 一感受器電極於該腔室内部*其係配置成可支撐至少 一半導體工件; 一蓮蓬頭電極於該腔室内部,其係工作式毗鄰該感受 器電極且配置成可提供氣態反應物至該腔室内部; 一單一射頻(RF)功率產生器,其係工作式耦合該感受 器電極及該蓮蓬頭電極’且配置成對該等電極供給 率而發展出一電漿處理環境於該腔室内及相對於該半導體 工件發展出一預定偏壓;及 一 RF功率分配器’其包含一變壓器,該變壓器具有 一個一次繞線與一個二次繞線,該一次繞線係耦接至該RF 功率產生器且該二次繞線係耦接至該等感受器電極與蓮蓬 頭電極,該RF功率分配器係配置成可介於該感受器電極 及該蓮蓬頭電極間以一選定之功率比提供來自該RF功率 產生器之功率給該等感受器電極及蓮蓮頭電極。 2·如申請專利範圍第1項之電漿增強之化學蒸氣沈積反應 器,其中該感受器電極及該蓮蓮頭電極具有彼此不同的表 面積,其該選定之功率比係與其間之一表面積比成比例。 3.如申請專利㈣第丄項之電毁增強之化學蒸氣沈積反應 器,其中該選定之功率比非為1:丨之比。 4·#中請㈣㈣第】項之電衆增強之化學蒸氣沈積反應 器’其中該RF功率分配器包含一包括一中央分接二次 ------------ 裝----_---訂------威 .无 _!·-:]ϋ.-.'·.'/.·';ίδ_3 再蛾 4本頁) ,Bulletin ^ 3'4 60 6 8. \) s ¾ ^ .¾Du Longcai, --- 5-55 .: ¾ Industrial Cooperative Cooperative Co., Ltd. Printed Patent Application No. 88102446 Application Patent Application Amendment 90.03 .19. 1. A capacitive plasma enhanced chemical vapor deposition reactor comprising: a processing chamber; a susceptor electrode inside the chamber * which is configured to support at least one semiconductor workpiece; a showerhead electrode on The interior of the chamber is operatively adjacent to the susceptor electrode and is configured to provide gaseous reactants to the interior of the chamber. A single radio frequency (RF) power generator is operatively coupled to the susceptor electrode and the showerhead electrode. And is configured to develop a plasma processing environment in the chamber and develop a predetermined bias voltage with respect to the semiconductor workpiece; and an RF power divider including a transformer, the transformer having a A primary winding and a secondary winding, the primary winding is coupled to the RF power generator and the secondary winding is coupled to the susceptor electrodes and the shower head electrode, and the RF power distributor is matched It is arranged to provide power from the RF power generator to the susceptor electrodes and the lotus head electrodes at a selected power ratio between the susceptor electrodes and the shower head electrodes. 2. The plasma enhanced chemical vapor deposition reactor according to item 1 of the patent application scope, wherein the susceptor electrode and the lotus head electrode have different surface areas from each other, and the selected power ratio is formed by a surface area ratio therebetween. proportion. 3. The chemical destruction deposition enhanced chemical vapor deposition reactor according to the item 申请 of the patent application, wherein the selected power ratio is not a ratio of 1: 丨. 4 · ## Please refer to the item [Electric Mass Enhanced Chemical Vapor Deposition Reactor ', where the RF power divider includes a central tap --_--- Order ------ Wei. No _! ·-:] Ϋ .-. '·.' /. · '; Ίδ_3 Again on page 4), 460 6 l B8 ΓΚ __________iis I六、申請專利範圍 ~ . — j 4 [ 線之變壓器’其具有至少二輸出端子,個別輸出端子係連 結至该專感受器電極及蓮篷頭電極之一。 5.如申請專利範圍第1項之電漿增強之化學蒸氣沈積反應 器,其中: i : 6亥功率分配器包含一包括一十央分接二次繞線之 變壓器; 該感受器電極及該蓮蓬頭電極具有彼此不同之個別表 面積;及 該選定之功率比係與介於其間之一表面積比成比例。 6_如申請專利範圍第1項之電漿增強之化學蒸氣沈積反應 器’其中該感受器電極及該蓮蓬頭電極具有大致相等的個 別表面積。 7 ‘如申請專利範圍第丨項之電漿增強之化學蒸氣沈積反應 器’其中該選定之功率比係可調整。 8. 如申請專利範圍第1項之電漿增強之化學蒸氣沈積反應 器,其中該RF功率分配器包含一變壓器具有複數可變可 接地一次繞線用於改變該選定之功率比。 9. 如申請專利範圍第丨項之電漿增強之化學蒸氣沈積反應 器,其中該感受器電極及該蓮蓮頭電極具有個別表面積為 大致相等,及該選定之功率比為可調整。 1〇_如申請專利範圍第1項之電漿增強之化學蒸氣沈積反 應器,其中該感受器電極及該蓮蓬頭電極具有個別表面積 為大致相等,且該RF功率分配器包含複數可變接地二次 繞線用於調整該選定之功率比。 注念^^'5'.、再硬Η本頁) 蚜-部"慧时十-_-贷工消費合作钍印製 ---,裝------訂------旅——^------- 本紙張纽適用中^^"家標率({:\5)从規格(;:10.〇公擎'1 ' 六 ^-¾.^¾时4 ν'··!;ττ工消費合作社印製 46Qg 八^ B8 CS ___ D8 申諸專利範圍 11. 一種平行板電漿增強之化學蒸氣沈積反應器,其包 含: 一處理腔室: 一感受器電極於該腔室内,且配置成可支撐至少一半 導體工件’該感受器電極包含一第一表面積; 一蓮蓬頭電極於該腔室内,且配置成可供給反應物至 該腔室内部,該蓮蓬頭電極包含一第二表面積其係小於該 第一表面積;及 一單一 RF功率源係工作式耦合至該等蓮蓬頭電極及 感受器電極,且係配置成可根據一預先界定之相對幅度供 給RF功率至各電極而相對於由該感受器電極支撐之一半 導體工件發展出_預定偏壓’及於該處理腔室内部發展出 一電漿處理環境。 12. 如申請專利範圍第U項之平行板電漿增強之化學蒸氣 沈積反應器,其中該預先界定之相對幅度係與該等電極面 積之第四次幂之倒數比值成正比。 13. —種平行板電漿增強之化學蒸氣沈積反應器,其包 含: 一處理腔室; 一感受器電極於該腔室内,且配置成可支撐至少一半 導體工件,該感受器電極包含一第一表面積; 一蓮蓮頭電極於該腔室内工作式毗鄰該感受器電極且 配置成可提供氣態反應物至該腔室内部,該蓮蓬頭電極有 一蓮蓬頭表面積係小於該感受器電極表面積; 本紙張纥度適用中國國家揉牟(CMS ) A4規格(公螫:, 裝 訂 球· II —^ I. H. 4 60 ο Λί Γ8 L>S 々·中讳專利範圍 一單一 RF功率產生器,其係工作式結合該處理腔室 且配置成可提供RF功率;及 一變壓器,其具有一輸入端及一具有不多於二輸出端 子之分離分接第二繞線,該輪入端係工作式連結且可接收 來自該RF功率產生器之rF功率,及個別輸出端子係連結 该等感受器電極及蓮蓬頭電極’且係配置成可以與該等電 極面積比成比例之一選定功率比供給RF功率至各電極。 —種電漿增強之化學蒸氣沈積反應器,其包含: 一處理腔室; 一第一電極其係位於該腔室内部且配置成可支撐一工 件; 一第二電極其係工作式結合該腔室; 一單一 RF功率產生器其係配置成可供給rf功率; 一變壓器’其具有一輸入端及一輸出端,而僅有二輸 出端子其係與該反應器電極之任一者形成個別連結,輸入 端係工作式連結且接收來自該RF功率產生器之功率,該 等輸出端子係配置成可於一選定比供給RF功率至各電 極’該選定之功率比係可有效(a)相對一工件發展出一預 定偏壓’及(b)建立且維持於該處理腔室内部之一電漿處 理環境;及 該輸出端進一步包含複數繞線,其個別繞線可選擇性 接地用於改變供給個別電極之該RF功率及其選定之功率 比’其中鍵反應器為一感應線圈反應器且該第二電極係配 置於該腔室外部。 木紙張&度適财賴家縣(CNS ) A4規格(ϋΐηκπ公缚 二注您事唄再硪片本頁) 畔3湘暫葸$-4.:.'7:{4工消費合作社印製 ----i------、w---------- -18- φ:清專制範園 15. 如申請專利範圍第14項之電漿反應器,其中該等電極 之至夕一者係設置於或腔室内部且係配置用於支撑一半導 體工件。 16. —種電漿反應器,其包含: 一腔室’其界定一處理容積; —第一電極,其係工作式連結該腔室; 一第二電極’其係工作式連結該腔室; 一單一 RF功率產生器;及 一變壓器,其具有一個一次繞線與一分離二次繞線, 該一次繞線係連結至該RF功率產生器用於接收其產生之 功率,且该二次繞線包括二輸出端子,一輸出端子係連結 至該第一電極’且另一輸出端子係連結至該第二電極,該 二次繞線係根據一預定功率比供給功率至各該第一及第二 電極,其中該等電極其中之一係配置於該腔室外部。 17. 如申請專利範圍第16項之電漿反應器,其中該等第一 及第二電極包含彼此不同的個別表面積。 18. 如申請專利範圍第16項之電漿反應器,其中該二次繞 線包含複數可變可接地繞線用於改變該選定的功率比。 19_ 一種感應線圈電漿反應器,其包含: 一腔室,其界定一處理容積; 一第一電極,其係工作式連結該腔室; —第二電極’其係配置於該腔室外部且係工作式連結 該腔室; 一單一RF功率產生器;及 木紙張&度刺中國國家標準(CNS) Α4規格(公雄) 46 Bis 申請專利範圍 一變壓器’其具有一個一次繞線與一分離二次繞線, 該一次繞線係連結至該RF功率產生器用於接收其產生之 功率,且該二次繞線包括二輸出端子,一輸出端子係連結 至該第一電極’且另一輸出端子係連結至該第二電極,該 二次繞線包含複數可變可接地繞線用於改變該選定功率, 根據該預定功率比供給功率至各該第一及第二電極。 20. —種對處理腔室内部之半導體工件進行電漿增強之化 學蒸氣沈積材料之半導體處理方法,該方法包含: 提供一第一電極用於支撐一工件; 提供第二電極工作式結合該腔室,該等第—及第二電 極係構成該唯一處理腔室電極,相對於該電極將發展出一 預定偏壓及將形成一電漿處理環境; 經由一具有一個一次繞線與一個二次繞線之可變變壓 器施加來自該單一 RF功率產生器之RF功率至該等第一及 第二電極二者,該施加之功率界定該等第_與第二電極間 之該選定功率比不等於1 : 1之比;及 提供至少一反應性氣體於該處理腔室内部其可有效用 於化學;线沈積極切料處理腔 室内部之一晶圓上。 21. 如申請專利範圍第2〇項之半導趙處理方法,其中該第 二電極係設置於該腔室内部。 22. 如申請專利範圍第20項之半導體處理方法,其中該第 二電極係設置於該腔室外部。 ' ^ 23. 如申請專利範圍第20項之半導體處理方法,其 本紙張尺度適用t國國家標準(CNS ) A4規格【2丨 '^..-1·.ν:·事¾再喰4本頁) --- 裝---------訂 經4础贫慧时,消費合作杜印餐 --------- -20. 460606 Λ 8 ΒΗ CS 六 :.1— . ...... . ' . - . It n:l-ii - 1 J—Γ i · ·· ϋ· -I I if _ I i. --- —L 蟑·V7邮知日慧財-ίϊν :.H工消費合作社印製 中請專利範圍 該第二電極係設置於該腔室内部; 該第一電極包含一感受器電極具有一經界定之表面 積; 該第二電極包含一蓮蓬頭電極具有一經界定之表面 二注"事^再填."本頁) 穡’該蓮蓬頭電極係配置成可提供反應物至該腔室内部; 及 該專第一及第二電極之個別表面積係彼此不同。 24. 如申請專利範圍第20項之半導體處理方法,其中該施 用步驟包含: 形成一工作式連結介於該第一電極、該第二電極與該 變壓器之該二次繞線間; 形成一工作式連結介於該變壓器一次繞線與該單一 RF功率產生器間; 將RF功率產生器供給之RF功率分配成第—及第二功 率組成分; 施加該第一功率組成分至該第一電極;及 施加該第二功率組成分至該第二電極。 25. 如申請專利範圍第20項之半導體處理方法, 次其中該施 加步驟包含: 形成工作式連結介於該第一電極、該第二電極與該變 壓器之該二次繞線間; κ 形成工作式連結介於該變壓器之該—次繞 〇 RF功率產生器間; ' μ _ 分配該RF功率產生器供給之rf功率成為第一 及第二 本紙張兄度遶用中國國家標準(<:’奶)八4規格(::1〇/2*^公犛', -21- 46U&Q§ Λα BS cs _____ Ds .申諸專钊範圍 ~ 功率組成分; 施加該第一功率組成分至該第一電極; 施加該第二功率組成分至該第二電極;且 其中該二次繞線包含複數可變可接地線圈以啟動欲改 變之不同幅度之該等第一與第二功率組成分。 26. —種對處理腔室内部之半導體工件進行電漿增強之化 學蒸氣沈積材料之半導體處理方法,該方法包含: 提供一第一電極於該腔室内部用於支撐一工件; 提供一第二電極於該腔室内部; 提供一變壓器具有一個一次繞線及一個二次繞線,該 二次繞線包含複數線圈,其中一線圈包含一中央線圈; 形成工作式連結介於該一次繞線與一單一 RF功率產 生器間’該產生器係配置成可提供RF功率至該一次繞線 且包含該唯一 RF功率源其係工作式連結該處理腔室; 形成工作式連結介於該二次繞線與該等第一及第二電 極間’該連結構成該變壓器與任何處理腔室電極間之唯一 連結; 將該中心線圈以外之該等二次繞線線圈其中之一接地 而產生該等第一及第二功率组成分,其幅度比值不同,該 第一功率組成分係施加至該第一電極,及該第二功率組成 分係施加至該第二電極;及 提供至少一種反應性氣體於該處理腔室内部其可有效 用於化學蒸氣沈積一層材料於由該第一電極支撐拎該處理 腔室内部之一晶圓上。 木紙張兄度適用中國國家標率ί CNS丨Α4規格(210/:^公筹1 :Ϊ.1'::7Γ-背5:1注悉事唄再蛾^本頁) ---1— -< -- κ ---訂-------成-.—-I 蛵濟况智慧財.,¾¾工消費合作社印製 -22- 460606 }iS ('S i入、 •—π. -. τ. " " 11 " -一‘一.·_,“,,一〜一 一 _ ", * I .— --. J |六,申請專利範圍 ! 27·如申請專利範圍第26項之半導體處理方法,其中該第 一功率組成分係大於該第二功率組成分。 28.如申請專利範圍第26項之半導體處理方法,其中該變 壓器具有複數二次繞線線圈之它者選擇性接地用於改變該 等第一及第二功率組成分之相對幅度。 I I 29. —種化學蒸氣沈積材料於處理腔室内部之一半導體工 件上之半導體處理方法,其包含: 分配由一具有耗接至一單RF —功率源之_個一次燒 線之變壓器之一個二次繞線所產生之功率成為具有不同幅 度之該等第一及第二RF功率組成分,該第一功率源構成 結合該處理腔室之唯一 RF功率源; 以個別具有不等幅度之該等第一及第二RF#率組成 分僅供電給二處理腔室電極;及 提供至少一種反應性氣體於該處理腔室内部其可有效 化學蒸氣沈積一層材料層於該處理腔室内部由其中一電極 支撐之一晶圓上。 30. 如申請專利範圍第29項之半導體處理方法,其中該供 電包含: 以該第一 RF功率組成分供電一第一電極,該第一電 極係支撐至少一半導體工件用於加工處理;及 以該第二RF功率組成分供電一第二電極,該第二電 極之供電幅度比該第一電極更大β 31. 如申請專利範圍第29項之半導體處理方法,其中該等 處理腔室電極之至少一者係設置於該處理腔室外部。 木紙張足度㈣中®國家縣(〔,NS )_Λ4祕(:ΐη.χ. 2g7公勢:, ' .元-'..:;i'zc}f-a^"."本貫) :".-^-;"智慧財义'工消費合作社印製 i ----- 裝-------訂------咸 — ;-------, — -23 - 460606 & Be C8 ____D8 六、申請專利範圍 32. —種執行電漿增強之化學蒸氣沈積之半導體處理方 法,包含於沈積期間由一單一 RF#率產生器施加RF功率 至構成電漿增強之化學蒸氣沈積反應器之部件的僅二電 極,該單一RF功率產生器係構成連結反應器之唯一RF# 率產生器。 33. 如申請專利範圍第32項之半導體處理方法,其中該等 電極係設置於反應器内部且具有個別表面積彼此不同: 34·如申請專利範圍第32項之半導體處理方法,其中該等 電極中之至少一者係設置於反應器内部。 35·如申請專利範圍第32項之半導體處理方法,其中該反 應器為一電感線圈反應器。 36·如申請專利範圍第32項之半導體處理方法,其中該RF 功率係根據1 : 1以外之選定功率比施用至該等電極β ••Λ4事項再蛾.¾本筲― 裝----^--- :工消費合作杜印製 冰—----]| 本紙張又度邊用中國國家榡準() Α4規格{ 公筚. -24 -460 6 l B8 ΓΚ __________iis I. Scope of patent application ~. — J 4 [Line transformer 'has at least two output terminals, and individual output terminals are connected to one of the special sensor electrodes and the lotus head electrode. 5. The plasma-enhanced chemical vapor deposition reactor according to item 1 of the scope of the patent application, wherein: i: the power splitter includes a transformer including a 10-centimeter split secondary winding; the susceptor electrode and the shower head The electrodes have individual surface areas that are different from each other; and the selected power ratio is proportional to a surface area ratio in between. 6_ The plasma enhanced chemical vapor deposition reactor according to item 1 of the patent application, wherein the susceptor electrode and the showerhead electrode have approximately equal individual surface areas. 7 'Plasma enhanced chemical vapor deposition reactor such as item 丨 of the patent application range', wherein the selected power ratio is adjustable. 8. The plasma enhanced chemical vapor deposition reactor according to item 1 of the patent application scope, wherein the RF power divider comprises a transformer having a plurality of variable and groundable primary windings for changing the selected power ratio. 9. For example, the plasma enhanced chemical vapor deposition reactor according to the scope of the patent application, wherein the susceptor electrode and the lotus head electrode have individual surface areas that are approximately equal, and the selected power ratio is adjustable. 1〇_If the plasma enhanced chemical vapor deposition reactor of item 1 of the patent application scope, wherein the susceptor electrode and the shower head electrode have individual surface areas that are approximately equal, and the RF power divider includes a plurality of variable grounded secondary windings The line is used to adjust the selected power ratio. Note ^^ '5'., Then hard to read this page) Aphid-Ministry " huishi ten -_- loan labor and consumer cooperation 钍 printed ---, installed -------- order ----- -旅 —— ^ ------- This paper New Zealand is applicable ^^ " House standard rate ({: \ 5) from the specifications (;: 10.〇 公公 '1' 六 ^ -¾. ^ ¾ Hours 4 ν '·· !; ττ Industrial Cooperative Cooperative Printed 46Qg Eight B8 CS ___ D8 Patent Application Range 11. A parallel plate plasma enhanced chemical vapor deposition reactor comprising: a processing chamber: a susceptor An electrode is in the chamber and is configured to support at least one semiconductor workpiece. The susceptor electrode includes a first surface area; a shower head electrode is disposed in the chamber and is configured to supply reactants to the interior of the chamber. The shower head electrode includes A second surface area is smaller than the first surface area; and a single RF power source is operatively coupled to the showerhead electrodes and susceptor electrodes, and is configured to supply RF power to the electrodes according to a predefined relative amplitude, Relative to a semiconductor workpiece supported by the susceptor electrode, a _predetermined bias voltage was developed and developed inside the processing chamber. A plasma processing environment is exhibited. 12. For example, the parallel plate plasma enhanced chemical vapor deposition reactor of the U patent application range, wherein the pre-defined relative amplitude is the reciprocal of the fourth power of the electrode area. The ratio is proportional. 13. A parallel plate plasma enhanced chemical vapor deposition reactor comprising: a processing chamber; a susceptor electrode in the chamber, and configured to support at least one semiconductor workpiece, the susceptor electrode includes A first surface area; a lotus head electrode working adjacent to the susceptor electrode in the chamber and configured to provide gaseous reactants to the interior of the chamber, the shower head electrode having a shower head surface area smaller than the surface area of the susceptor electrode; Degree Applicable to China National Mou (CMS) A4 Specification (Public Binding :, Binding Ball · II — ^ IH 4 60 ο Λί Γ8 L > S 々 · Zhongbao patent scope a single RF power generator, which works in combination with the A processing chamber configured to provide RF power; and a transformer having an input terminal and one having no more than two output terminals The second winding is separated and tapped, the wheel-in end is working-type connection and can receive rF power from the RF power generator, and the individual output terminals are connected to the susceptor electrodes and shower head electrodes' and are configured to be connected with the Equal electrode area ratio is proportional to one selected power ratio to supply RF power to each electrode.-A plasma enhanced chemical vapor deposition reactor comprising: a processing chamber; a first electrode located inside the chamber and It is configured to support a workpiece; a second electrode is operatively combined with the chamber; a single RF power generator is configured to supply rf power; a transformer 'has an input end and an output end, and only There are two output terminals which form an individual connection with any of the reactor electrodes. The input terminals are working connections and receive power from the RF power generator. The output terminals are configured to supply RF at a selected ratio. Power to each electrode 'The selected power ratio is effective (a) a predetermined bias voltage is developed relative to a workpiece' and (b) is established and maintained within one of the processing chambers. Pulp processing environment; and the output terminal further includes a plurality of windings, each of which can be selectively grounded to change the RF power supplied to an individual electrode and its selected power ratio, where the key reactor is an induction coil reactor and The second electrode is disposed outside the chamber. Wood paper & Du Shicai Laijia County (CNS) A4 specification (ϋΐηκπ 公 缚 2 二 你 事 呗 一 硪 片 本页) 3 湘 葸 -4 $ -4.:. '7: {Printed by 4consumer cooperatives Manufacturing ---- i ------, w ---------- -18- φ: Qingfan Fanyuan 15. If the plasma reactor of the 14th patent application scope, where One of the equal electrodes is disposed inside the or chamber and is configured to support a semiconductor workpiece. 16. A plasma reactor comprising: a chamber 'which defines a processing volume;-a first electrode which is operatively connected to the chamber; a second electrode' which is operatively connected to the chamber; A single RF power generator; and a transformer having a primary winding and a separate secondary winding, the primary winding is connected to the RF power generator for receiving power generated by the secondary winding, and the secondary winding It includes two output terminals, one output terminal is connected to the first electrode and the other output terminal is connected to the second electrode, and the secondary winding system supplies power to each of the first and second terminals according to a predetermined power ratio. An electrode, wherein one of the electrodes is disposed outside the chamber. 17. The plasma reactor of claim 16 wherein the first and second electrodes include individual surface areas that are different from each other. 18. The plasma reactor of claim 16, wherein the secondary winding comprises a plurality of variable groundable windings for changing the selected power ratio. 19_ An induction coil plasma reactor comprising: a chamber defining a processing volume; a first electrode which is operatively connected to the chamber; a second electrode 'which is arranged outside the chamber and A single RF power generator; and wood paper & Dossier China National Standard (CNS) A4 specification (male and male) 46 Bis patent application scope a transformer 'it has a primary winding and a separation Secondary winding, the primary winding is connected to the RF power generator for receiving the power generated by the secondary winding, and the secondary winding includes two output terminals, one output terminal is connected to the first electrode, and the other output The terminal is connected to the second electrode. The secondary winding includes a plurality of variable groundable windings for changing the selected power, and supplies power to each of the first and second electrodes according to the predetermined power ratio. 20. —A semiconductor processing method for plasma-enhanced chemical vapor deposition material for semiconductor workpieces inside a processing chamber, the method comprising: providing a first electrode for supporting a workpiece; providing a second electrode to work in conjunction with the cavity Chamber, the first and second electrodes constitute the sole processing chamber electrode, a predetermined bias voltage will be developed relative to the electrode and a plasma processing environment will be formed; The wound variable transformer applies RF power from the single RF power generator to both the first and second electrodes. The applied power defines that the selected power ratio between the first and second electrodes is not equal to A ratio of 1: 1; and providing at least one reactive gas inside the processing chamber which can be effectively used for chemistry; wire deposition on a wafer inside one of the processing chambers. 21. The semi-conducting Zhao treatment method according to the scope of application for patent No. 20, wherein the second electrode is disposed inside the chamber. 22. The semiconductor processing method according to claim 20, wherein the second electrode is disposed outside the chamber. '^ 23. If the semiconductor processing method in the scope of patent application No. 20 is applied, the paper size is applicable to the national standard (CNS) A4 specification [2 丨' ^ ..- 1 · .ν: · 事 ¾ and then 4 copies (Page) --- Loading --------- When ordering the 4 basics of wisdom, consumption cooperation Du Indian meal -------- -20. 460606 Λ 8 ΒΗ CS 6: .1— ... '.-. It n: l-ii-1 J—Γ i ··· ϋ · -II if _ I i. --- —L cockroach · V7 ίϊν:. The scope of patents printed in the printing industry of the Consumer Cooperative Co., Ltd. The second electrode is arranged inside the chamber; the first electrode includes a susceptor electrode with a defined surface area; the second electrode includes a shower head electrode with a defined The second surface of the note is "filled again." This page) 穑 'The showerhead electrode is configured to provide reactants to the interior of the chamber; and the individual surface areas of the first and second electrodes are different from each other. 24. The semiconductor processing method of claim 20, wherein the applying step includes: forming a working connection between the first electrode, the second electrode and the secondary winding of the transformer; forming a job The type connection is between the primary winding of the transformer and the single RF power generator; the RF power supplied by the RF power generator is divided into first and second power components; the first power component is applied to the first electrode ; And applying the second power component to the second electrode. 25. The semiconductor processing method according to claim 20, wherein the applying step includes: forming a working connection between the first electrode, the second electrode, and the secondary winding of the transformer; κ forming work The type connection is between the transformer and the secondary winding RF power generator; 'μ _ allocates the rf power supplied by the RF power generator to become the first and second paper bypassing Chinese national standards (<: 'Milk' eight 4 specifications (:: 1〇 / 2 * ^ 公 牦 ', -21- 46U & Q§ Λα BS cs _____ Ds. Shen Zhuzhuo Zhao ~ power component points; apply the first power component points to The first electrode; applying the second power component to the second electrode; and wherein the secondary winding includes a plurality of variable groundable coils to activate the first and second power components of different amplitudes to be changed 26. A semiconductor processing method for plasma-enhanced chemical vapor deposition material for processing semiconductor workpieces inside a processing chamber, the method comprising: providing a first electrode inside the chamber for supporting a workpiece; providing A second electrode is located inside the chamber; a transformer is provided having a primary winding and a secondary winding, the secondary winding including a plurality of coils, wherein one coil includes a central coil; forming a working connection between the primary windings Between a line and a single RF power generator, the generator is configured to provide RF power to the primary winding and includes the only RF power source, which is operatively connected to the processing chamber; forming a operative connection between the two The connection between the secondary winding and the first and second electrodes constitutes the only connection between the transformer and any processing chamber electrode; grounding one of the secondary winding coils other than the center coil generates the After the first and second power components have different amplitude ratios, the first power component is applied to the first electrode, and the second power component is applied to the second electrode; and at least one kind of reactivity is provided; The gas inside the processing chamber can be effectively used for chemical vapor deposition of a layer of material on one of the wafers supported by the first electrode and inside the processing chamber. Applicable to China ’s national standard ί CNS 丨 Α4 specifications (210 /: ^ public fundraising 1: Ϊ.1 ':: 7Γ-back 5: 1 note 呗 呗 moth ^ this page) --- 1—-<- -κ --- Order ------- Cheng -.--- I Economic Situation Smart Money. Printed by ¾¾Working Consumer Cooperatives-22- 460606} iS ('S i 入, • —π.-. τ. " " 11 " -One 'one. · _, ",, one ~ one one_ ", * I .—-. J | VI, patent application scope! 27 · If the patent application scope The semiconductor processing method of 26 items, wherein the first power component is greater than the second power component. 28. The semiconductor processing method of claim 26, wherein the transformer has a plurality of secondary winding coils selectively grounded to change the relative amplitude of the first and second power components. II 29. A semiconductor processing method of a chemical vapor deposition material on a semiconductor workpiece inside a processing chamber, comprising: allocating one of a transformer with a single burnout to a single RF-power source The power generated by the secondary winding becomes the first and second RF power components with different amplitudes, and the first power source constitutes the only RF power source combined with the processing chamber; The first and second RF # components are only supplied to the two processing chamber electrodes; and at least one reactive gas is provided inside the processing chamber, which can effectively deposit a layer of material by chemical vapor in the processing chamber. An electrode is supported on one wafer. 30. The semiconductor processing method of claim 29, wherein the power supply includes: powering a first electrode with the first RF power component, the first electrode supporting at least one semiconductor workpiece for processing; and The second RF power component is divided into a power supply for a second electrode, and the power supply range of the second electrode is larger than that of the first electrode. 31. For example, the semiconductor processing method of the 29th scope of the patent application, wherein At least one is disposed outside the processing chamber. Wood Paper Fullness Zhongzhong® Country County ([, NS) _Λ4 Secret (: ΐη.χ. 2g7 Public momentum :, '. 元-' .. :: i'zc} fa ^ ". &Quot; 本 贯) : " .- ^-; " Wisdom Wealth 'Printed by i-Consumer Cooperatives ----- Install --------- Order ------ Salty ------------ , — -23-460606 & Be C8 ____D8 VI. Application for patent scope 32. — A semiconductor processing method for performing plasma enhanced chemical vapor deposition, including the application of RF power to the composition by a single RF # rate generator during deposition There are only two electrodes of the components of the plasma enhanced chemical vapor deposition reactor, and this single RF power generator constitutes the only RF # rate generator connected to the reactor. 33. If the semiconductor processing method according to item 32 of the patent application, wherein the electrodes are arranged inside the reactor and have individual surface areas which are different from each other: 34. If the semiconductor processing method according to item 32 of the patent application, wherein At least one of them is provided inside the reactor. 35. The semiconductor processing method as claimed in claim 32, wherein the reactor is an inductor coil reactor. 36. The semiconductor processing method according to item 32 of the patent application scope, wherein the RF power is applied to the electrodes according to a selected power ratio other than 1: 1. ^ ---: industrial-consumer cooperation Du printed ice-making —----] | This paper is also using the Chinese national standard () Α4 specifications {公 筚. -24-
TW088102446A 1998-02-19 1999-03-15 RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition TW460606B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/026,042 US6395128B2 (en) 1998-02-19 1998-02-19 RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition

Publications (1)

Publication Number Publication Date
TW460606B true TW460606B (en) 2001-10-21

Family

ID=21829553

Family Applications (1)

Application Number Title Priority Date Filing Date
TW088102446A TW460606B (en) 1998-02-19 1999-03-15 RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition

Country Status (9)

Country Link
US (5) US6395128B2 (en)
EP (2) EP1764822A3 (en)
JP (1) JP3527201B2 (en)
KR (1) KR100388530B1 (en)
AT (1) ATE346375T1 (en)
AU (1) AU2767499A (en)
DE (1) DE69934101T2 (en)
TW (1) TW460606B (en)
WO (1) WO1999043018A1 (en)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6395128B2 (en) * 1998-02-19 2002-05-28 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6562684B1 (en) 2000-08-30 2003-05-13 Micron Technology, Inc. Methods of forming dielectric materials
US6838380B2 (en) * 2001-01-26 2005-01-04 Fei Company Fabrication of high resistivity structures using focused ion beams
US6660651B1 (en) 2001-11-08 2003-12-09 Advanced Micro Devices, Inc. Adjustable wafer stage, and a method and system for performing process operations using same
US6861105B2 (en) * 2002-06-18 2005-03-01 Guardian Industries Corp. Method of making automotive trim with chromium inclusive coating thereon, and corresponding automotive trim product
US7406245B2 (en) * 2004-07-27 2008-07-29 Lumitex, Inc. Flat optical fiber light emitters
US7625460B2 (en) * 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7042311B1 (en) * 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
KR101183140B1 (en) * 2004-05-06 2012-09-14 주성엔지니어링(주) Process apparatus which uses pulse RF power, and method of processing a substrate using the same
US20050258148A1 (en) * 2004-05-18 2005-11-24 Nordson Corporation Plasma system with isolated radio-frequency powered electrodes
JP4526540B2 (en) * 2004-12-28 2010-08-18 株式会社日立国際電気 Substrate processing apparatus and substrate processing method
TW200834671A (en) * 2007-02-12 2008-08-16 Innolux Display Corp Plasma enhanced chemical vapor deposition device
US7863582B2 (en) * 2008-01-25 2011-01-04 Valery Godyak Ion-beam source
EP2145979A1 (en) * 2008-07-16 2010-01-20 AGC Flat Glass Europe SA Method and installation for depositing layers on both sides of a substrate simultaneously
EP2145978A1 (en) * 2008-07-16 2010-01-20 AGC Flat Glass Europe SA Method and installation for depositing layers on a substrate
US8617668B2 (en) * 2009-09-23 2013-12-31 Fei Company Method of using nitrogen based compounds to reduce contamination in beam-induced thin film deposition
US8755204B2 (en) * 2009-10-21 2014-06-17 Lam Research Corporation RF isolation for power circuitry
US9598771B2 (en) 2011-08-30 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric film defect reduction
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9484233B2 (en) 2012-04-13 2016-11-01 Novellus Systems, Inc. Carousel reactor for multi-station, sequential processing systems
US9449795B2 (en) 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
JP5788616B1 (en) 2015-02-04 2015-10-07 株式会社京三製作所 High frequency power supply and dual cathode power supply
CN113445029A (en) * 2020-03-25 2021-09-28 拓荆科技股份有限公司 Double-sided deposition apparatus and method

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
JPS63193527A (en) 1987-02-06 1988-08-10 Mitsubishi Electric Corp Etching apparatus
US5230931A (en) 1987-08-10 1993-07-27 Semiconductor Energy Laboratory Co., Ltd. Plasma-assisted cvd of carbonaceous films by using a bias voltage
US4887005A (en) 1987-09-15 1989-12-12 Rough J Kirkwood H Multiple electrode plasma reactor power distribution system
US4871421A (en) * 1988-09-15 1989-10-03 Lam Research Corporation Split-phase driver for plasma etch system
JPH02213480A (en) 1989-02-14 1990-08-24 Nippon Light Metal Co Ltd Aluminum electrode for generating high frequency plasma
JPH02298024A (en) 1989-05-12 1990-12-10 Tadahiro Omi Reactive ion etching apparatus
JP3016821B2 (en) 1990-06-15 2000-03-06 東京エレクトロン株式会社 Plasma processing method
FR2663806A1 (en) 1990-06-25 1991-12-27 Commissariat Energie Atomique Plasma reactor of the triode type, usable in particular for etching, deposition or cleaning of surfaces
DE4025396A1 (en) * 1990-08-10 1992-02-13 Leybold Ag DEVICE FOR PRODUCING A PLASMA
US5052339A (en) 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
JPH04362091A (en) 1991-06-05 1992-12-15 Mitsubishi Heavy Ind Ltd Plasma chemical vapor deposition apparatus
US5260236A (en) 1991-06-07 1993-11-09 Intel Corporation UV transparent oxynitride deposition in single wafer PECVD system
JPH05175163A (en) * 1991-12-24 1993-07-13 Mitsubishi Electric Corp Plasma processing device
EP0552491B1 (en) 1992-01-24 1998-07-15 Applied Materials, Inc. Plasma etch process and plasma processing reactor
JP3122228B2 (en) 1992-05-13 2001-01-09 忠弘 大見 Process equipment
US5567267A (en) 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5665167A (en) * 1993-02-16 1997-09-09 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus having a workpiece-side electrode grounding circuit
JP3351843B2 (en) 1993-02-24 2002-12-03 忠弘 大見 Film formation method
US5439524A (en) 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5433786A (en) 1993-08-27 1995-07-18 The Dow Chemical Company Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein
US5468296A (en) 1993-12-17 1995-11-21 Lsi Logic Corporation Apparatus for igniting low pressure inductively coupled plasma
US5435881A (en) * 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
KR100333220B1 (en) 1994-05-13 2002-11-30 어플라이드 머티어리얼스, 인코포레이티드 Magnetically reinforced medium-capacity plasma generators and related methods
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JPH0831806A (en) * 1994-07-20 1996-02-02 Hitachi Ltd Plasma processing device
US5607542A (en) 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
EP0831679B1 (en) 1995-06-05 2008-10-01 Musashino Kikai Co., Ltd. Power supply for multielectrode discharge
US5656123A (en) 1995-06-07 1997-08-12 Varian Associates, Inc. Dual-frequency capacitively-coupled plasma reactor for materials processing
TW434745B (en) 1995-06-07 2001-05-16 Tokyo Electron Ltd Plasma processing apparatus
JP2814370B2 (en) 1995-06-18 1998-10-22 東京エレクトロン株式会社 Plasma processing equipment
US5865937A (en) * 1995-08-21 1999-02-02 Applied Materials, Inc. Broad-band adjustable power ratio phase-inverting plasma reactor
KR100201386B1 (en) * 1995-10-28 1999-06-15 구본준 Reaction gas injecting apparatus of chemical vapor deposition apparatus
EP0776991B1 (en) 1995-12-05 2002-02-06 Applied Materials, Inc. Plasma annealing of thin films
JPH09167755A (en) 1995-12-15 1997-06-24 Nec Corp Plasma oxide film processor
JP2882339B2 (en) * 1996-02-21 1999-04-12 日本電気株式会社 Etching method in tungsten CVD reaction chamber
US5981899A (en) 1997-01-17 1999-11-09 Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
US6395128B2 (en) 1998-02-19 2002-05-28 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition

Also Published As

Publication number Publication date
EP1057205A1 (en) 2000-12-06
US6705246B2 (en) 2004-03-16
AU2767499A (en) 1999-09-06
US6533894B2 (en) 2003-03-18
DE69934101D1 (en) 2007-01-04
EP1764822A2 (en) 2007-03-21
KR100388530B1 (en) 2003-06-25
US6159867A (en) 2000-12-12
KR20010086231A (en) 2001-09-10
WO1999043018A1 (en) 1999-08-26
JP2002504749A (en) 2002-02-12
DE69934101T2 (en) 2007-06-21
EP1764822A3 (en) 2008-01-23
US20020056416A1 (en) 2002-05-16
US20010003270A1 (en) 2001-06-14
US6235646B1 (en) 2001-05-22
US20020061656A1 (en) 2002-05-23
US6395128B2 (en) 2002-05-28
EP1057205B1 (en) 2006-11-22
ATE346375T1 (en) 2006-12-15
JP3527201B2 (en) 2004-05-17

Similar Documents

Publication Publication Date Title
TW460606B (en) RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
TW509982B (en) Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
TW523830B (en) RF powered plasma enhanced chemical vapor deposition reactor and methods
TW511397B (en) Method and apparatus for improved plasma processing uniformity
TW434636B (en) RF matching network with distributed outputs
TWI618456B (en) Plasma treatment systems and methods for uniformly distributing radiofrequency power between multiple electrodes
TW507256B (en) Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
TW432489B (en) Feedthrough overlap coil
US20070224364A1 (en) Plasma processing apparatus, plasma processing method, plasma film deposition apparatus, and plasma film deposition method
JP3964951B2 (en) Equipment for coating substrates
TW200917362A (en) Inductively coupled dual zone processing chamber with single planar antenna
KR20130114203A (en) Microwave power delivery system for plasma reactors
TW396384B (en) Modulated power for ionized metal plasma deposition
CN107452589A (en) Plasma processing apparatus and method of plasma processing
EP1919264A1 (en) Device for forming a film by deposition from a plasma
JPH0357191B2 (en)
TW404146B (en) Equipment for fabricating semiconductor device using plasma
TW200945434A (en) Method for manufacturing large-area vacuum plasma treated substrates and vacuum plasma treatment apparatus
JP2628529B2 (en) Plasma CVD equipment
JPS62291922A (en) Plasma processor
WO1997044141B1 (en) Method and apparatus for dual-frequency plasma-enhanced cvd
JPH0375297A (en) Synthesis of diamond thin film
Kaneko et al. Production of VHF excited H2 Plasma by New Method of Superposing the Standing Waves
JPH0361372A (en) Thin film forming device
JP3763894B2 (en) BN ▲ x ▼ membrane

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees