TW404146B - Equipment for fabricating semiconductor device using plasma - Google Patents

Equipment for fabricating semiconductor device using plasma Download PDF

Info

Publication number
TW404146B
TW404146B TW088100025A TW88100025A TW404146B TW 404146 B TW404146 B TW 404146B TW 088100025 A TW088100025 A TW 088100025A TW 88100025 A TW88100025 A TW 88100025A TW 404146 B TW404146 B TW 404146B
Authority
TW
Taiwan
Prior art keywords
electrode
separation electrode
semiconductor device
separation
processing chamber
Prior art date
Application number
TW088100025A
Other languages
Chinese (zh)
Inventor
Yeon-Hyei Lee
Young-Woo Lee
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Application granted granted Critical
Publication of TW404146B publication Critical patent/TW404146B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

A semiconductor device fabrication equipment using a plasma. The equipment includes a processing chamber in which a semiconductor device fabrication process using a plasma takes place, a reactant gas supplying means for supplying a reactant gas to the processing chamber, at least one electrode group provided inside the processing chamber and comprised of a plurality of split electrodes split by an insulating means, and at least one radio frequency (RF) generator for applying RF power to the respective split electrodes of the electrode group. A plasma having uniform intensity throughout the inside of the processing chamber can be formed by independently controlling the respective split electrodes, thereby easily controlling etch rate, critical dimension and uniformity of a wafer to be processed.

Description

經濟部中央標準局員工消費合作社印製 404146 5 五、發明説明(1 ) 本發明大體有關於一種使用電漿製造半導體元件之 設備’更特別地說,係關於一種半導體元件製造設備,其 可在處理室的整個内部形成均一強度的電漿。 傳統上,在半導體元件製造程序中,諸如喷濺、電 聚增強的化學蒸氣沈積(PECVD)或電漿蝕刻,一反應氣體 被轉換成電漿狀態,以便形成一薄膜於晶圓上,或蝕刻晶 圓的預定區域。 電漿輔助式半導體元件製造程序發生的處理室被歸 類為CCP(電容耦合電漿)式處理室和ICP(感應耦合電漿)式 處理室。CCP式處理室被建構成在處理室内部提供多重電 極’且一無線電頻率(RF)發生器被連接到分別的電極,使 得從RF發生器產生之rf動力被施加於分別的電極,以便 使反應氣體轉換成一電漿狀態。ICP式處理室被建構成在 處理室内提供多重電極,一線圈被提供於處理室内部或外 部,且一無線電頻率(RF)發生器被連接到電極和線圈,使 得產生自RF發生器之RF動力被施加於分別的電極,以便 將反應氣體轉換成電漿狀態。 第1圖係一傳統之使用電漿的半導體元件製造設備的 示意圖,第2圖係第1圖所示之下電極的外觀圖。 參考第1圖,提供一種處理室10,使用電漿的乾性蝕 刻程序在處理室10中進行。一上電極14被架設於該處理室 10之上部份,一具有待蝕刻晶圓26之下電極12被設置於處 理室10之下部份。 用以施加RF動力到下電極12的RF發生器30被連接到 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公着) ----------裝-- ('請先"讀背面之注$項^4,;寫本頁) 、11 .線' 4 404146 A7 B7 經濟部中央樣準局員工消費合作社印製 五、發明説明(2 ) 下電極12。一用以控制下電極12之溫度的冷卻器28被連接 到下電極12。RF發生器和上電極14分別被接地。 而且’處理室10之上部份被連接到一反應氣體供應 源12 ’反應氣體透過一反應氣體供應管路22而被儲存在該 反應氣體供應源21中。一閥24被架設在該反應氣體供應管 路22上。 處理室10之下部份透過一真空管路16被連接到一真 空泵浦18。一閥20被架設於該真空管路16上。 當架設於真空管路16上的閥20被打開且真空泵浦18 運轉時’處理室10的内部壓力狀態變成高真空狀態。 接著’當架設於反應氣體供應管路22之閥24打開時, 儲存於反應氣體供應源21的反應氣體被供應到處理室1〇。 然後,當RF動力被RF發生器30施加於下電極12時, 下電極12和上電極14之間產生電場,且自由電子從下電極 12放射出。因此,自由電子因電場而得到動能以便加速, 並與反應氣體之氣體分子碰撞,然後將能量傳送到反應氣 體。得到能量之反應氣體被離子化而產生較多的離子。於 疋,形成的離子因電場得到動能而再次被加速,並與反應 氣體之氣體分子碰撞,以便將能量傳送到反應氣體。藉由 反覆上述的步驟,包含有陰離子、陽離子和原子群共存的 電漿被形成於處理室10中。 在上述電漿狀態中的陽離子和原子群揸擊到設置於 下電極12上端的晶圓26的表面,以便蝕刻晶圓26的一預定 區域。在蝕刻程序的過程中,下電極12的溫度被冷卻器“Printed by the Consumer Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs 404146 5 V. Description of the Invention (1) The present invention generally relates to a device for manufacturing semiconductor components using plasma. More specifically, it relates to a device for manufacturing semiconductor components, which can be used in A plasma of uniform strength is formed throughout the interior of the processing chamber. Traditionally, in semiconductor device manufacturing processes, such as sputtering, electro-enhanced chemical vapor deposition (PECVD), or plasma etching, a reactive gas is converted to a plasma state to form a thin film on a wafer, or to etch A predetermined area of the wafer. The processing chambers where the plasma-assisted semiconductor component manufacturing process occurs are classified into CCP (capacitively coupled plasma) type processing chambers and ICP (inductively coupled plasma) type processing chambers. The CCP-type processing chamber is constructed to provide multiple electrodes inside the processing chamber and a radio frequency (RF) generator is connected to the respective electrodes, so that the rf power generated from the RF generator is applied to the respective electrodes in order to make the reaction The gas is converted into a plasma state. The ICP type processing chamber is constructed to provide multiple electrodes in the processing chamber, a coil is provided inside or outside the processing chamber, and a radio frequency (RF) generator is connected to the electrodes and the coil, so that RF power generated from the RF generator They are applied to separate electrodes in order to convert the reaction gas into a plasma state. FIG. 1 is a schematic view of a conventional semiconductor device manufacturing device using a plasma, and FIG. 2 is an external view of a lower electrode shown in FIG. Referring to Fig. 1, a processing chamber 10 is provided, and a dry etching process using a plasma is performed in the processing chamber 10. An upper electrode 14 is erected on the upper portion of the processing chamber 10, and an lower electrode 12 with a wafer 26 to be etched is disposed on the lower portion of the processing chamber 10. The RF generator 30 for applying RF power to the lower electrode 12 is connected to this paper. Applicable to the Chinese National Standard (CNS) A4 specification (210X297) ---------- install-('Please First, read the note on the back of the item (^ 4, write this page), 11. Line '4 404146 A7 B7 Printed by the Consumer Cooperatives of the Central Bureau of Procurement, Ministry of Economic Affairs 5. Description of the invention (2) Lower electrode 12. A cooler 28 for controlling the temperature of the lower electrode 12 is connected to the lower electrode 12. The RF generator and the upper electrode 14 are grounded, respectively. Furthermore, the upper portion of the processing chamber 10 is connected to a reaction gas supply source 12 and the reaction gas is stored in the reaction gas supply source 21 through a reaction gas supply line 22. A valve 24 is erected on the reaction gas supply line 22. The lower part of the processing chamber 10 is connected to a vacuum pump 18 through a vacuum line 16. A valve 20 is mounted on the vacuum line 16. When the valve 20 mounted on the vacuum line 16 is opened and the vacuum pump 18 is operated, the internal pressure state of the processing chamber 10 becomes a high vacuum state. Next, when the valve 24 mounted on the reaction gas supply line 22 is opened, the reaction gas stored in the reaction gas supply source 21 is supplied to the processing chamber 10. Then, when RF power is applied to the lower electrode 12 by the RF generator 30, an electric field is generated between the lower electrode 12 and the upper electrode 14, and free electrons are emitted from the lower electrode 12. Therefore, free electrons gain kinetic energy due to the electric field in order to accelerate and collide with gas molecules of the reactive gas, and then transfer the energy to the reactive gas. The reaction gas receiving the energy is ionized to generate more ions. In 疋, the formed ions are accelerated again due to the kinetic energy obtained by the electric field, and collide with the gas molecules of the reaction gas to transfer energy to the reaction gas. By repeating the above-mentioned steps, a plasma including coexistence of anions, cations, and atomic groups is formed in the processing chamber 10. The cations and atomic groups in the above-mentioned plasma state strike the surface of the wafer 26 provided on the upper end of the lower electrode 12 to etch a predetermined region of the wafer 26. During the etching process, the temperature of the lower electrode 12 is cooled by the cooler "

(請先«.讀背面之注^|^項再^^本頁) -裝·(Please read the ^. ^ Item on the back ^ first, then ^^ this page)

,1T • · A7 B7 404146 五、發明説明( 控制’所以與下電極12接觸的晶圓26溫度亦被控制。 在使用電漿之傳統乾性蝕刻設備中,被施加到下電 極12之RF動力被單一的RF發生器3〇控制,且下電極^的 溫度被單一的冷卻器28控制,以致於產生於下電極以之電 場的強度根據區域而有不同。亦即,因為由預定區域(亦 即下電極12之中央部位或周緣部位)放射出的自由電子量 彼此不同’所以形成於下電極12之電衆強度根據區域而有 不同。 所以發生了在下電極26上之晶圓26之中央部位和 周緣部位之間蝕刻速率的不同,導致待蝕刻之薄膜的均勻 度的不同以及在重要尺寸上的偏差。特別是,近年來大直 徑晶圓(例如12英吋晶圓)的趨勢,已經加速上述問題的發 生。 欲解決上述問題,本發明之目的在於提供一種使用 電漿之半導體元件製造設備,藉由在多個架設於處理室内 的多個電極之間喷濺一或多個電極,可形成均一強度的電 漿,並獨立地控制分別的分離電極。 因此,欲得到上述目的,提供一種使用電漿之半導 體元件製造設備,包括:一處理室,利用電漿之半導體元 件製造程序係發生於其内;一用來將氣體供應到處理室的 反應氣體供應裝置;至少一電極群,被提供於處理室内部, 且包含多個利用絕緣裝置分離的分離電極;及至少一無線 電頻率(RF)發生器,用來施加1117動力於電極群分別的分 離電極上。 ^1------1T------^ Γ請先έ讀背面一之注意事項再填寫本頁)- · 經濟部中央標準局員工消費合作社印製 6 極群可包含第-分離電極、環繞第一分離電極的 第/7離電極、或呈同抽形狀互相緊鄰的第三分離電極。 第刀離電極的半控可相同或不同於第二或第三分離電極 之厚度。 電極群的每個分離電極更可包含一冷卻器,用來獨 立地控制分離電極的溫度。 本發明之上述目的和優點藉由關於附圖詳細說明其 一較佳實施例而變得較清楚,其中: 第1圖為一傳統之使用電漿的半導體元件製造設備的 示意圖; 第2圖為第1圖所示之下電極的外觀圖; 第3圖為根據本發明之一實施例之使用電漿的半導體 元件製造設備的示意圖; 第4圖為第3圖所示之下電極群的外觀圖; 第5圖為根據本發明另一實施例之使用電漿之半導體 製造设備之下電極群之外觀圖。 以下,本發明之較佳實施例將參考附圖詳細說明。 第3圖是根據本發明CCP(電容耦合電漿)型之乾性蝕 刻設備的—實施例的示意圓,第4圖是第3圖所示之下電極 群之外觀圖。 如第3圖所示,根據本發明之使用電漿的乾性蝕刻設 備包括一處理室40 ’使用電漿之乾性蝕刻程序係於處理室 40内發生。具有一待蝕刻晶圓60之下電極群46係形成於處 理至40之下部份。一上電極48被形成於處理室4〇之上部 經濟部中央標準局員工消費合作社印裝 404146 A7 B7五、發明説明(5 ) 份。如第4圖所示,下電極群46包含第一分離電極42和一 以絕緣裝置70而彼此緊鄰的第二分離電極44,該絕緣裝置 70係如一絕緣板或插置於第一和第二分離電極之間的間 隙。如第4圖所示,下電極群46之第一分離電極42為柱形, 且第二分離電極44為圓筒形,以便包圍第一分離電極42。 柱形第一分離電極42的半徑可相同或不同於圓筒形第二分 離電極44的壁厚。第一分離電極42被連接到第一 RF發生 器64,且第二分離電極44被連接到第二RF發生器68。而 且,第一分離電極42被連接到第一冷卻器62,藉由供應冷 卻水到第一分離電極内部以控制第一分離電極42之溫度。 第二分離電極44被連接到第二冷卻器66,藉由供應冷卻水 到第二分離電極44内部以控制第二分離電極44之溫度。第 一 RF發生器64、第二RF發生器68和上電極48分別被接地。 處理室40之上部份被連接到反應氣體供應源55,一 預定量的反應氣體係透過反應氣體供應管路56而被儲存在 該反應氣體供應源55内。一閥58被架設於反應氣體供應管 路56上。 而且,處理室40之下部份透過真空管路50而被連接 到真空泵浦52。一閥54被架設於真空管路50上。 所以,當架設於真空管路50之閥54打開且真空泵浦52 運轉時,處理室40的内部壓力變成特定的真空狀態。接著, 當架設於反應氣體供應管路56的閥58打開時,儲存於反應 氣體供應源5 5的反應氣體被供應到處理室4 0。 然後,當特定的RF動力被RF發生器68施加於第一分 I I I I I I 裝— I I I 訂 祿 (_請先1讀背面1注意事項再填寫本1). 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) .11 Λ* jiil! 1 404146 A7 B7 經濟部中央梂準局員工消費合作社印製 五、發明説明(6 ) 離電極42時’一均勻的電場可被產生於第一分離電極42。 而且,另一 RF動力被第二rf發生器68施加於第二分離電 極44。第一冷卻器62控制第一分離電極42的溫度到一特定 溫度,第二冷卻器66控制第二分離電極44的溫度到另一特 定溫度。 因此’ 一完全均勻的電場被產生於下電極群46之第 一分離電極42和上電極48之間、以及下電極群46之第二分 離電極44和上電極48之間。第一分離電極42和第二分離電 極44發射出相同量的自由電子。因此,自由電子因電場得 到動能而被加速,並與反應氣體之氣體分子碰撞,以便將 能量傳送到反應氣體。得到能量的反應氣體被離子化以產 生較多的離子。於是,形成的離子因電場得到動能而再次 被加速,並與反應氣體之氣體分子碰撞,然後將能.量傳送 到反應氣體。藉由反覆上述過程,具有均勻強度的電漿可 形成於整個處理室40内。 在上述電漿狀態的陽離子和原子群撞擊到位於下電 極群46上之待蝕刻晶圓60,以便蝕刻晶圓牝之預定區域。 此處,因為具有均勻強度的電漿被形成於處理室4〇内所 以晶圓60在其整個直徑上被均勻地蝕刻。 根據本發明之另一實施例,如第5圖所示,—下電極 群88可包含第一分離電極82、第二分離電極84和第三分離 電極86,他們係呈同軸形狀藉由插置一絕緣裝置8〇而互相 緊鄰,該絕緣裝置係諸如一絕緣板或一間隙❶如第5圖所 示者,下電極群88的第一分離電極82呈柱狀,第二分離電 丁 ·' * -, 1T • · A7 B7 404146 V. Description of the invention (Control 'so the temperature of the wafer 26 which is in contact with the lower electrode 12 is also controlled. In a conventional dry etching equipment using a plasma, the RF power applied to the lower electrode 12 is A single RF generator 30 is controlled, and the temperature of the lower electrode ^ is controlled by a single cooler 28, so that the intensity of the electric field generated by the lower electrode varies depending on the area. That is, because it is controlled by a predetermined area (ie The amount of free electrons emitted from the central portion or the peripheral portion of the lower electrode 12 is different from each other ', so the intensity of the electric mass formed on the lower electrode 12 varies depending on the region. Therefore, the central portion of the wafer 26 on the lower electrode 26 The difference in the etching rate between the peripheral parts leads to the difference in the uniformity of the thin film to be etched and the deviation in important dimensions. In particular, the trend of large-diameter wafers (such as 12-inch wafers) in recent years has accelerated the above. The problem arises. In order to solve the above problems, an object of the present invention is to provide a semiconductor device manufacturing equipment using a plasma, which is installed in a plurality of processing chambers. Spraying one or more electrodes between multiple electrodes can form a plasma of uniform strength, and independently control the separate electrodes. Therefore, to achieve the above purpose, a semiconductor device manufacturing device using a plasma is provided, including : A processing chamber, in which a semiconductor element manufacturing process using plasma occurs; a reaction gas supply device for supplying gas to the processing chamber; at least one electrode group is provided inside the processing chamber, and includes a plurality of Separated electrodes separated by an insulation device; and at least one radio frequency (RF) generator for applying 1117 power to the separated electrodes of the electrode group. ^ 1 ------ 1T ------ ^ Γ Please read the precautions on the back first and then fill out this page)-· The 6-pole group printed by the Consumer Cooperatives of the Central Standards Bureau of the Ministry of Economic Affairs may include a -separated electrode, a / 7th separated electrode surrounding the first separated electrode, or A third separation electrode in the same drawing shape next to each other. The half-control of the first separation electrode may be the same or different from the thickness of the second or third separation electrode. Each separation electrode of the electrode group may further include a cooler for independently controlling the temperature of the separation electrode. The above-mentioned objects and advantages of the present invention are made clearer by explaining a preferred embodiment in detail with reference to the accompanying drawings, in which: FIG. 1 is a schematic diagram of a conventional semiconductor element manufacturing equipment using a plasma; FIG. 2 is FIG. 1 is an external view of a lower electrode; FIG. 3 is a schematic diagram of a semiconductor device manufacturing apparatus using a plasma according to an embodiment of the present invention; FIG. 4 is an external view of a lower electrode group shown in FIG. FIG. 5 is an external view of an electrode group under a semiconductor manufacturing equipment using a plasma according to another embodiment of the present invention. Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings. Fig. 3 is a schematic circle of an embodiment of a dry etching device of the CCP (Capacitive Coupled Plasma) type according to the present invention, and Fig. 4 is an external view of the lower electrode group shown in Fig. 3. As shown in Fig. 3, the dry etching apparatus using a plasma according to the present invention includes a processing chamber 40 '. The dry etching process using a plasma occurs in the processing chamber 40. An electrode group 46 having a wafer 60 to be etched is formed at a portion processed to 40 below. An upper electrode 48 is formed in the upper part of the processing chamber 40, and is printed by the Consumer Cooperatives of the Central Standards Bureau of the Ministry of Economic Affairs 404146 A7 B7. (5) copies of the invention description. As shown in FIG. 4, the lower electrode group 46 includes a first separation electrode 42 and a second separation electrode 44 next to each other with an insulating device 70, which is an insulating plate or is inserted between the first and second electrodes. Separate the gap between the electrodes. As shown in FIG. 4, the first separation electrode 42 of the lower electrode group 46 is cylindrical, and the second separation electrode 44 is cylindrical so as to surround the first separation electrode 42. The radius of the cylindrical first separation electrode 42 may be the same or different from the wall thickness of the cylindrical second separation electrode 44. The first separation electrode 42 is connected to a first RF generator 64 and the second separation electrode 44 is connected to a second RF generator 68. Moreover, the first separation electrode 42 is connected to the first cooler 62, and the temperature of the first separation electrode 42 is controlled by supplying cooling water to the inside of the first separation electrode. The second separation electrode 44 is connected to the second cooler 66, and the temperature of the second separation electrode 44 is controlled by supplying cooling water to the inside of the second separation electrode 44. The first RF generator 64, the second RF generator 68, and the upper electrode 48 are grounded, respectively. The upper part of the processing chamber 40 is connected to a reaction gas supply source 55, and a predetermined amount of the reaction gas system is stored in the reaction gas supply source 55 through the reaction gas supply line 56. A valve 58 is mounted on the reaction gas supply pipe 56. Further, a lower portion of the processing chamber 40 is connected to a vacuum pump 52 through a vacuum line 50. A valve 54 is mounted on the vacuum line 50. Therefore, when the valve 54 mounted on the vacuum line 50 is opened and the vacuum pump 52 is operated, the internal pressure of the processing chamber 40 becomes a specific vacuum state. When the valve 58 installed in the reaction gas supply line 56 is opened, the reaction gas stored in the reaction gas supply source 55 is supplied to the processing chamber 40. Then, when the specific RF power is applied by the RF generator 68 to the first point IIIIII device — III ordering (_Please read the back 1 first note before filling in this 1). This paper size applies Chinese National Standard (CNS) A4 Specifications (210X297 mm) .11 Λ * jiil! 1 404146 A7 B7 Printed by the Consumer Cooperatives of the Central Bureau of Standards, Ministry of Economic Affairs 5. Description of the invention (6) A uniform electric field can be generated in the first separation when the electrode is 42 Electrode 42. Further, another RF power is applied to the second separation electrode 44 by the second rf generator 68. The first cooler 62 controls the temperature of the first separation electrode 42 to a specific temperature, and the second cooler 66 controls the temperature of the second separation electrode 44 to another specific temperature. Therefore, a completely uniform electric field is generated between the first separation electrode 42 and the upper electrode 48 of the lower electrode group 46 and between the second separation electrode 44 and the upper electrode 48 of the lower electrode group 46. The first separation electrode 42 and the second separation electrode 44 emit the same amount of free electrons. Therefore, the free electrons are accelerated by the kinetic energy obtained by the electric field, and collide with the gas molecules of the reactive gas, so as to transfer the energy to the reactive gas. The energized reaction gas is ionized to generate more ions. As a result, the formed ions are accelerated again by the kinetic energy of the electric field, collide with the gas molecules of the reaction gas, and then transfer the energy to the reaction gas. By repeating the above process, a plasma having uniform strength can be formed in the entire processing chamber 40. The cations and atomic groups in the plasma state described above collide with the wafer 60 to be etched on the lower electrode group 46 to etch a predetermined region of the wafer stack. Here, since a plasma having a uniform strength is formed in the processing chamber 40, the wafer 60 is uniformly etched over its entire diameter. According to another embodiment of the present invention, as shown in FIG. 5, the lower electrode group 88 may include a first separation electrode 82, a second separation electrode 84, and a third separation electrode 86, which are in a coaxial shape by being interposed. An insulation device 80 is next to each other, such as an insulation plate or a gap. As shown in FIG. 5, the first separation electrode 82 of the lower electrode group 88 has a columnar shape, and the second separation electrode D '. *-

r Cr C

ININ

9 A7 B7 404146 五、發明説明.(7 ) ' 極84為圓筒狀以便包圍第一分離電極82,第三分離電極% 是圓筒狀以便包圍第二分離電極84。第一分離電極82、第 二分離電極84和第三分離電極86係分別地被較佳地連接到 不同的RF發生器(未顯示)和冷卻器(未顯示),以便被獨立 地控制。 柱狀第一分離電極82的直徑、圓筒狀第二分離電極84 的壁厚 '以及圓筒狀第三分離電極86之壁厚可彼此相同或 不同。 在此實施例中,架設有兩個電極(亦即上電極和下電 極)之處理室已作為一例子來說明。然而,本發明亦可被 應用於一架設有三個電極(包括處理室之側壁)的處理室。 電漿強度可藉由架設一或多個電極群(被區分成多個分離 電極)來控制。 而且,在此實施中,一 CCP型處理室已當作一例子被 說明。然而,本發明可被應用於一ICP型處理室,藉由施 加RF動力到一架設於處理室之内部或外部以及多個電極 來形成一電聚。 雖然本發明在上面被說明為用於使用電漿的乾性蝕 刻設備’但是本發明可被用來支持使用電漿之任何型式的 半導體元件製造設備,諸如喷濺設備或化學蒸氣沈積設 備。 所以,根據本發明,在架設於處理室内部的多個電 極之間’ 一或多個電極係藉由一絕緣裝置使他們絕緣而分 離’且分離的電極被獨立地控制,藉以在處理室内形成均 尽紙fit从通用宁圏國家標準(CNS) A4規格(2丨QX297公董) ----------裝 —I ('請先1讀背面_之注意事項再,掩寫本1) 、1T· -旅_ 經濟部中央標準局貝工消費合作社印製 ,»***.?/^·Λ. 4041469 A7 B7 404146 V. Description of the invention. (7) The electrode 84 is cylindrical to surround the first separation electrode 82, and the third separation electrode% is cylindrical to surround the second separation electrode 84. The first separation electrode 82, the second separation electrode 84, and the third separation electrode 86 are preferably connected to different RF generators (not shown) and coolers (not shown), respectively, so as to be independently controlled. The diameter of the cylindrical first separation electrode 82, the wall thickness' of the cylindrical second separation electrode 84, and the wall thickness of the cylindrical third separation electrode 86 may be the same or different from each other. In this embodiment, a processing chamber with two electrodes (i.e., an upper electrode and a lower electrode) has been described as an example. However, the present invention can also be applied to a processing chamber with three electrodes (including the side wall of the processing chamber). Plasma strength can be controlled by setting up one or more electrode groups (divided into separate electrodes). Moreover, in this implementation, a CCP type processing chamber has been described as an example. However, the present invention can be applied to an ICP-type processing chamber by applying RF power to an inside or outside of the processing chamber and a plurality of electrodes to form an electropolymer. Although the present invention has been described above as a dry etching apparatus using a plasma, the present invention can be used to support any type of semiconductor element manufacturing apparatus using a plasma, such as a sputtering apparatus or a chemical vapor deposition apparatus. Therefore, according to the present invention, among the plurality of electrodes erected inside the processing chamber, 'one or more electrodes are insulated and separated by an insulating device', and the separated electrodes are independently controlled to form in the processing chamber. All the paper fits from the General Ning's National Standard (CNS) A4 specification (2 丨 QX297 public director) ---------- Installation-I ('Please read the precautions on the back _ first, then cover the copy 1) 、 1T · -Travel _ Printed by the Shellfish Consumer Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs, »***.? / ^ · Λ. 404146

經濟部中央橾準局員工消費合作社印製 一強度的電漿。所以,可以避免蚀刻速率、重要尺寸和待 處理晶圓之一致性被損害。 雖然本發明已關於其較佳實施例來說明,但熟習該 項技術者應可體會到各式的改變和改良可在不背離本發明 於附屬之申請專利範圍中所界定之精神或範圍下做出。 丞件標號對照表 10,40 處理室 12 下電極 14,48 上電極 16,50 真空管路 18,52 真空泵浦 20,24,54,58 閥 21,55 反應氣體供應源 22,56 反應氣體供應 26,60 晶圓 28 冷卻器 30 RF發生器 42,82 第一分離電極 44,84 第二分離電極 46,88 下電極群 62 第一冷卻器 64 第一 RF發生器 66 第二冷卻器 68 第二RF發生器 70,80 絕緣裝置 86 第三分離電極 本紙張尺度適用中國國家標準(CNS > A4规格(210X297公釐) 11A strong plasma was printed by the Consumer Cooperatives of the Central Government Bureau of the Ministry of Economic Affairs. As a result, the etch rate, critical dimensions, and consistency of the wafer to be processed can be prevented from being compromised. Although the present invention has been described in terms of its preferred embodiments, those skilled in the art should appreciate that various changes and improvements can be made without departing from the spirit or scope of the invention as defined in the appended claims. Out. Part number reference table 10, 40 Processing chamber 12 Lower electrode 14, 48 Upper electrode 16, 50 Vacuum line 18, 52 Vacuum pump 20, 24, 54, 58 Valve 21, 55 Reactive gas supply source 22, 56 Reactive gas supply 26 60 wafer 28 cooler 30 RF generator 42, 82 first split electrode 44, 84 second split electrode 46, 88 lower electrode group 62 first cooler 64 first RF generator 66 second cooler 68 second RF generator 70, 80 Insulation device 86 Third separation electrode This paper size applies to Chinese national standards (CNS > A4 size (210X297 mm) 11

Claims (1)

經濟部中央標準局員工消費合作社印製 404146 fB__D8六、申請專利範圍 1. 一種使用電漿的半導體元件製造設備,包含: 一處理室’使用電漿之半導體元件製造程序在 該處理室内進行; • 一反應氣體供應裝置,用來將反應氣體供應到 該處理室; 至少一電極群,被提供於該處理室内部,並包 含多個被絕緣裝置分離的分離電極;及 至少一無線電頻率(RF)發生器,用來施加RF動 力於該電極群之分別的分離電極上。 2. 如申請專利範圍第1項之半導體元件製造設備,其 中該電極群為一下電極,一待處理晶圓被放置於其 上。 3. 如申請專利範圍第1項之半導體元件製造設備,其 中該電極群由多個分離電極形成,該等分離電極係 呈同軸形狀彼此緊鄰》 4. 如申請專利範圍第3項之半導體元件製造設備,其 中該電極群包含設置於中央的柱形第一分離電極、 以及具有圓筒形來包圍該第一分離電極的第二分離 電極。 5. 如申請專利範圍第4項之半導體元件製造設備,其 中該柱狀第一分離電極的半徑相同於該圓筒狀第二 分離電極之壁厚。 6. 如申請專利範圍第4項之半導體元件製造設備,其 中該柱狀第一分離電極的半徑係不同於該圓筒狀第 • H.H n 1 I ·Μ· n Ml n n 11 .'!: ... ..y::. !.; .- (請先聞讀背面之注意事項再填寫本頁) 本紙張適用中國國家標準(CNS)八4胁(210χ297公董) ---訂·-------▲__________________ 404146 經濟部中央榡準局貝工消費合作社印裝 、 〇8 夂、申請專利範圍 '~~~-- 二分離電極之壁厚。 ?.如申請專利.範圍第3項之半導體元件製造設備,其 中該電極群包含設置於中央並為柱狀的第一分離電 極、具有圓筒形來包圍該第-分離電極的第二分離 一和《及具有圓筒形來包圍該第二分離電極的第 二分離電極β 8·如申請專利範圍第7項之半導體元件製造設備,其 中该柱狀第-分離電極的半徑、該圓筒狀第二分離 電極的壁厚、及該圓筒狀第三分離電極的壁厚是彼 此相同的。 9·如申請專利範圍第7項之半導體元件製造設備,其 中6亥柱狀第一分離電極的半徑、該圓筒狀第二分離 電極的壁厚、及該圓筒狀第三分離電極的壁厚是彼 此不同的。 10. 如申請專利範圍第丨項之半導體元件製造設備,更 包含一用來獨立控制分別的分離電極之溫度的冷卻 器。 11. 如申請專利範圍第1項之半導體元件製造設備,其 中該處理室為一感應耦合電漿(ICP)型處理室,更 包含提供於處理室外部的一線圈和一用來施加 RF(無線電頻率)動力到該線圈的RF發生器。 各紙張尺度適用中國國家梂準(CNS)八4祕(210><297公董) (.請先|«|*.請背*«之注意事項再^寫本1·) -裝· ·!—訂Printed by the Consumer Standards Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs 404146 fB__D8 VI. Patent application scope 1. A semiconductor device manufacturing equipment using plasma, including: A processing room 'Semiconductor component manufacturing process using plasma is performed in this processing room; A reaction gas supply device for supplying reaction gas to the processing chamber; at least one electrode group is provided inside the processing chamber and includes a plurality of separation electrodes separated by an insulation device; and at least one radio frequency (RF) A generator for applying RF power to separate electrodes of the electrode group. 2. For the semiconductor device manufacturing equipment under the scope of the patent application, the electrode group is the lower electrode, and a wafer to be processed is placed thereon. 3. For example, the semiconductor device manufacturing equipment in the scope of patent application, wherein the electrode group is formed by a plurality of separate electrodes, and the separate electrodes are coaxially adjacent to each other. The device, wherein the electrode group includes a cylindrical first separation electrode disposed in the center, and a second separation electrode having a cylindrical shape to surround the first separation electrode. 5. For the semiconductor device manufacturing equipment according to item 4 of the application, wherein the radius of the columnar first separation electrode is the same as the wall thickness of the cylindrical second separation electrode. 6. The semiconductor device manufacturing equipment according to item 4 of the application, wherein the radius of the columnar first separation electrode is different from the cylindrical • HH n 1 I · M · n Ml nn 11. '!:. .. ..y ::.!.; .- (Please read the notes on the reverse side before filling out this page) This paper applies the Chinese National Standard (CNS) Ya 4 threats (210χ297 public directors) --- Order ·- ------ ▲ __________________ 404146 Printed by the Central Laboratories of the Ministry of Economic Affairs, Shellfish Consumer Cooperative, 〇8 申请, patent application scope '~~~-The wall thickness of two separate electrodes. ?. If applying for a patent. The semiconductor device manufacturing equipment of the third item, wherein the electrode group includes a first separation electrode provided in the center and a columnar shape, and a second separation electrode having a cylindrical shape to surround the first separation electrode. And "and the second separation electrode β having a cylindrical shape surrounding the second separation electrode β 8 · Semiconductor device manufacturing equipment according to item 7 of the patent application scope, wherein the radius of the columnar first separation electrode, the cylindrical shape The wall thickness of the second separation electrode and the wall thickness of the cylindrical third separation electrode are the same as each other. 9. The semiconductor device manufacturing equipment according to item 7 of the scope of patent application, wherein the radius of the first cylindrical separation electrode, the wall thickness of the cylindrical second separation electrode, and the wall of the cylindrical third separation electrode Thickness is different from each other. 10. For example, the semiconductor device manufacturing equipment under the scope of the patent application further includes a cooler for independently controlling the temperature of the separate separation electrodes. 11. The semiconductor device manufacturing equipment according to the first patent application scope, wherein the processing chamber is an inductively coupled plasma (ICP) type processing chamber, further comprising a coil provided outside the processing chamber and an RF (radio) Frequency) RF generator that powers the coil. Each paper size applies to China National Standards (CNS) Eighty-fourth Secret (210 > < 297 public director) (.Please |||| .Please memorize the notes before * «before writing ^ 1)-Packing · ·! —Order
TW088100025A 1998-06-09 1999-01-04 Equipment for fabricating semiconductor device using plasma TW404146B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019980021372A KR100271767B1 (en) 1998-06-09 1998-06-09 Semiconductor device manufacturing equipment using plasma

Publications (1)

Publication Number Publication Date
TW404146B true TW404146B (en) 2000-09-01

Family

ID=19538796

Family Applications (1)

Application Number Title Priority Date Filing Date
TW088100025A TW404146B (en) 1998-06-09 1999-01-04 Equipment for fabricating semiconductor device using plasma

Country Status (3)

Country Link
JP (1) JP2000012472A (en)
KR (1) KR100271767B1 (en)
TW (1) TW404146B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113005422A (en) * 2019-12-18 2021-06-22 佳能特机株式会社 Film forming apparatus

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100683653B1 (en) * 2000-06-14 2007-02-20 삼성에스디아이 주식회사 Cathod for sputtering machine
JP5052017B2 (en) * 2005-03-28 2012-10-17 京セラ株式会社 Plasma device and method for manufacturing solar cell element using the same
KR100978754B1 (en) 2008-04-03 2010-08-30 주식회사 테스 Plasma processing apparatus
US20120298302A1 (en) * 2011-05-23 2012-11-29 Yaomin Xia Vacuum plasma pprocessing chamber with a wafer chuck facing downward above the plasma
SG11201608771WA (en) * 2014-05-09 2016-11-29 Ev Group E Thallner Gmbh Method and device for plasma treatment of substrates

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113005422A (en) * 2019-12-18 2021-06-22 佳能特机株式会社 Film forming apparatus

Also Published As

Publication number Publication date
JP2000012472A (en) 2000-01-14
KR100271767B1 (en) 2001-02-01
KR20000001227A (en) 2000-01-15

Similar Documents

Publication Publication Date Title
US6679981B1 (en) Inductive plasma loop enhancing magnetron sputtering
US5891252A (en) Plasma processing apparatus
CN110998783B (en) Substrate support with dual embedded electrodes
TW480531B (en) Lower electrode design for higher uniformity
TW564495B (en) Plasma treatment apparatus
TW421814B (en) High frequency discharging method, its apparatus, and high frequency processing apparatus
US6653791B1 (en) Method and apparatus for producing uniform process rates
TW563194B (en) Plasma processing system and method for manufacturing semiconductor device using the same
TW392245B (en) ECR plasma generator and an ECR system using the generator
CN105379428B (en) Plasma processing apparatus and method of plasma processing
US8343309B2 (en) Substrate processing apparatus
KR20160094893A (en) Method for increasing pattern density in self-aligned patterning integration schemes
JP2016154234A (en) Material processing for realizing sub 10 nm patterning
CN108511339B (en) Processing method and plasma processing apparatus
US6887341B2 (en) Plasma processing apparatus for spatial control of dissociation and ionization
CN114072898A (en) Substrate processing chamber
TW404146B (en) Equipment for fabricating semiconductor device using plasma
US7488689B2 (en) Plasma etching method
JP4566373B2 (en) Oxide film etching method
TW202131371A (en) Apparatus and method for etching
JP7333712B2 (en) Electrostatic chuck, support table and plasma processing equipment
JP3197739B2 (en) Plasma processing equipment
US5470426A (en) Plasma processing apparatus
JP3105467B2 (en) Plasma etching equipment
CN112530799A (en) Method for etching silicon oxide film and plasma processing apparatus

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees