KR100388529B1 - Rf 전력 공급형 플라즈마 강화 화학 증기 증착 반응기 및 그 방법 - Google Patents

Rf 전력 공급형 플라즈마 강화 화학 증기 증착 반응기 및 그 방법 Download PDF

Info

Publication number
KR100388529B1
KR100388529B1 KR10-2000-7008726A KR20007008726A KR100388529B1 KR 100388529 B1 KR100388529 B1 KR 100388529B1 KR 20007008726 A KR20007008726 A KR 20007008726A KR 100388529 B1 KR100388529 B1 KR 100388529B1
Authority
KR
South Korea
Prior art keywords
reactor
frequency
electrode
power
chamber
Prior art date
Application number
KR10-2000-7008726A
Other languages
English (en)
Other versions
KR20010040831A (ko
Inventor
수히트 사란
거테히에스. 산두
폴 스미스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
미크론 테크놀로지,인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드, 미크론 테크놀로지,인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20010040831A publication Critical patent/KR20010040831A/ko
Application granted granted Critical
Publication of KR100388529B1 publication Critical patent/KR100388529B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Details Of Rigid Or Semi-Rigid Containers (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

플라즈마 강화 화학 증기 증착(PECVD) 반응기와 이를 구현하기 위한 방법이 공개된다. 선호되는 실시예에서, PECVD 반응기(10)는 제 1 전극(16)을 내장한 공정 챔버(12)를 포함한다. 제 2 전극(18)도 챔버 내에 배치되고, 한 개 이상의 반도체 소재를 지지하는 역할을 한다. 제 1 RF 전원(26)이 제 1 전극에 제 1 주파수의 RF 전력을 운반한다. 제 2 RF 전원(32)이 제 2 전극에 제 2 주파수의 RF 전력을 운반한다. 제 1, 2 주파수가 서로 다른 것이 선호되며, 제 1 주파수가 제 2 주파수보다 높은 것이 더욱 선호된다. 선호되는 반응기(10)는 전극 중 하나에 대해 온도 정보를 제공하는 열전쌍(28)을 포함한다. 선호되는 실시예에 따라, 열전쌍을 포함하는 다른 반응기 성분과의 간섭을 감소시키는 방식으로, 제 1 RF 전원에 의해 개발되는 전력 루프가 챔버 내부에서 접지된다.

Description

RF 전력 공급형 플라즈마 강화 화학 증기 증착 반응기 및 그 방법{RF POWERED PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION REACTOR AND METHODS}
반도체 공정은 반도체 기판 표면 위에서의 박막이나 층의 증착을 포함한다. 이때 상기 반도체 기판은 그위에 이미 형성된 다른 층을 가질 수도 있고 가지지 않을 수도 있다. 이러한 박막이나 층의 증착을 달성하는 한가지 방법은 화학 증기 증착(CVD)을 이용하는 것이다. CVD는 증기 상태의 화학 물질이나 반응물의 화학 반응을 포함하고, 기판이나 기판 표면 위에 증착될 원하는 구성요소를 상기 화학 물질이나 반응물이 포함할 수 있다. 반응성 기체는 반응 챔버 또는 반응기로 유입되고, 원하는 박막이나 층을 형성하기 위해 가열된 표면에서 분해되고 반응한다.
바람직한 박막이나 층을 형성하기 위해 사용될 수 있는 CVD 공정에는 세가지가 있다. 대기압 CVD(APCVD), 저압 CVD(LPCVD), 그리고 플라즈마 강화 CVD(PECVD) 방식이 있다. 앞서의 두 공정(APCVD와 LPCVD)은 압력 구분에 따라 결정되는 특징을 가지고, 원하는 화학 반응을 얻기 위한 에너지 입력으로 열 에너지를 사용한다. 후자의 공정(PECVD)은 에너지 입력 방법과 압력 구분에 따라 결정되는 특징을 가진다.
PECVD 시스템에서, 화학 반응을 시작하고 유지하기 위해 열 에너지에 의존하는 것보다는, RF-유도 글로 방전이 반응성 기체에 에너지를 전달하기 위해 사용된다. 이는 APCVD 및 LPCVD 시스템에서보다 저온으로 기판을 유지시킨다. 일부 예에서 낮은 기판 온도가 선호된다. 왜냐하면, 일부 기판은 다른 방법에 의한 코팅을 수용할만한 열적 안정성을 가지지 않기 때문이다. 증착 속도가 증가될 수 있고 고유 조성 및 성질의 박막이나 층이 생성될 수 있다는 점을 다른 바람직한 특성이 포함한다. 더욱이, PECVD 공정 및 시스템은 양호한 접착성, 낮은 핀홀 밀도, 양호한 단계식 포장, 적절한 전기적 성질, 그리고 정밀 라인 이동 공정과의 호환성과 같은 다른 장점을 제공한다.
PECVD 시스템을 포함하는 증착 공정과 연관된 한가지 문제점은 비균일한 박막 또는 층의 포장에 있고, 이는 특히 높은 애스펙트 비를 가지는 경우에 나타날 수 있다. 가령, "브레드-로핑(bread-loafing)"이나 커스핑(cusping)으로 알려진 문제가 증착 공정에서 발생할 수 있다. 이는 기판의 특징부 사이에 키 구멍 공간으로 나타나는 것을 형성하는 증착 물질의 비균일 형성을 일으킬 수 있다. 플라즈마 에칭 처리 사이(삽입 플라즈마 에칭)에서 매우 얇은 층을 여러번 증착하는 것이 기존의 한가지 방법이다. 앞서의 삽입 플라즈마 에칭은 보다 균일한 층 형성을 위해 커스프를 제거하는 역할을 한다. 그 후, 바람직한 포장을 얻을 때까지 증착 및 에칭이 반복된다. PECVD 공정 및 반응기에서 박막이나 층의 증착 품질을 개선시키는 것이 선호된다.
PECVD 반응기와 연관된 또다른 문제점은 바람직한 플라즈마 환경을 계발하기 위해 사용되는 고주파 RF 전력의 사용에 있다. 이러한 고주파 RF 전력은 고주파 전력 루프를 발전시키고, 이 루프는 다른 반응기 부품의 작동과 부적절한 방식으로 간섭하는 경향이 있다. 예를 들어, 공정 작동 중 주 전극 온도를 감시하기 위해 한 개 이상의 전극으로 열전 링크가 자주 구축된다. 고주파 루프는 열전 링크에 의해 취해지는 판독을 부정확하게 할 수 있다. 그러므로, PECVD 반응기에서 고주파 RF 전력이 사용되는 방식을 개선시키는 것이 바람직하다.
본 발명은 RF 전력공급되는 플라즈마 강화 화학 증기 증착 반응기와 플라즈마 강화 화학 증기 증착을 달성하는 방법에 관한 것이다.
도 1은 본 발명의 선호되는 실시예에 따르는 플라즈마 강화 화학 증기 증착(PECVD) 반응기의 도면.
도 2는 교대 접지 배치를 도시하는 도 1의 반응기의 부분도.
도 3은 도 1의 실시예와 연관하여 사용되는 선호되는 공정 방법의 순서도.
발명은 PECVD 공정 시스템 및 방법을 개선시킴과 연관된 사항으로부터 시작되었다. 본 발명은 또한, 앞서 언급한 장점 및 특성을 포함하여, PECVD 시스템과 연관된 특성 및 장점을 개선시킴과 연관된 사항으로부터 시작되었다.
발명의 실행을 위한 최적의 모드
도 1에서, 플라즈마 강화 화학 증기 증착(PECVD) 반응기(10)가 도시된다. 반응기(10)는 발명에 따르는 공정이 일어나는 공정 챔버를 형성하는 내부면(14)을 가지는 반응기 챔버(12)를 포함한다. 발명의 선호되는 태양에 따라, 내부면(14)의 한 개 이상의 부분이 접지된다. 챔버(12)는 제 1 전극(16)과 제 2 전극(18)을 포함한다. 반응기(10)는 제 1, 2 전극이 챔버(12) 내에 위치하는 평행판식 반응기이다. 선호되는 실시예에서, 제 1 전극(16)은 샤워헤드 전극으로서, 공정 챔버에 기체성 반응제를 제공하는 구조를 가진다. 제 2 전극(18)은 웨이퍼 W와 같은 한 개 이상의 반도체 소재를 지지하는 구조를 가진다. 제 2 전극과 연관하여 본 명세서에서 사용되는 "지지"라는 용어는 화학 증기 증착이 일어날 수 있도록 원하는 방향으로 한 개 이상의 반도체 소재를 보지하거나 위치시키는 것을 의미한다. 따라서, 반도체 소재가 지지, 보지될 수 있고, 그렇지 않을 경우 도시되는 수평 방향과는 다른 방향에 위치할 수도 있다. 더욱이, 두 전극만을 포함하는 시스템에 대해 발명이 논의되지만, 두 전극으로 반드시 제한할 필요는 없다.
기체 소스 유닛(20)이 반응기(10)와 작동상 연결되고, 반응기 챔버(12)의 내부로 전극(16)까지 기체성 반응제를 제공하기 위해 다수의 기체 소스(22)를 포함한다. 전력/온도 제어 유닛(24)이 도시된다. 유닛(24)의 부품들이 분리 유닛일 수도 있고, 단일 제어 유닛 내에 포함될 수도 있다. 따라서, 이러한 부품들은 제 1 RF 전원(26), 열전 센서(28), 그리고 제 2 RF 전원(32)을 포함한다. 제 1 RF 전원(26)은 챔버(12)와 작동상 연관되고, 제 1 주파수의 RF 전력을 운반하기 위해 제 1 전도 라인(27)을 통해 제 1 전극(16)에 연결된다. 제 1 주파수로는 2-50 MHz 범위의 고주파가 선호된다. 전원(26)은 작동 중 제 1 또는 고주파 전력 루프를 발전시키고, 그 일부는 반응기 챔버로 진행하고 선호되는 공정이 진행되는 플라즈마 환경을 발전시킬 책임이 있다. 특히, 전원(26)은 고주파 전력 루프를 발전시키고, 이 루프는 라인(27)으로부터 전극(16)을 통해 전극(16, 18)간의 갭을 지나, 그리고 전극(18)을 통해 흐른다. 일반적으로 과거에는, 고주파 루프가 라인을 통해 접지되었다. 이때, 다른 부품 연결 라인과 공유되는 구멍(13)과 같은 구멍으로 상기 라인이 나가게 된다. 이러한 다른 라인이 일반적으로 절연되지만, 고주파 라인은 여전히 불요한 간섭 및 혼선을 일으킨다.
열전쌍(thermocouple)(28)은 반응기 챔버(12)의 구멍(13)을 통해 뻗어가는 열전 라인 또는 온도 센서 라인(30)을 통해 제 2 전극(18)과 연결된다. 열전/온도 센서는 제 2 전극에 대해 상대적으로 온도 정보를 제공하는 역할을 한다. 이는 반도체 공정 중 도시되지 않는 수단에 의해 전극(18) 온도를 감시하고 제어하게 한다. 구멍(13)은 반응기 내부로부터 반응기 외부로 경로를 형성한다.
전력/온도 제어 유닛(24)은 제 2 RF 전원(32)을 또한 포함한다. 이는 챔버(12)와 연관되고, 제 2 주파수의 RF 전력을 운반하기 위해 제 2 전극(18)과 연결된다. 제 2 주파수로는 제 1 전원(26)에 의해 운반되는 주파수보다 낮은 것이 선호된다. 전원(32)의 주파수 범위로는 100-1000 KHz가 선호된다. 따라서, 제 1 RF 전원(26)으로부터 개발된 RF 전력의 주파수는 제 2 또는 저주파 전원(32)에 의해 개발되는 주파수보다 높은 것이 선호되는 특징을 가진다. 선호되는 실시예에서, 저주파 전원(32)은 RF 전력 라인, 저주파 전력 라인, 또는 제 2 전도 라인(34)에 의해 제 2 전극(18)에 연결되고, 상기 라인은 구멍(13)을 통해 뻗어가고, 제 2 전극(18)과 연결된다.
기존 반응기를 사용하여 증착되는 박막/층 위에 개선된 성질의 증착 박막/층을 제공할 수 있는 방식으로 PECVD가 일어나도록 하는 것이 앞서의 내용이며, 이는 기존 반응기로부터의 새로운 모습을 나타낸다. 예를 들어, 선호되는 전위로 전극을 유지하는 것은 높은 애스펙트비와 같이 등각 포장을 향상시키는 소재나 웨이퍼를 향해 이온종의 가속을 촉진시킨다. 더욱이, 박막/층 조성이 더욱 균일해지고 박막/층의 순도가 더욱 높아질 수 있다. 선호되는 실시예에서, 제 1, 2 전극은 전력공급받을 수 있는 전극만으로 구성된다.
도 1에서, 챔버 내부(14)는 측벽을 포함하고, 그 일부가 도시되는 바와 같이 접지된다. 이는 RF 전원(26)에 의해 생성되는 고주파 RF 전력 루프를 챔버 내부에서 접지되게 한다. 이는 고주파 에너지와 열전 연결 라인(30)간의 간섭, 또는 고주파 에너지와 저주파 전력 라인(34) 간의 간섭을 감소시킨다. 대안으로 도 2에 반응기 챔버(12)의 부분도가 도시된다. 이는 반응기 챔버 외부에서 고주파 전력 루프가 접지되게 한다. 따라서, 반응기 측벽을 통해 외부점으로 연장되도록 접지 경로가 제공된다.
도 1의 실시예에서, 전도 라인(34)과 열전 연결 라인(30)으로부터 이격되어 위치하는 고주파 전력 루프의 접지점이 제공된다. 접지점이 반응기 챔버의 내부에 위치하는 것이 선호되고, 챔버 내부의 접지부를 구성한다. 발명의 선호되는 태양에 따라, 접지 경로(36)가 제공되어 접지점을 부분적으로 형성한다. 선호되는 실시예에서, 접지 경로(36)는 저주파 전력 라인의 일부를 포함하고, 이때 상기 부분은 챔버 내부에 배치된다. 따라서, 접지 경로는 접지되거나 아래 메카니즘을 제공한다. 즉, 이 메카니즘에 의해 반응기 내에서 취해지는 지점으로부터 저주파 라인을 통해 고주파 전력 루프가 접지될 수 있다. 선호되는 실시예에 따라, 접지 경로(36)는 바이패스 또는 대역통과필터(38)를 포함하고, 상기 필터(38)는 고주파 전원(26)에 의해 생성되는 것과 같은 고주파만을 통과시키는 역할을 한다. 따라서, 이는 아래의 메카니즘을 제공한다. 즉, 이 메카니즘에 의해 저주파 전원(32)의 작동이나 생성되는 전력에 영향을 미치지 않으면서 고주파 루프가 접지될 수 있다.
앞서 언급한 바와 같이 대안으로, 고주파 전력 루프를 접지시키는 다른 방식이 사용될 수 있다. 가령, 접지되는 챔버 내부와 직접 연결되는 적절한 접지 와이어를 통해 반응기 챔버의 내부에서 고주파 전력 루프가 접지될 수 있다. 방금 기술한 바와 같이 고주파 RF 전력 루프를 접지시킴으로서, 전원(26)과 열전 라인(30)에 의해 개발되는 RF 주파수 사이의 간섭과 연관된 불요한 효과를 피할 수 있다. 더욱이, 도 2에 도시되는 바와 같이, 전원(26)으로부터 이격되고 반응기 챔버 외부인 위치에서 고주파 루프가 접지될 수 있다. 따라서, 열전쌍(28)은 제 2 전극/서셉터 전극(18)에 대해 상대적으로 정확한 온도 정보를 제공하고, 저주파 라인과 고주파 라인간의 혼선이 감소될 것이다.
선호되는 평행판식 PECVD 반응기와 도 1-3에 따라, 선호되는 반도체 공정법(100)이 도 3의 순서도로 설명된다. 따라서 단계 110에서, 도 1의 웨이퍼 W와 같은 반도체 소재가 반응기(10) 내에 위치하고, 도시되는 바와 같이 제 2/서셉터 전극(18)에 위치하는 것이 선호된다. 서셉터 전극은 이어지는 처리를 위해 선호되는 반응기의 내부에서 소재를 지지한다. 앞서 지적한 바와 같이, 소재나 웨이퍼를 지지하는 다른 방법도 가능하다. 단계 112에서, 특히 샤워헤드 전극(16)을 통해 반응기 내로 기체성 반응제가 삽입된다. 단계 114에서, 반도체 소재 위에 반응물을 증착시키기에 충분한 정도로 두 개 이상의 다른 RF 전력 주파수에 반응제를 노출시킨다. 발명의 선호되는 태양에 따라, 제 1 주파수의 RF 전력은 고주파 RF 전원(26)으로부터 샤워헤드 전극(16)에 공급된다. 부가적으로, RF 전력의 제 2 주파수가 반도체 소재 W를 지지하는 서셉터 전극(18)에 공급된다. 단계 116에서 발명의 선호되는 실시예에 따라, 접지 경로가 제공되어, 제 1 RF 전원(26)에 의해 생성되는 고주파 전력 루프의 접지점을 형성한다. 접지점은 다른 부품 연결 라인으로부터 이격된 위치에 놓이는 것이 선호된다. 이는 챔버 내부 측벽의 일부처럼 챔버 내부에 놓일 수도 있고, 대안으로, 챔버 외부의 위치일 수도 있다. 물론 이 위치에서 접지로 유지된다.
발명의 선호되는 태양에 따라, 접지 경로는 바이패스(bypass) 또는 대역통과 필터(38)를 포함하고, 상기 바이패스 또는 대역통과필터(38)는 고주파 전원(26)에 의해 생성되는 두 주파수 중 높은 주파수만을 통과시키는 구조를 가진다. 필터는 반응기 내부나 외부에서 고주파 전원을 접지시키는 구조를 가지며, 공통 구멍(13)을 통해 뻗어가는 RF 전력 라인(34)과 열전 라인(30)과의 간섭을 감소시키는 역할을 한다.
앞서 기술된 반응기 설계와 PECVD 공정 방법에 의해 제공되는 장점 사이에서, 간섭을 일으키는 시스템의 타부품과 이격된 위치에 고주파 전력 루프를 접지시킴으로서, 고주파 전력루프로부터 발생되어 시스템의 타부품과 간섭을 일으키는 간섭이 감소된다. 이는 실패 가능성이 적은 PECVD 시스템을 제공한다. 간섭의 장점에 관계없이, 양호한 박막/층 증착이 가능하다. 반응기 전극간 전력/전력 주파수차를 발전시킴으로서 선호되는 실시예에서 이러한 과정과 박막/층 증착이 가능해진다. 즉, 저주파에서 서셉터 전극에, 고주파에서 샤워헤드 전극에 전력을 공급함으로서 평행판식 PECVD 반응기에서 선호되는 전력/전력 주파수 차가 발전될 수 있다. 이렇게 함으로서, 양호한 박막 접착, 낮은 핀홀 밀도, 높은 애스펙트비의 양호한 단계 포장, 그리고 양호한 전기적 성질을 가지는 장점을 보인다. 추가적으로 에칭이 실행되는 PECVD 시스템에서, 미세 라인 패턴 전송 과정에 대한 양호한 호환성을 얻을 수 있다. 다른 장점도 당 분야의 통상의 지식을 가진 자에게 있어 명백할 것이다.
발명은 구조적/방법적 특징에 관해 특정한 예를 들어 설명되었다. 그러나, 발명이 기술되고 도시되는 특정 특징에 한정되는 것은 아니며, 왜냐하면, 여기서 공개된 수단은 본 발명을 공개하기 위한 선호되는 형태일 뿐이기 때문이다. 그러므로 발명은 첨부된 청구범위의 적절한 범위 내에서 그 형태의 수정이 가능한 형태로 청구될 것이다.

Claims (35)

  1. 플라즈마 강화 화학 증기 증착(PECVD) 반응기(10)로서, 상기 반응기는 공정 챔버(12), 제 1 전극(16), 제 2 전극(18), 제 1 RF 전원(26), 제 2 RF 전원(32), 그리고 접지 경로(36)를 포함하며,
    챔버(12) 내에 위치하는 상기 제 1 전극은 샤워헤드 전극(16)으로서 반응기(10)에 반응제를 삽입시키는 기능을 하고,
    챔버(12) 내에 위치하는 상기 제 2 전극은 처리대상인 한개 이상의 반도체 소재(W)를 지지하도록 설정되며, 제 1 전극(16)과 제 2 전극(18)은 평행판 PECVD 반응기(10)의 각각의 판들을 형성하고, 제 1, 2 전극(16, 18)은 전력공급받을 수 있는 반응기 전극만으로 구성되며,
    챔버(12)에 연계된 제 1 RF 전원(26)은 제 1 전극(16)과 연결되어 제 1 주파수의 RF 전력을 운반하고 제 1 RF 주파수 전력 루프를 제공하며,
    챔버(12)에 연계된 제 2 RF 전원(32)은 제 2 전극(18)과 연결되어, 제 1 RF 주파수와는 다른 제 2 주파수의 RF 전력을 운반하며, 이때
    챔버(12)는 그 일부가 접지되는 챔버 내부(14)를 포함하고,
    제 2 RF 전원(32)은 챔버(12) 내부에 그 일부가 배치되는 전력 라인(34)을 통해 제 2 전극(18)에 연결되며,
    상기 접지 경로(36)는 챔버(12) 내부 제 1 RF 주파수 루프에 대한 접지 경로로서, 챔버(12) 내부에 배치되는 전력 라인(34) 일부를 포함하고, 접지 경로(36)는 제 1 RF 전원(26)에 의해 발전되는 주파수만을 통과시키는 단일대역통과필터(38)를 추가로 포함하는 것을 특징으로 하는, 플라즈마 강화 화학 증기 증착 반응기(10).
  2. 제 1 항에 있어서, 운반된 RF 전력의 제 1 주파수가 운반된 RF 전력의 제 2 2 주파수보다 높은 것을 특징으로 하는 플라즈마 강화 화학 증기 증착 반응기(10).
  3. 제 1 항에 있어서, 챔버(12)는 구멍(13)이 있는 챔버측벽을 포함하고,
    제 2 RF 전원(32)은 제 1 전원(26)보다 낮은 주파수의 RF 전력을 운반하고, 제 2 RF 전원(32)은 챔버 측벽의 구멍(13)을 지나 뻗어가는 전력 라인(34)을 통해 제 2 전극(18)에 연결되는 것을 특징으로 하는 플라즈마 강화 화학 증기 증착 반응기(10).
  4. 제 1 항에 있어서, 상기 챔버는 그 일부가 접지되는 챔버 내부(14)를 포함하고,
    제 1 RF 전원(26)은 제 2 RF 전원(32)에 의해 운반되는 전력의 주파수보다 높은 주파수의 RF 전력을 운반하는 것을 특징으로 하는 플라즈마 강화 화학 증기 증착 반응기(10).
  5. 제 1 항에 있어서, 상기 반응기(10)는 온도 센서(28)와 온도 센서 라인(30)을 추가로 포함하고,
    제 2 전극(18)에 연결되는 상기 온도 센서(28)는 제 2 전극(18)에 대한 온도 정보를 제공하도록 설정되고,
    온도 센서(28)에 연결되는 온도 센서 라인(30)은 챔버(12) 외부의 한 지점(24)으로 뻗어가는 것을 특징으로 하는 플라즈마 강화 화학 증기 증착 반응기.
  6. 이중 주파수 플라즈마 강화 화학 증기 증착 반응기(10)로서, 상기 반응기(10)는 반응기 내면(14), 제 1 주파수 RF 전원(26), 제 1 전극(16), 제 2 주파수 RF 전원(32), 제 2 전극(18), 그리고 접지 경로(36)를 포함하고,
    상기 반응기 내면(14)은 공정 챔버(12)를 형성하고, 내면(14) 일부는 접지되며,
    상기 제 1 주파수 RF 전원(26)은 제 1 주파수 전력 루프를 발전시키도록 설정되고, 상기 제 1 주파수 전력 루프의 일부분은 챔버(12) 내를 지나가며,
    공정 챔버(12) 내의 상기 제 1 전극(16)은 제 1 주파수 RF 전원(26)에 연계되어 이에 의해 전력을 공급받고, 제 1 전극(16)은 샤워헤드 전극으로서 반응제를 반응기(10)에 삽입하는 기능을 하며,
    상기 제 2 주파수 RF 전원(32)은 제 1 주파수 RF 전원(26)에 의해 발전된 주파수보다 낮은 주파수에서 RF 전력을 발전시키도록 설정되고,
    공정 챔버(12) 내의 상기 제 2 전극(18)은 제 2 주파수 RF 전원(32)에 연계되어 이에 의해 전력을 공급받으며,
    상기 접지 경로(36)는 제 1 주파수 전력 루프에 대한 접지 경로로서, 반응기 내면(14)의 접지부에 연결되고, 상기 접지 경로(36)는 단일대역통과필터(38)를 포함하며, 상기 단일대역통과필터(36)는 RF 전원(26)에 의해 발전된 주파수만을 통과시키도록 설정되는 것을 특징으로 하는, 이중 주파수 플라즈마 강화 화학 증기 증착 반응기(10).
  7. 제 6 항에 있어서, 챔버(12) 내부에서의 처리를 위해 한개 이상의 반도체 소재(W)를 지지하도록 상기 제 2 전극(18)이 설정되는 것을 특징으로 하는 이중 주파수 플라즈마 강화 화학 증기 증착 반응기(10).
  8. 제 6 항에 있어서, 접지 경로(36)는 제 1 주파수 RF 전원(26)에 의해 발전된 주파수를 통과시키도록 설정된 한개의 대역통과필터(38)를 포함하는 것을 특징으로 하는 이중 주파수 플라즈마 강화 화학 증기 증착 반응기(10).
  9. 제 6 항에 있어서, 상기 반응기(10)는 제 2 주파수 RF 전원(32)과 제 2 전극(18)을 연결하는 전력 라인(34)을 추가로 포함하고, 이때 전력 라인(34) 일부는 접지 경로(36)의 일부를 형성하는 것을 특징으로 하는 이중 주파수 플라즈마 강화 화학 증기 증착 반응기(10).
  10. 제 6 항에 있어서, 상기 반응기(10)는 제 2 주파수 RF 전원과 제 2 전극(18)을 연결하는 전력 라인(34)을 추가로 포함하고, 제 2 주파수 전력 라인(34)의 일부는 접지 경로(36)의 일부를 형성하며, 접지 경로(36)는 제 1 주파수 RF 전원(26)에 의해 발전된 주파수를 통과시키도록 설정되는 한개의 대역통과필터를 추가로 포함하는 것을 특징으로 하는 이중 주파수 플라즈마 강화 화학 증기 증착 반응기.
  11. 제 6 항에 있어서, 상기 반응기(10)는 콘딧(conduit)(13), 전력 라인(34), 온도 센서(28), 그리고 온도 센서 라인(30)을 추가로 포함하고,
    공정 챔버(13) 내의 상기 콘딧(13)은 반응기(10) 내부로부터 반응기(10) 외부로 경로를 형성하며,
    상기 전력 라인(34)은 콘딧(13)을 통해 뻗어가 제 2 전극(18)과 제 2 주파수 RF 전원을 연결하며,
    상기 온도 센서(28)는 제 2 전극(18)에 연결되어 제 2 전극(18)에 대한 온도 정보를 제공하도록 설정되고,
    상기 온도 센서 라인(30)은 온도 센서(28)에 연결되어 콘딧(13)을 통해 반응기(10) 외부 지점까지 뻗어가는 것을 특징으로 하는 이중 주파수 플라즈마 강화 화학 증기 증착 반응기(10).
  12. 제 6 항에 있어서, 상기 접지 경로(36)는 제 1 주파수 RF 전원에 의해 발전된 주파수를 통과시키도록 설정된 한개의 대역통과필터(38)를 포함하고, 상기 반응기(10)는 콘딧(conduit)(13), 전력 라인(34), 온도 센서(28), 그리고 온도 센서 라인(30)을 추가로 포함하고,
    공정 챔버(13) 내의 상기 콘딧(13)은 반응기(10) 내부로부터 반응기(10) 외부로 경로를 형성하며,
    상기 전력 라인(34)은 콘딧(13)을 통해 뻗어가 제 2 전극(18)과 제 2 주파수 RF 전원을 연결하며,
    상기 온도 센서(28)는 제 2 전극(18)에 연결되어 제 2 전극(18)에 대한 온도 정보를 제공하도록 설정되고,
    상기 온도 센서 라인(30)은 온도 센서(28)에 연결되어 콘딧(13)을 통해 반응기(10) 외부 지점까지 뻗어가는 것을 특징으로 하는 이중 주파수 플라즈마 강화 화학 증기 증착 반응기(10).
  13. 제 6 항에 있어서, 상기 반응기(10)는 온도 센서(28)와 온도 센서 라인(30)을 추가로 포함하고,
    상기 온도 센서(28)는 제 2 전극(18)과 연결되어 제 2 전극(18)에 대한 온도 정보를 제공하도록 설정되고, 그리고
    상기 온도 센서 라인(30)은 온도 센서(28)에 연결되어 반응기(10) 외부 지점까지 뻗어가는 것을 특징으로 하는 이중 주파수 플라즈마 강화 화학 증기 증착 반응기(10).
  14. 이중 주파수 평행판 플라즈마 강화 화학 증기 증착 반응기(10)로서, 상기 반응기(10)는 반응기 챔버(12), 제 1 주파수 RF 전원(26), 제 1 전극(16), 제 1 전도 라인(27), 제 1 RF 전력 루프, 제 2 주파수 RF 전원(32), 제 2 전극(18), 제 2 전도 라인(34), 그리고 접지점을 포함하고,
    상기 제 1 주파수 RF 전원(26)은 제 1 주파수의 RF 전력을 제공하도록 설정되고,
    챔버(12) 내의 상기 제 1 전극(16)은 샤워헤드 전극으로서 반응제를 반응기(10)에 삽입하는 기능을 하며,
    상기 제 1 전도 라인(27)은 제 1 RF 전원(26)과 제 1 전극(16)을 연결시키고,
    상기 제 1 RF 전력 루프는 제 1 RF 전원(26)에 의해 발전된 것으로서 루프 일부가 반응기 챔버(12)를 통과하며,
    상기 제 2 주파수 RF 전원(32)은 제 1 주파수와는 다른 제 2 주파수의 RF 전력을 제공하도록 설정되고,
    상기 제 2 전극(18)은 공정 챔버(12) 내에 위치하며,
    상기 제 2 전도 라인(34)은 제 2 RF 전원(32)과 제 2 전극(18)을 연결하고,
    상기 제 1 전극(16)과 상기 제 2 전극(18)은 전력공급받는 반응기 전극만으로 구성되며, 그리고
    상기 접지점은 제 2 전도 라인(34)에 멀리 위치하여 제 1 RF 전력 루프에 대한 접지를 제공하고, 상기 접지점은 반응기 챔버(12) 내부에 위치하며, 접지점과 제 1 RF 전원(26)간 접지 경로(36)는 단일필터(38)를 포함하고, 상기 단일필터(38)는 제 1 주파수 형태의 주파수만을 통과시키도록 설정되는 것을 특징으로 하는, 이중 주파수 플라즈마 강화 화학 증기 증착 반응기(10).
  15. 제 14 항에 있어서, 상기 반응기(10)는 온도 센서(28)와 온도 센서 라인(30)을 추가로 포함하고,
    상기 온도 센서(28)는 제 2 전극(18)에 연결되어 제 2 전극(18)에 대한 온도 정보를 제공하도록 설정되고,
    상기 온도 센서 라인(30)은 온도 센서에 연결되어 챔버 외부 지점까지 뻗어가는 것을 특징으로 하는 이중 주파수 평행판 플라즈마 강화 화학 증기 증착 반응기(10).
  16. 제 14 항에 있어서, 제 1 주파수가 제 2 주파수보다 높은 것을 특징으로 하는 이중 주파수 평행판 플라즈마 강화 화학 증기 증착 반응기.
  17. 평행판 반응기(10)의 플라즈마 강화 화학 증기 증착을 실행하는 반도체 공정방법(100)으로서, 상기 방법은,
    - 제 1 RF 전원(26)에 의해 생성된 제 1 주파수의 RF 전력을 반응기(10) 내부의 샤워헤드 전극(16)에 공급하고(114),
    - 제 2 RF 전원(32)에 의해 생성된 제 2 주파수의 RF 전력을 반응기(10) 내부의 서셉터 전극(18)에 공급하며(114), 이때 서셉터 전극(18)은 한개 이상의 반도체 소재(W)를 지지하도록 설정되며, 그리고
    - 상기 제 1 RF 전원(26)의 RF 전력 루프를 단일대역통과필터(38)를 이용하여 반응기 내면(14)에 접지시키는(116),
    이상의 단계를 포함하는 것을 특징으로 하는, 평행판 반응기(10)의 플라즈마 강화 화학 증기 증착을 실행하는 반도체 공정 방법(100).
  18. 제 17 항에 있어서, 제 1 주파수가 제 2 주파수보다 높은 것을 특징으로 하는 방법(100).
  19. 제 17 항에 있어서, 제 2 RF 전원(32)이 전도 라인(34)에 의해 서셉터 전극(18)에 연결되고, 상기 접지 단계(116)는 전도 라인(34)에서 멀리 떨어진 접지점의 반응기(10) 내부에서 전력 루프를 접지시키는 과정을 포함하는 것을 특징으로 하는 반도체 공정 방법.
  20. 제 17 항에 있어서, 반응기(10)는 그 일부가 접지되는 내부 측벽(14)을 포함하고, 접지 단계(116)는 접지된 내부 측벽(14) 부분에 연결되는 RF 전력 루프에 대한 접지 경로(36)를 제공하는 과정을 포함하며, 그리고
    접지 경로(36)는 제 1 주파수 형태의 주파수만을 통과시키도록 설정된 한개의 대역통과필터(36)를 포함하는 것을 특징으로 하는, 반도체 공정 방법(100).
  21. 제 17 항에 있어서, 상기 방법은,
    - 반응기 측벽의 구멍(13)을 통해 뻗어가는 열전 라인(thermocouple line)(30)을 통해 열전쌍(thermocouple)(28)을 서셉터 전극(18)에 연결하고,
    - 반응기 측벽의 구멍(13)을 통해 뻗어가는 전도 라인(conductive line)(34)에 의해 제 2 RF 전원(32)을 서셉터 전극(18)에 연결하는,
    이상의 단계를 추가로 포함하고,
    상기 접지 단계(116)는 구멍(13)에서 멀리 떨어진 접지점의 반응기(10) 내부에서 전력 루프를 접지시키는 과정을 포함하는 것을 특징으로 하는 반도체 공정 방법.
  22. 제 17 항에 있어서, 열전쌍(28)을 서셉터 전극(18)에 연결하는 과정을 추가로 포함하는 것을 특징으로 하는 반도체 공정 방법.
  23. 플라즈마 강화 화학 증기 증착을 실행하는 반도체 공정 방법(100)으로서, 상기 방법은,
    - 평행판 플라즈마 강화 화학 증기 증착 반응기(10) 내부에서 한개 이상의 반도체 소재(W)를 서셉터 전극(18)으로 지지하고(110),
    - 샤워헤드 전극(16)을 통해 반응기(10) 내로 반응제를 삽입시키며(112), 그리고
    - 반도체 소재(W)에 반응물을 증착시키기 위해 충분할 정도로 두개 이상의 서로 다른 RF 전력 주파수로 반응제를 노출시키는(114),
    이상의 단계를 포함하며,
    이때 상기 노출 단계(114)는 RF 전력 주파수 중 한 주파수의 RF 전력 루프를 반응기 내면(14)에 접지시키는(116) 과정을 포함하고, 이때 접지 단계(116)는 접지되는 전력 루프의 주파수만을 통과시키도록 설정된 단일대역통과필터(38)를 포함하는 접지 경로를 제공하는 과정을 포함하는 것을 특징으로 하는 반도체 공정 방법(100).
  24. 제 23 항에 있어서, 접지 단계(116)는 두 주파수 중 높은 쪽의 RF 전력 루프를 접지시키는 과정을 포함하는 것을 특징으로 하는 반도체 공정 방법(100).
  25. 제 23 항에 있어서,
    두개의 서로 다른 RF 전력 주파수가 두개의 서로 다른 RF 전원(26, 32)에 의해 생성되고, 제 1 RF 전원(26)은 제 2 RF 전원(32)에 의해 발전된 RF 전력보다 높은 주파수의 RF 전력을 발전시키고, 제 1 RF 전원(26)은 샤워헤드 전극(16)과 연결되고 제 2 전원(32)은 서셉터 전극(18)과 연결되며,
    접지되는 RF 전력 루프는 두 주파수 중 높은쪽에 대응하며, 그리고
    접지 단계(116)는 두 주파수 중 높은쪽의 RF 전력루프를 접지시키는 과정을 포함하는 것을 특징으로 하는 반도체 공정법(100).
  26. 제 23 항에 있어서, 열전쌍(28)을 서셉터 전극(18)에 연결하는 단계를 추가로 포함하는 것을 특징으로 하는 반도체 공정 방법(100).
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
KR10-2000-7008726A 1998-02-19 1999-02-16 Rf 전력 공급형 플라즈마 강화 화학 증기 증착 반응기 및 그 방법 KR100388529B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/026,566 1998-02-19
US09/026,566 US6112697A (en) 1998-02-19 1998-02-19 RF powered plasma enhanced chemical vapor deposition reactor and methods

Publications (2)

Publication Number Publication Date
KR20010040831A KR20010040831A (ko) 2001-05-15
KR100388529B1 true KR100388529B1 (ko) 2003-06-25

Family

ID=21832548

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-7008726A KR100388529B1 (ko) 1998-02-19 1999-02-16 Rf 전력 공급형 플라즈마 강화 화학 증기 증착 반응기 및 그 방법

Country Status (9)

Country Link
US (2) US6112697A (ko)
EP (1) EP1057207B1 (ko)
JP (2) JP3822055B2 (ko)
KR (1) KR100388529B1 (ko)
AT (1) ATE269586T1 (ko)
AU (1) AU3293999A (ko)
DE (1) DE69918063T2 (ko)
TW (1) TW523830B (ko)
WO (1) WO1999043017A1 (ko)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2806324B1 (fr) * 2000-03-15 2002-09-27 Air Liquide Procede et dispositif de mise en oeuvre d'une reaction chimique et procede de traitement de surface utilisant de tels procede et dispositif
US6857387B1 (en) 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
US6562684B1 (en) 2000-08-30 2003-05-13 Micron Technology, Inc. Methods of forming dielectric materials
US6950196B2 (en) 2000-09-20 2005-09-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thickness of a structure on a specimen and at least one additional property of the specimen
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6782337B2 (en) 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
JP4877884B2 (ja) * 2001-01-25 2012-02-15 東京エレクトロン株式会社 プラズマ処理装置
WO2002095800A2 (en) * 2001-05-22 2002-11-28 Reflectivity, Inc. A method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US6838012B2 (en) * 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
US20040188240A1 (en) * 2003-03-28 2004-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Process for in-situ nitridation of salicides
GB0309932D0 (en) * 2003-04-30 2003-06-04 Boc Group Plc Apparatus and method for forming a plasma
US7431857B2 (en) * 2003-08-15 2008-10-07 Applied Materials, Inc. Plasma generation and control using a dual frequency RF source
US20050118541A1 (en) * 2003-11-28 2005-06-02 Applied Materials, Inc. Maintenance of photoresist adhesion and activity on the surface of dielectric ARCS for 90 nm feature sizes
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7829471B2 (en) 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070246161A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US20070245961A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US20090104541A1 (en) * 2007-10-23 2009-04-23 Eui Kyoon Kim Plasma surface treatment to prevent pattern collapse in immersion lithography
US20090188625A1 (en) * 2008-01-28 2009-07-30 Carducci James D Etching chamber having flow equalizer and lower liner
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
KR101415552B1 (ko) * 2009-12-21 2014-07-07 주식회사 미코 접지구조물, 이를 구비하는 히터 및 화학기상 증착장치
JP5730521B2 (ja) 2010-09-08 2015-06-10 株式会社日立ハイテクノロジーズ 熱処理装置
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
CN106884157B (zh) 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
US8618446B2 (en) * 2011-06-30 2013-12-31 Applied Materials, Inc. Substrate support with substrate heater and symmetric RF return
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10332810B2 (en) 2016-10-24 2019-06-25 Kla-Tencor Corp. Process modules integrated into a metrology and/or inspection tool
US20200098562A1 (en) * 2018-09-26 2020-03-26 Lam Research Corporation Dual frequency silane-based silicon dioxide deposition to minimize film instability
CN110528018A (zh) * 2019-09-25 2019-12-03 宜兴市翔翮环保设备有限公司 一种二氧化氯消毒液制备装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5272417A (en) * 1989-05-12 1993-12-21 Tadahiro Ohmi Device for plasma process
US5656123A (en) * 1995-06-07 1997-08-12 Varian Associates, Inc. Dual-frequency capacitively-coupled plasma reactor for materials processing

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US5230931A (en) * 1987-08-10 1993-07-27 Semiconductor Energy Laboratory Co., Ltd. Plasma-assisted cvd of carbonaceous films by using a bias voltage
US4887005A (en) 1987-09-15 1989-12-12 Rough J Kirkwood H Multiple electrode plasma reactor power distribution system
JP2629871B2 (ja) 1988-08-29 1997-07-16 スズキ株式会社 車両用変速機
JPH02213480A (ja) * 1989-02-14 1990-08-24 Nippon Light Metal Co Ltd 高周波プラズマ発生用アルミニウム電極
JP3016821B2 (ja) * 1990-06-15 2000-03-06 東京エレクトロン株式会社 プラズマ処理方法
FR2663806A1 (fr) * 1990-06-25 1991-12-27 Commissariat Energie Atomique Reacteur a plasma du type triode, utilisable notamment pour la gravure, le depot ou le nettoyage de surfaces.
DE4025396A1 (de) * 1990-08-10 1992-02-13 Leybold Ag Einrichtung fuer die herstellung eines plasmas
US5052339A (en) * 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
JPH04362091A (ja) * 1991-06-05 1992-12-15 Mitsubishi Heavy Ind Ltd プラズマ化学気相成長装置
US5260236A (en) * 1991-06-07 1993-11-09 Intel Corporation UV transparent oxynitride deposition in single wafer PECVD system
JPH05175163A (ja) * 1991-12-24 1993-07-13 Mitsubishi Electric Corp プラズマ処理装置
EP0849766A3 (en) * 1992-01-24 1998-10-14 Applied Materials, Inc. Etch process
JP3122228B2 (ja) * 1992-05-13 2001-01-09 忠弘 大見 プロセス装置
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5665167A (en) * 1993-02-16 1997-09-09 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus having a workpiece-side electrode grounding circuit
JP3351843B2 (ja) * 1993-02-24 2002-12-03 忠弘 大見 成膜方法
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5433786A (en) * 1993-08-27 1995-07-18 The Dow Chemical Company Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein
US5468296A (en) * 1993-12-17 1995-11-21 Lsi Logic Corporation Apparatus for igniting low pressure inductively coupled plasma
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
JPH09503350A (ja) * 1994-05-13 1997-03-31 アプライド マテリアルズ インコーポレイテッド 有磁気場励起マルチ容量プラズマ発生装置および関連方法
US5607542A (en) * 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
US5716534A (en) * 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
EP0831679B1 (en) 1995-06-05 2008-10-01 Musashino Kikai Co., Ltd. Power supply for multielectrode discharge
TW323387B (ko) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP2814370B2 (ja) * 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5865937A (en) * 1995-08-21 1999-02-02 Applied Materials, Inc. Broad-band adjustable power ratio phase-inverting plasma reactor
KR970052089A (ko) * 1995-12-05 1997-07-29
JPH09167755A (ja) * 1995-12-15 1997-06-24 Nec Corp プラズマ酸化膜処理装置
US5981899A (en) 1997-01-17 1999-11-09 Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5272417A (en) * 1989-05-12 1993-12-21 Tadahiro Ohmi Device for plasma process
US5656123A (en) * 1995-06-07 1997-08-12 Varian Associates, Inc. Dual-frequency capacitively-coupled plasma reactor for materials processing

Also Published As

Publication number Publication date
DE69918063T2 (de) 2005-06-30
DE69918063D1 (de) 2004-07-22
JP4217883B2 (ja) 2009-02-04
JP2004006885A (ja) 2004-01-08
AU3293999A (en) 1999-09-06
JP2002504748A (ja) 2002-02-12
JP3822055B2 (ja) 2006-09-13
KR20010040831A (ko) 2001-05-15
EP1057207A1 (en) 2000-12-06
ATE269586T1 (de) 2004-07-15
EP1057207B1 (en) 2004-06-16
US6112697A (en) 2000-09-05
US6227141B1 (en) 2001-05-08
TW523830B (en) 2003-03-11
WO1999043017A1 (en) 1999-08-26

Similar Documents

Publication Publication Date Title
KR100388529B1 (ko) Rf 전력 공급형 플라즈마 강화 화학 증기 증착 반응기 및 그 방법
US5192370A (en) Method and apparatus for forming thin film
US5079031A (en) Apparatus and method for forming thin films
US5496410A (en) Plasma processing apparatus and method of processing substrates by using same apparatus
US4800105A (en) Method of forming a thin film by chemical vapor deposition
US6705246B2 (en) RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
US4539068A (en) Vapor phase growth method
US5207836A (en) Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
US20050158469A1 (en) Reactor for thin film deposition and method for depositing thin film on wafer using the reactor
EP0936284A3 (en) Method and apparatus for producing thin films
JPH0831454B2 (ja) 半導体装置の製造方法
US20070095281A1 (en) System and method for power function ramping of microwave liner discharge sources
US20080044589A1 (en) CVD system and substrate cleaning method
EP0174743A2 (en) Process for transition metal nitrides thin film deposition
EP0878823A3 (en) Plasma-enhanced chemical vapor deposition apparatus and method M
US4897281A (en) Process for the formation of a functional deposited film by way of microwave plasma CVD method
US5948167A (en) Thin film deposition apparatus
US4539934A (en) Plasma vapor deposition film forming apparatus
JPH0377655B2 (ko)
US5007374A (en) Apparatus for forming thin films in quantity
US5695831A (en) CVD method for forming a metallic film on a wafer
US5449880A (en) Process and apparatus for forming a deposited film using microwave-plasma CVD
US4599971A (en) Vapor deposition film forming apparatus
JP2848755B2 (ja) プラズマcvd装置
JP2757221B2 (ja) 酸窒化アルミニウムの合成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110502

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee