DE69918063T2 - Reaktor zur radiofrequenz betriebenen plasma-aktivierten chemischen dampfabscheidung und verfahren - Google Patents

Reaktor zur radiofrequenz betriebenen plasma-aktivierten chemischen dampfabscheidung und verfahren Download PDF

Info

Publication number
DE69918063T2
DE69918063T2 DE69918063T DE69918063T DE69918063T2 DE 69918063 T2 DE69918063 T2 DE 69918063T2 DE 69918063 T DE69918063 T DE 69918063T DE 69918063 T DE69918063 T DE 69918063T DE 69918063 T2 DE69918063 T2 DE 69918063T2
Authority
DE
Germany
Prior art keywords
electrode
reactor
frequency
power source
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69918063T
Other languages
English (en)
Other versions
DE69918063D1 (de
Inventor
Sujit Sharan
S. Gurtej SANDHU
Paul Smith
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Micron Technology Inc
Original Assignee
Applied Materials Inc
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc, Micron Technology Inc filed Critical Applied Materials Inc
Publication of DE69918063D1 publication Critical patent/DE69918063D1/de
Application granted granted Critical
Publication of DE69918063T2 publication Critical patent/DE69918063T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Details Of Rigid Or Semi-Rigid Containers (AREA)

Description

  • TECHNISCHES GEBIET DER ERFINDUNG
  • Die Erfindung betrifft HF-betriebene Reaktoren für plasmaunterstützte chernische Bedampfung und Verfahren zur Ausführung der plasmaunterstützten chemischen Bedampfung.
  • TECHNISCHER HINTERGRUND DER ERFINDUNG
  • Die Halbleiterverarbeitung erfordert oft die Abscheidung von Filmen oder Schichten über oder auf der Oberfläche eines Halbleitersubstrats, auf der bereits andere Schichten ausgebildet sein können oder nicht. Eine Ausführungsart der Abscheidung derartiger Filme oder Schichten ist die chemische Bedampfung (CVD). CVD erfordert eine chemische Reaktion von Chemikalien oder Reaktanten in der Dampfphase, welche die gewünschten Bestandteile enthalten, die auf dem Substrat oder der Substratoberfläche abzuscheiden sind. Gasförmige Reaktanten werden in eine Reaktionskammer oder einen Reaktor eingebracht und zersetzt und an einer erhitzten Oberfläche zur Reaktion gebracht, um den gewünschten Film oder die gewünschte Schicht zu bilden.
  • Es gibt drei CVD-Hauptprozesse, die zur Bildung der gewünschten Filme oder Schichten genutzt werden können. Diese sind: Atmosphärendruck-CVD (APCVD), Niederdruck-CVD (LPCVD) und plasmaunterstützte CVD (PECVD). Die ersteren beiden Prozesse (APCVD und LPCVD) sind durch ihre Druckregimes gekennzeichnet und nutzen typischerweise Wärmeenergie als Energiezufuhr für die Ausführung gewünschter chemischer Reaktionen. Der letztere Prozeß (PECVD) ist durch sein Druckregime und das Verfahren der Energiezufuhr charakterisiert.
  • In PECVD-Systemen wird, statt auf Wärmeenergie zur Auslösung und Aufrechterhaltung chemischer Reaktionen zu bauen, eine HF-induzierte Glimmentladung zur Energieübertragung auf die gasförmigen Reaktanten genutzt. Dadurch kann das Substrat auf einer niedrigeren Temperatur bleiben als bei den APCVD- und LPCVD-Systemen. Niedrigere Substrattemperaturen sind in bestimmten Fällen wünschenswert, da manche Substrate nicht die Wärmebeständigkeit aufweisen, um eine Beschichtung nach den anderen Verfahren aufzunehmen. Andere wünschenswerte Eigenschaften sind unter anderem, daß die Abscheideraten verbessert und Filme oder Schichten mit einzigartigen Zusammensetzungen und Eigenschaften erzeugt werden können. Ferner bieten PECVD-Verfahren und -Systeme weitere Vorteile, wie z. B. gutes Haftvermögen, niedrige Defektdichte, gute Stufenüberdeckung, angemessene elektrische Eigenschaften und Kompatibilität mit Mikrolinienstrukturübertragungsverfahren.
  • Ein Problem jedoch, das mit der Beschichtungsverarbeitung einschließlich der PECVD-Verarbeitung verbunden ist, rührt von der ungleichmäßigen Film- oder Schichtüberdeckung her, die besonders bei Topographien mit hohem Seitenverhältnis entstehen kann. Zum Beispiel kann typischerweise bei der Beschichtungsverarbeitung ein Problem auftreten, das als "Brotlaibbildung" oder Höckerbildung bekannt ist. Dies ist normalerweise mit einem unerwünschten ungleichmäßigen Aufbau von abgeschiedenem Material verbunden, das etwas bildet, was als Schlüssellochabstände zwischen Merkmalen auf einem Substrat erscheint. Eine Lösung nach dem Stand der Technik bestand darin, sehr dünne Schichten mehrfach abzuscheiden und dazwischen Plasmaätzbehandlungen auszuführen. Das dazwischenliegende Plasmaätzen dient zum Entfernen oder Wegschneiden der Höcker, um eine gleichmäßiger aufgebrachte Schicht zu bilden. Danach werden wiederholte Beschichtungen und Ätzungen ausgeführt, bis der gewünschte Bedeckungsgrad erreicht ist. Bei PECVD-Verfahren und -Reaktoren ist eine Verbesserung der Film- oder Schichtauftragsgüte wünschenswert.
  • Ein weiteres Problem, das mit PECVD-Reaktoren verbunden ist, ist auf die Anwendung der Hochfrequenzenergie zurückzuführen, die genutzt wird, um das gewünschte Plasmamilieu zu entwickeln. Eine solche Hochfiequenzenergie erzeugt typischerweise eine Hochfrequenzstromschleife, die zu einer unerwünschten Störung des Betriebs anderer Reaktorkomponenten führt. Zum Beispiel wird oft ein Thermoelement-Anschluß zu einer oder mehreren Elektroden hergestellt, um die Temperatur der betreffenden Elektrode während der Verarbeitungsvorgänge zu überwachen. Die Hochfrequenzschleife kann und wird häufig die durch den Thermoelementanschluß erfaßten Meßwerte ungenau machen. Daher ist es wünschenswert, die Nutzungsweise der Hochfrequenzenergie in PECVD-Reaktoren zu verbessern.
  • US-A-5272417 offenbart eine Filmbildungsvorrichtung mit Verwendung einer externen Erdung und mehrerer Bandfilter, die dem externen Erdungsweg zugeordnet sind. Infolgedessen weist US-A-5272417 ein erhöhtes Risiko einer Signalverunreinigung durch HF-Störung und Nebensprechen bzw. Kreuzkopplung auf.
  • Die vorliegende Erfindung entwickelte sich aus Problemen in Verbindung mit der Verbesserung von PECVD-Verarbeitungssystemen und -verfahren. Die Erfindung entwickelte sich außerdem aus Problemen in Verbindung mit der Verbesserung der Vorteile und Eigenschaften von PECVD-Systemen, einschließlich der oben erwähnten Vorteile und Eigenschaften.
  • OFFENBARUNG DER ERFINDUNG
  • Gemäß einem ersten Aspekt der Erfindung wird ein Zweifrequenzreaktor für plasmaunterstützte chemische Bedampfung bereitgestellt, der aufweist: eine Reaktorinnenfläche, die eine Verarbeitungskammer definiert, wobei mindestens eine Teil der Innenfläche geerdet ist; eine HF-Stromquelle mit einer ersten Frequenz, die so konfiguriert ist, daß sie eine Stromschleife mit einer ersten Frequenz erzeugt, von der mindestens ein Teil innerhalb der Kammer verläuft; eine erste Elektrode innerhalb der Verarbeitungskammer, die betriebsfähig mit der HF-Stromquelle für die erste Frequenz verbunden und so konfiguriert ist, daß sie von dieser gespeist wird; eine HF-Stromquelle mit einer zweiten Frequenz, die so konfiguriert ist, daß sie HF-Energie mit Frequenzen erzeugt, die niedriger sind als die Frequenzen, die durch die HF-Stromquelle mit der ersten Frequenz erzeugt werden; eine zweite Elektrode innerhalb der Verarbeitungskammer, die betriebsfähig mit der HF-Stromquelle für die zweite Frequenz verbunden und so konfiguriert ist, daß sie von dieser gespeist wird; und eine Erdungseinrichtung für die Stromschleife mit der ersten Frequenz, wobei die erste Elektrode eine Schauerkopfelektrode ist, die so konfiguriert ist, daß sie Reaktanten in den Reaktor einbringt; wobei die Erdungseinrichtung einen Erdungsweg innerhalb der Kammer aufweist, der an der geerdeten Innenfläche der Kammer geerdet ist, und wobei der Erdungsweg ein Bandfilter aufweist, das so konfiguriert ist, daß es nur Frequenzen durchläßt, die von der ersten HF-Stromquelle erzeugt werden.
  • Vorzugsweise ist die zweite Elektrode so konfiguriert, daß sie mindestens ein Halbleiterwerkstück zur Verarbeitung innerhalb der Kammer trägt.
  • Praktischerweise ist eine Stromleitung vorgesehen, welche die HF-Stromquelle mit der zweiten Frequenz und die zweite Elektrode miteinander verbindet, wobei ein Teil der Stromleitung mindestens einen Teil des Erdungsweges bildet.
  • Günstigerweise sind vorgesehen: eine Kabelkanal in der Verarbeitungskammer, der einen Weg aus dem Inneren des Reaktors nach außen bildet; eine Stromleitung, die durch den Kabelkanal verläuft und die zweite Elektrode betriebsfähig mit der HF-Stromquelle für die zweite Frequenz verbindet; ein Temperaturfühler, der betriebsfähig mit der zweiten Elektrode verbunden und so konfiguriert ist, daß er Temperaturinformationen über die zweite Elektrode liefert; und eine mit dem Temperaturfühler verbundene Temperaturfühlerleitung, die durch den Kabelkanal zu einem Punkt außerhalb des Reaktors verläuft.
  • Praktischerweise ist ein Temperaturfühler betriebsfähig mit der zweiten Elektrode verbunden und so konfiguriert, daß er Temperaturinformationen über die zweite Elektrode liefert; und eine Temperaturfühlerleitung ist mit dem Temperaturfühler verbunden und verläuft zu einem Punkt außerhalb des Reaktors.
  • Gemäß einem zweiten Aspekt der vorliegenden Erfindung wird ein Halbleiterverarbeitungsverfahren zur Ausführung der plasmaunterstützten chemischen Bedampfung bereitgestellt, das durch die folgenden Schritte gekennzeichnet ist: Aufnahme mindestens eines Halbleiterwerkstücks auf einer Trägerelektrode innerhalb eines Parallelplattenreaktors für plasmaunterstützte chemische Bedampfung; Einleiten von Reaktanten in den Reaktor durch eine Schauerkopfelektrode; und Einwirkenlassen von mindestens zwei verschiedenen HF-Energie-Frequenzen auf die Reaktanten in ausreichendem Grade, um die Abscheidung eines Reaktantenprodukts über dem Halbleiterwerkstück zu bewirken, wobei die Einwirkung aufweist: Erden einer HF-Stromschleife mit der höheren der beiden HF-Energiefrequenzen an einer geerdeten Innenfläche der Reaktorkammer, wobei die Erdung die Bereitstellung eines Erdungsweges innerhalb der Kammer aufweist, wobei der Weg ein Bandfilter einschließt, das so konfiguriert ist, daß es nur Frequenzen der geerdeten Stromschleife durchläßt.
  • Vorzugsweise werden bei dem Verfahren die zwei unterschiedlichen HF-Energiefrequenzen durch zwei verschiedene HF-Stromquellen erzeugt, wobei eine erste HF-Stromquelle HF-Energie mit Frequenzen erzeugt, die höher sind als diejenigen der von einer zweiten HF-Stromquelle erzeugten HF-Energie, wobei die erste HF-Stromquelle mit der Schauerkopfelektrode und die zweite HF-Stromquelle mit der Trägerelektrode verbunden ist; wobei die geerdete HF-Stromschleife der höheren von den beiden Frequenzen entspricht; und wobei die Erdung eine Erdung der HF-Stromschleife mit der höheren der beiden Frequenzen aufweist.
  • Günstigerweise weist das Verfahren eine betriebsfähige Kopplung eines Thermoelements an die Trägerelektrode auf.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Nachstehend werden bevorzugte Ausführungsformen der Erfindung unter Bezugnahme auf die folgenden beigefügten Zeichnungen beschrieben.
  • 1 zeigt eine Ansicht eines Reaktors für plasmaunterstützte chemische Bedampfung gemäß einer bevorzugten Ausführungsform der vorliegenden Erfindung.
  • 2 zeigt ein Bruchstück des Reaktors gemäß 1, das eine alternative Erdungskonfiguration darstellt.
  • 3 zeigt ein Ablaufdiagramm, das ein in Verbindung mit der Ausführungsform gemäß 1 angewandtes bevorzugtes Verarbeitungsverfahren darstellt.
  • BESTE AUSFÜHRUNGSARTEN DER ERFINDUNG UND OFFENBARUNG DER ERFINDUNG
  • In 1 ist ein Reaktor für plasmaunterstützte chemische Bedampfung (PECVD) allgemein bei 10 dargestellt. Der Reaktor 10 weist eine Reaktorkammer 12 mit einer Innenfläche 14 auf, die eine Verarbeitungskammer definiert, in der eine erfindungsgemäße Verarbeitung stattfindet. Gemäß einem bevorzugten Aspekt der Erfindung ist zumindest ein Teil der Innenfläche 14 geerdet. Die Kammer 12 weist eine erste Elektrode 16 und eine zweite Elektrode 18 auf. Vorzugsweise ist der Reaktor 10 ein Parallelplattenreaktor, in dem sowohl die erste als auch die zweite Elektrode innerhalb der Kammer 12 angeordnet oder angebracht sind. In der dargestellten und bevorzugten Ausführungsform weist die erste Elektrode 16 eine Schauerkopfelektrode, die so konfigurert ist, daß sie gasförmige Reaktanten in die Verarbeitungskammer einbringt, und eine zweite Elektrode 18 auf, die so konfiguriert ist, daß sie mindestens ein Halbleiterwerkstück trägt, wie z. B. einen Wafer W. Der Begriff "tragen", wie er im vorliegenden Dokument und in Verbindung mit der zweiten Elektrode gebraucht wird, soll die Aufnahme oder Positionierung eines oder mehrerer Halbleiterwerkstücke in einer gewünschten Orientierung bedeuten, so daß die chemische Bedampfung stattfinden kann. Dementsprechend können Halbleiterwerkstücke aufgenommen, gehalten oder auf andere Weise in anderen Positionen als der dargestellten horizontalen Position angeordnet werden. Darüberhinaus wird die Erfindung zwar im Zusammenhang mit einem System diskutiert, das nur zwei Elektroden aufweist, aber es versteht sich, daß der erfindungsgemäße Reaktor und die erfindungsgemäßen Verfahren in Systemen Anwendung finden können, die nicht unbedingt auf nur zwei Elektroden beschränkt sind.
  • Eine Gasquelleneinheit 20 ist betriebsfähig mit dem Reaktor 10 verbunden und enthält mehrere Gasquellen 22, um gasförmige Reaktanten der Elektrode 16 zuzuführen und in das Innere der Reaktorkammer 12 einzutragen. Eine Strom/Temperatur-Steuereinheit ist allgemein bei 24 dargestellt. Die Komponenten der Einheit 24 können getrennte Einheiten sein oder in einer einzigen Steuereinheit enthalten sein. Dementsprechend gehören zu diesen Komponenten eine erste HF-Stromquelle 26, ein Thermoelement oder Temperaturfühler 28 und eine zweite HF-Stromquelle 32. Die erste HF-Stromquelle 26 ist betriebsfähig mit der Kammer 12 verbunden und über eine erste Leitung 27 an die erste Elektrode 16 angeschlossen, um HF-Leistung mit einer ersten Frequenz dahin abzugeben, Vorzugsweise ist die erste Frequenz eine Hochfrequenz im Bereich von 2–50 MHz. Die Stromquelle 26 erzeugt während des Betriebs eine erste oder Hochfrequenz-Stromschleife, von der sich ein Teil durch die Reaktorkammer erstreckt oder hindurchgeht und für die Entstehung des Plasmamilieus verantwortlich ist, in der die bevorzugte Verarbeitung stattfindet. Genauer gesagt, die Stromquelle 26 entwickelt eine Hochfrequenz-Stromschleife, die von der Leitung 27 durch die Elektrode 16, quer durch den Spalt zwischen den Elektroden 16 und 18 und durch die Elektrode 18 fließt. Typischerweise wurde früher die Hochfrequenzschleife über eine Leitung geerdet, die aus einer Öffnung austritt, wie z. B. der Öffnung 13, die durch weitere Komponentenanschlußleitungen gemeinsam genutzt wird. Obwohl diese anderen Leitungen typischerweise isoliert sind, erzeugte die Hochfrequenzleitung immer noch unerwünschte Störungs- und Kreuzkopplungsbedingungen.
  • Das Thermoelement 28 ist über eine Thermoelementleitung oder Temperaturfühlerleitung 30, die durch die Öffnung oder das Kabelrohr 13 in der Reaktionskammer 12 verläuft, betriebsfähig mit der zweiten Elektrode 18 verbunden. Das Thermoelement oder der Temperaturfühler ist so konfiguriert, daß er Temperaturinformationen bezüglich der zweiten Elektrode liefert. Dadurch wird die Überwachung und herkömmliche Regelung der Temperatur der Elektrode 18 mittels einer nicht dargestellten Halbleiterverarbeitung ermöglicht. Die Öffnung oder das Kabelrohr 13 definiert einen Weg vom Inneren des Reaktors nach außen.
  • Die Strom/Temperatur-Steuereinheit 24 enthält außerdem eine zweite HF-Stromquelle 32. Diese ist der Kammer 12 zugeordnet und betriebsfähig mit der zweiten Elektrode 18 verbunden, um HF-Strom mit einer zweiten Frequenz zu liefern, die vorzugsweise niedriger ist als die durch die erste Stromquelle 26 abgegebene Frequenz. Der Frequenzbereich der Stromquelle 32 ist vorzugsweise 100–1000 kHz. Dementsprechend ist (sind) die Frequenzen) des abgegebenen oder entwickelten HF-Stroms von der ersten HF-Stromquelle 26 als vorzugsweise höher als die Frequenz oder die Frequenzen charakterisiert, die von der zweiten oder niederfrequenten Stromquelle 32 abgegeben bzw. entwickelt werden. In der dargestellten und bevorzugten Ausführungsform ist die niederfrequente Stromquelle 32 mit der zweiten Elektrode 18 durch eine HF-Stromleitung, Niederfrequenz-Stromleitung oder zweite Leitung 34 verbunden, die durch die Öffnung oder den Kabelkanal 13 hindurchgeht und an die zweite Elektrode 18 angeschlossen ist.
  • Die oben beschriebenen Tatsachen stellen eine neuartige Abweichung von herkömmlichen Reaktoren dar, und ermöglichen, daß eine plasmaunterstützte chemische Bedampfung (PECVD) auf eine Weise stattfindet, die aufgedampfte Filme oder Schichten mit verbesserten Eigenschaften gegenüber den Filmen oder Schichten liefern kann, die unter Verwendung herkömmlicher Reaktoren aufgebracht werden. Zum Beispiel erleichtert das Halten der Elektroden auf der bevorzugten Stromdifferenz die Beschleunigung von Ionen oder Ionenarten zum betreffenden Werkstück oder Wafer, wodurch die konturgetreue Abdeckung verbessert wird, besonders in Topographien mit hohem Seitenverhältnis. Ferner sind eine größere Gleichmäßigkeit der Film- oder Schichtzusammensetzung sowie höhere Film- oder Schichtreinheitsgrade möglich. In einer bevorzugten Implementierung bilden die erste und die zweite Elektrode die einzigen einspeisefähigen Elektroden.
  • Wie noch aus 1 erkennbar, weist das Kammerinnere 14 eine Seitenwand mit mindestens einem Abschnitt auf, der gemäß der Darstellung geerdet ist. Dies ermöglicht günstigerweise, daß die hochfrequente HF-Stromschleife, die durch die HF-Stromquelle 26 entwickelt oder erzeugt wird, im Inneren der Kammer geerdet wird. So wird die Störung zwischen der dadurch erzeugten Hochfrequenzenergie und entweder der Thermoelement-Anschlußleitung 30 oder der Niederfrequenzleitung 34 verringert. 2 zeigt zum Vergleich eine Anordnung, die außerhalb des Bereichs der Patentansprüche der vorliegenden Patentanmeldung liegt und die Erdung der Hochfrequenz-Stromschleife außerhalb der Reaktorkammer ermöglicht. Dementsprechend wird ein Erdungsweg vorgesehen, der durch die Reaktorseitenwand zu einem außerhalb des Reaktors liegenden Punkt hindurchgeht.
  • In der Ausführungsform gemäß 1 ist ein Erdungspunkt für die Hochfrequenz-Stromschleife vorgesehen, der von der Leitung 34 und der Thermoelement-Anschlußleitung 30 entfernt liegt. Der Erdungspunkt befindet sich innerhalb der Reaktorkammer und umfaßt den geerdeten Abschnitt des Kammerinneren. Der Erdungsweg 36 wird vorgesehen und führt zu dem Erdungspunkt, den er teilweise definiert. Der Erdungsweg 36 schließt einen Abschnitt der Niederfrequenz-Stromleitung ein, der an der Innenseite der Kammer angeordnet ist. Dementsprechend erdet der Erdungsweg die Hochfrequenz- Stromschleife oder bietet einen Mechanismus, durch den die Hochfrequenz-Stromschleife über die Niederfrequenzleitung von einem innerhalb des Reaktors gewählten Punkt aus geerdet werden kann. Der Erdungsweg 36 schließt ein Überbrückungs- oder Bandfilter 38 ein, das so konfiguriert ist, daß es nur hohe Frequenzen durchläßt, wie z. B. diejenigen, die durch die Hochfrequenz-Stromquelle 26 entwickelt oder erzeugt werden. Dementsprechend wird dadurch ein Mechanismus bereitgestellt, durch den die Hochfrequenzschleife geerdet werden kann, ohne die Funktion der niederfrequenten Stromquelle 32 oder die dadurch erzeugte Leistung zu beeinflussen.
  • Alternativ können, wie oben erwähnt, andere Erdungsarten der Hochfrequenz-Stromschleife genutzt werden. Zum Beispiel kann die Hochfrequenz-Stromschleife über einen geeigneten Erdungsdraht, der direkt mit dem geerdeten inneren Kammerabschnitt verbunden ist, an einem inneren Abschnitt der Reaktionskammer geerdet werden. Durch Erdung der hochfrequenten HF-Stromschleife auf die gerade beschriebene Weise werden unerwünschte Effekte vermieden, die mit der Störung zwischen der von der Stromquelle 26 erzeugten Hochfrequenz und der Thermoelementleitung 30 verbunden sind. Dementsprechend liefert das Thermoelement 28 genauere Temperaturinformationen bezüglich der zweiten Elektrode oder Trägerelektrode 18, und die Kreuzkopplung zwischen den Nieder- und Hochfrequenzleitungen wird günstigerweise vermindert, wenn nicht beseitigt.
  • Entsprechend dem bevorzugten Parallelplatten-PECVD-Reaktor und unter Bezugnahme auf die 1 bis 3 wird nachstehend ein bevorzugtes Halbleiterverarbeitungsverfahren in einem Ablaufdiagramm dargestellt, das in 3 allgemein mit 100 bezeichnet wird. Dementsprechend wird im Schritt 110 ein Halbleiterwerkstück, wie z. B. ein Wafer W (1), in den Reaktor 10 eingebracht und vorzugsweise auf die zweite oder Trägerelektrode 18 aufgelegt, wie dargestellt. Die Trägerelektrode nimmt das Werkstück im Inneren des bevorzugten Reaktors zur anschließenden Verarbeitung auf. Wie oben angegeben, sind auch andere Arten der Aufnahme des Werkstücks oder Wafers möglich. Im Schritt 112 werden gasförmige Reaktanten in den Reaktor eingeleitet, vorzugsweise durch die Schauerkopfelektrode 16. Im Schritt 114 werden die Reaktanten mindestens zwei verschiedenen HF-Stromfrequenzen bis zu einem Grade ausgesetzt, der ausreicht, um die Abscheidung eines Reaktantenprodukts über dem Halbleiterwerkstück zu bewirken. Gemäß einem bevorzugten Aspekt der Erfindung wird HF-Strom mit einer ersten Frequenz von der hochfrequenten HF-Stromquelle 26 an die Schauerkopfelektrode 16 angelegt. Außerdem wird eine zweite HF-Stromfrequenz an die Trägerelektrode 18 angelegt, die das Halbleiterwerkstück W trägt. Gemäß einer bevorzugten Implementierung und im Schritt 116 wird ein Erdungsweg bereitgestellt, der zu einem Erdungspunkt für die durch die erste HF-Stromquelle 26 entwickelte oder erzeugte HF-Stromschleife führt und diesen Erdungspunkt definiert. Der Erdungspunkt befindet sich vorzugsweise in einer von anderen Komponentenverbindungsleitungen entfernten Position. Diese liegt im Kammerinneren, wie z. B. an einem Abschnitt der Seitenwand des Kammerinneren, der an Masse gelegt ist.
  • Der Erdungsweg schließt ein Überbrückungs- oder Bandfilter 38 ein, das so konfiguriert ist, daß es nur die höhere der beiden Frequenzen durchläßt, d. h. diejenige, die durch die Hochfrequenz-Stromquelle 26 entwickelt oder erzeugt wird. Das Filter ist vorteilhafterweise so konfiguriert, daß es die Hochfrequenz-Stromquelle innerhalb des Reaktors erdet, was dazu dient, eine etwaige Störung der Thermoelementleitung 30 und der HF-Stromleitung 34, die durch eine gemeinsame Öffnung 13 hindurchgehen, zu vermindern, wenn nicht zu beseitigen.
  • Zu den Vorteilen, die durch die oben beschriebene Reaktorkonstruktion und die PECVD-Verarbeitungsverfahren geboten werden, gehören, daß eine von der Hochfrequenz-Stromschleife erzeugte Störung, die andere Systemkomponenten stört, durch Erdung der dazugehörigen Hochfrequenz-Stromschleife an einer von diesen Komponenten entfernten Stelle vermindert, wenn nicht beseitigt wird. Dadurch wird ein weniger störungsanfälliges PECVD-System bereitgestellt. Zusätzlich und unabhängig von dem Vorteil bezüglich der Störungen ist eine bessere Film- und Schichtabscheidung möglich. Dies wird in der bevorzugten Ausführungsform durch Entwicklung einer Strom- oder Stromfrequenzdifferenz zwischen den Reaktorelektroden ermöglicht, wobei die bevorzugte Strom- oder Stromfrequenzdifferenz in einem Parallelplatten-PECVD-Reaktor entwickelt wird, indem die Schauerkopfelektrode mit einer hohen Frequenz und die Trägerelektrode mit einer niedrigen Frequenz gespeist wird. Dadurch entstehen Vorteile, zu denen ein besseres Haftvermögen des Films, eine niedrigere Defektdichte, eine bessere Stufenüberdeckung besonders in Topographien mit hohem Seitenverhältnis und Filme oder Schichten mit besseren elektrischen Eigenschaften gehören. Außerdem kann in PECVD-Systemen, in denen das Ätzen ausgeführt wird, eine bessere Verträglichkeit mit Mikrolinienstrukturübertragungsprozessen erreicht werden. Weitere Vorteile werden für den Fachmann offensichtlich sein.
  • In Übereinstimmung mit dem Statut ist die Erfindung in mehr oder weniger konkreter Ausdrucksweise bezüglich der Struktur- und Verfahrensmerkmale beschrieben worden. Es versteht sich jedoch, daß die Erfindung nicht auf die dargestellten und beschriebenen konkreten Merkmale beschränkt ist, da die hier offenbarten Einrichtungen bevorzugte Formen der praktischen Ausführung der Erfindung aufweisen.

Claims (8)

  1. Zweifrequenzreaktor (10) für plasmaunterstützte chemische Bedampfung, der aufweist: eine Reaktorinnenfläche (14), die eine Verarbeitungskammer (12) definiert, wobei mindestens eine Teil der Innenfläche (14) geerdet ist; eine HF-Stromquelle (26) mit einer ersten Frequenz, die so konfiguriert ist, daß sie eine Stromschleife mit einer ersten Frequenz erzeugt, von der mindestens ein Teil innerhalb der Kammer (12) verläuft; eine erste Elektrode (16) innerhalb der Verarbeitungskammer (12), die betriebsfähig mit der HF-Stromquelle (26) für die erste Frequenz verbunden und so konfiguriert ist, daß sie von dieser gespeist wird; eine HF-Stromquelle (32) mit einer zweiten Frequenz, die so konfiguriert ist, daß sie HF-Energie mit Frequenzen erzeugt, die niedriger sind als die Frequenzen, die durch die HF-Stromquelle (26) mit der ersten Frequenz erzeugt werden; eine zweite Elektrode (18) innerhalb der Verarbeitungskammer (12), die betriebsfähig mit der HF-Stromquelle (26) für die zweite Frequenz verbunden und so konfiguriert ist, daß sie von dieser gespeist wird; und eine Erdungseinrichtung für die Stromschleife mit der ersten Frequenz, wobei der Reaktor dadurch gekennzeichnet ist, daß die erste Elektrode (16) eine Schauerkopfelektrode (16) ist, die so konfiguriert ist, daß sie Reaktanten in den Reaktor (10) einbringt; daß die Erdungseinrichtung einen Erdungsweg innerhalb der Kammer (12) aufweist, der an der geerdeten Innenfläche (14) der Kammer geerdet ist, und daß der Erdungsweg ein Bandfilter aufweist, wobei das Badfilter (38) so konfigurier ist, daß es nur Frequenzen durchläßt, die von der HF-Stromquelle (26) für die erste Frequenz erzeugt werden.
  2. Zweifrequenzreaktor (10) für plasmaunterstützte chemische Bedampfung nach Anspruch 1, dadurch gekennzeichnet, daß die zweite Elektrode (18) so konfiguriert ist, daß sie mindestens ein Halbleiterwerkstück (W) zur Verarbeitung innerhalb der Kammer (12) trägt.
  3. Zweifrequenzreaktor (10) für plasmaunterstützte chemische Bedampfung nach Anspruch 1, gekennzeichnet durch eine Stromleitung (34), welche die HF-Stromquelle (32) für die zweite Frequenz und die zweite Elektrode (18) miteinander verbindet, wobei ein Teil der Stromleitung (34) mindestens einen Teil des Erdungsweges bildet.
  4. Zweifrequenzreaktor (10) für plasmaunterstützte chemische Bedampfung nach Anspruch 1, gekennzeichnet durch: einen Kabelkanal in der Verarbeitungskammer (12), der einen Weg aus dem Inneren des Reaktors (10) nach außen des Reaktors (10) bildet; eine Stromleitung (34), die durch den Kabelkanal verläuft und die zweite Elektrode (18) betriebsfähig mit der HF-Stromquelle (32) für die zweite Frequenz verbindet; einen Temperaturfühler (28), der betriebsfähig mit der zweiten Elektrode (18) verbunden und so konfiguriert ist, daß er Temperaturinformationen über die zweite Elektrode (18) liefert; und eine mit dem Temperaturfühler (28) verbundene Temperaturfühlerleitung (30), die durch den Kabelkanal zu einem Punkt außerhalb des Reaktors (10) verläuft.
  5. Zweifrequenzreaktor (10) für plasmaunterstützte chemische Bedampfung nach Anspruch 1, gekennzeichnet durch: einen Temperaturfühler (28), der betriebsfähig mit der zweiten Elektrode (18) verbunden und so konfiguriert ist, daß er Temperaturinformationen über die zweite Elektrode (18) liefert; und eine mit dem Temperatwfühler (28) verbundene Temperaturfühlerleitung (30), die zu einem Punkt außerhalb des Reaktors verläuft.
  6. Halbleiterverarbeitungsverfahren (100) zur Ausführung der plasmaunterstützten chemischen Bedampfung, mit den folgenden Schritten: Aufnahme (110) mindestens eines Halbleiterwerkstücks (W) auf einer Trägerelektrode (18) innerhalb eines Parallelplattenreaktors (10) für plasmaunterstützte chemische Bedampfung; Einleiten von Reaktanten (112) in den Reaktor (10) durch eine Schauerkopfelektrode (16); und Einwirkenlassen (114) von mindestens zwei verschiedenen HF-Energie-Frequenzen auf die Reaktanten in ausreichendem Grade, um die Abscheidung eines Reaktantenprodukts über dem Halbleiterwerkstück (W) zu bewirken, wobei die Einwirkung (114) aufweist: Erden (116) einer HF-Stromschleife mit der höheren der beiden HF-Energiefrequenzen an einer geerdeten Innenfläche (14) der Reaktorkammer, wobei die Erdung (116) die Bereitstellung eines Erdungsweges innerhalb der Kammer aufweist, wobei der Weg ein Bandfilter (38) einschließt, das so konfiguriert ist, daß es nur Frequenzen der geerdeten Stromschleife durchläßt.
  7. Halbleiterverarbeitungsverfahren (100) nach Anspruch 6, dadurch gekennzeichnet, daß: die zwei unterschiedlichen HF-Energiefrequenzen durch zwei verschiedene HF-Stromquellen (26, 32) erzeugt werden, wobei eine erste (26) von den HF-Stromquellen HF-Energie mit Frequenzen erzeugt, die höher sind als diejenigen der von einer zweiten (32) der HF-Stromquellen erzeugten HF-Energie, wobei die erste HF-Stromquelle (26) mit der Schauerkopfelektrode (16) und die zweite HF-Stromquelle (32) mit der Trägerelektrode (18) verbunden ist; die geerdete HF-Stromschleife der höheren von den beiden Frequenzen entspricht; und die Erdung (116) eine Erdung (116) der HF-Stromschleife mit der höheren der beiden Frequenzen aufweist.
  8. Halbleiterverarbeitungsverfahren (100) nach Anspruch 6, gekennzeichnet durch eine betriebsfähige Kopplung eines Thermoelements (28) an die Trägerelektrode (18).
DE69918063T 1998-02-19 1999-02-16 Reaktor zur radiofrequenz betriebenen plasma-aktivierten chemischen dampfabscheidung und verfahren Expired - Lifetime DE69918063T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/026,566 US6112697A (en) 1998-02-19 1998-02-19 RF powered plasma enhanced chemical vapor deposition reactor and methods
US26566 1998-02-19
PCT/US1999/003229 WO1999043017A1 (en) 1998-02-19 1999-02-16 Rf powered plasma enhanced chemical vapor deposition reactor and methods

Publications (2)

Publication Number Publication Date
DE69918063D1 DE69918063D1 (de) 2004-07-22
DE69918063T2 true DE69918063T2 (de) 2005-06-30

Family

ID=21832548

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69918063T Expired - Lifetime DE69918063T2 (de) 1998-02-19 1999-02-16 Reaktor zur radiofrequenz betriebenen plasma-aktivierten chemischen dampfabscheidung und verfahren

Country Status (9)

Country Link
US (2) US6112697A (de)
EP (1) EP1057207B1 (de)
JP (2) JP3822055B2 (de)
KR (1) KR100388529B1 (de)
AT (1) ATE269586T1 (de)
AU (1) AU3293999A (de)
DE (1) DE69918063T2 (de)
TW (1) TW523830B (de)
WO (1) WO1999043017A1 (de)

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2806324B1 (fr) * 2000-03-15 2002-09-27 Air Liquide Procede et dispositif de mise en oeuvre d'une reaction chimique et procede de traitement de surface utilisant de tels procede et dispositif
US6857387B1 (en) 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
US6562684B1 (en) 2000-08-30 2003-05-13 Micron Technology, Inc. Methods of forming dielectric materials
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US7130029B2 (en) 2000-09-20 2006-10-31 Kla-Tencor Technologies Corp. Methods and systems for determining an adhesion characteristic and a thickness of a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6919957B2 (en) 2000-09-20 2005-07-19 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension, a presence of defects, and a thin film characteristic of a specimen
US7349090B2 (en) 2000-09-20 2008-03-25 Kla-Tencor Technologies Corp. Methods and systems for determining a property of a specimen prior to, during, or subsequent to lithography
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US7139083B2 (en) 2000-09-20 2006-11-21 Kla-Tencor Technologies Corp. Methods and systems for determining a composition and a thickness of a specimen
US6782337B2 (en) 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
US7106425B1 (en) 2000-09-20 2006-09-12 Kla-Tencor Technologies Corp. Methods and systems for determining a presence of defects and a thin film characteristic of a specimen
JP4877884B2 (ja) * 2001-01-25 2012-02-15 東京エレクトロン株式会社 プラズマ処理装置
US6800210B2 (en) * 2001-05-22 2004-10-05 Reflectivity, Inc. Method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US6838012B2 (en) * 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
US20040188240A1 (en) * 2003-03-28 2004-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Process for in-situ nitridation of salicides
GB0309932D0 (en) * 2003-04-30 2003-06-04 Boc Group Plc Apparatus and method for forming a plasma
US7431857B2 (en) * 2003-08-15 2008-10-07 Applied Materials, Inc. Plasma generation and control using a dual frequency RF source
US20050118541A1 (en) * 2003-11-28 2005-06-02 Applied Materials, Inc. Maintenance of photoresist adhesion and activity on the surface of dielectric ARCS for 90 nm feature sizes
US7829471B2 (en) 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070245961A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070246161A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US20090104541A1 (en) * 2007-10-23 2009-04-23 Eui Kyoon Kim Plasma surface treatment to prevent pattern collapse in immersion lithography
US20090188625A1 (en) * 2008-01-28 2009-07-30 Carducci James D Etching chamber having flow equalizer and lower liner
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
KR101415552B1 (ko) * 2009-12-21 2014-07-07 주식회사 미코 접지구조물, 이를 구비하는 히터 및 화학기상 증착장치
JP5730521B2 (ja) 2010-09-08 2015-06-10 株式会社日立ハイテクノロジーズ 熱処理装置
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
US8618446B2 (en) 2011-06-30 2013-12-31 Applied Materials, Inc. Substrate support with substrate heater and symmetric RF return
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
TWI728197B (zh) 2016-10-24 2021-05-21 美商克萊譚克公司 整合至一計量及/或檢測工具中之製程模組
US20200098562A1 (en) * 2018-09-26 2020-03-26 Lam Research Corporation Dual frequency silane-based silicon dioxide deposition to minimize film instability
US12486574B2 (en) 2019-08-23 2025-12-02 Lam Research Corporation Thermally controlled chandelier showerhead
CN119980191A (zh) 2019-08-28 2025-05-13 朗姆研究公司 金属沉积
CN110528018A (zh) * 2019-09-25 2019-12-03 宜兴市翔翮环保设备有限公司 一种二氧化氯消毒液制备装置

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US5230931A (en) * 1987-08-10 1993-07-27 Semiconductor Energy Laboratory Co., Ltd. Plasma-assisted cvd of carbonaceous films by using a bias voltage
US4887005A (en) 1987-09-15 1989-12-12 Rough J Kirkwood H Multiple electrode plasma reactor power distribution system
JP2629871B2 (ja) 1988-08-29 1997-07-16 スズキ株式会社 車両用変速機
JPH02213480A (ja) * 1989-02-14 1990-08-24 Nippon Light Metal Co Ltd 高周波プラズマ発生用アルミニウム電極
JPH02298024A (ja) * 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
JP3016821B2 (ja) * 1990-06-15 2000-03-06 東京エレクトロン株式会社 プラズマ処理方法
FR2663806A1 (fr) * 1990-06-25 1991-12-27 Commissariat Energie Atomique Reacteur a plasma du type triode, utilisable notamment pour la gravure, le depot ou le nettoyage de surfaces.
DE4025396A1 (de) * 1990-08-10 1992-02-13 Leybold Ag Einrichtung fuer die herstellung eines plasmas
US5052339A (en) * 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
JPH04362091A (ja) * 1991-06-05 1992-12-15 Mitsubishi Heavy Ind Ltd プラズマ化学気相成長装置
US5260236A (en) * 1991-06-07 1993-11-09 Intel Corporation UV transparent oxynitride deposition in single wafer PECVD system
JPH05175163A (ja) * 1991-12-24 1993-07-13 Mitsubishi Electric Corp プラズマ処理装置
DE69226253T2 (de) * 1992-01-24 1998-12-17 Applied Materials, Inc., Santa Clara, Calif. Plasmaätzverfahren und Reaktor zur Plasmabearbeitung
JP3122228B2 (ja) * 1992-05-13 2001-01-09 忠弘 大見 プロセス装置
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5665167A (en) * 1993-02-16 1997-09-09 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus having a workpiece-side electrode grounding circuit
JP3351843B2 (ja) * 1993-02-24 2002-12-03 忠弘 大見 成膜方法
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5433786A (en) * 1993-08-27 1995-07-18 The Dow Chemical Company Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein
US5468296A (en) * 1993-12-17 1995-11-21 Lsi Logic Corporation Apparatus for igniting low pressure inductively coupled plasma
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
JPH09503350A (ja) * 1994-05-13 1997-03-31 アプライド マテリアルズ インコーポレイテッド 有磁気場励起マルチ容量プラズマ発生装置および関連方法
US5607542A (en) * 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
US5716534A (en) * 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5932116A (en) 1995-06-05 1999-08-03 Tohoku Unicom Co., Ltd. Power supply for multi-electrode discharge
US5656123A (en) * 1995-06-07 1997-08-12 Varian Associates, Inc. Dual-frequency capacitively-coupled plasma reactor for materials processing
TW323387B (de) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP2814370B2 (ja) * 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5865937A (en) * 1995-08-21 1999-02-02 Applied Materials, Inc. Broad-band adjustable power ratio phase-inverting plasma reactor
KR970052089A (de) * 1995-12-05 1997-07-29
JPH09167755A (ja) * 1995-12-15 1997-06-24 Nec Corp プラズマ酸化膜処理装置
US5981899A (en) 1997-01-17 1999-11-09 Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor

Also Published As

Publication number Publication date
ATE269586T1 (de) 2004-07-15
KR20010040831A (ko) 2001-05-15
US6227141B1 (en) 2001-05-08
DE69918063D1 (de) 2004-07-22
US6112697A (en) 2000-09-05
JP2004006885A (ja) 2004-01-08
EP1057207A1 (de) 2000-12-06
JP3822055B2 (ja) 2006-09-13
KR100388529B1 (ko) 2003-06-25
WO1999043017A1 (en) 1999-08-26
JP4217883B2 (ja) 2009-02-04
AU3293999A (en) 1999-09-06
EP1057207B1 (de) 2004-06-16
JP2002504748A (ja) 2002-02-12
TW523830B (en) 2003-03-11

Similar Documents

Publication Publication Date Title
DE69918063T2 (de) Reaktor zur radiofrequenz betriebenen plasma-aktivierten chemischen dampfabscheidung und verfahren
DE69120743T2 (de) Verfahren zur Plasma-Dampfphasenabscheidung einer isolierenden Schicht auf einer Unterlage mit Puls-moduliertem Plasma
DE69424759T2 (de) Gasphasenabscheidungsverfahren in einer einzigen Kammer für Dünnfilmtransistoren
DE69423371T2 (de) Verfahren und vorrichtung zur herstellung dünner schichten
DE3733135C1 (de) Vorrichtung zum Beschichten oder AEtzen mittels eines Plasmas
DE69505234T2 (de) Plasmabehandlung in der elektronikapparateherstellung
DE112007003616B4 (de) Veraschungsvorrichtung
DE69636286T2 (de) Plasmaunterstützter chemischer reaktor und verfahren
DE69506865T2 (de) NIEDERTEMPERATURHERSTELLUNG VON TiN FILMEN MITTELS PLASMA CVD
DE3923188C2 (de)
DE69226814T2 (de) CVD-Methode zur Bildung eines Siliziumoxydfilms
DE69627241T2 (de) Plasmabearbeitungsgerät
DE69704155T2 (de) Verfahren zum Aufbringen einer Beschichtung mit einer gleichmässigen Verteilung von Reagenzien
DE69418059T2 (de) Verfahren und vorrichtung zur herstellung angeregter gase
DE4029984C2 (de)
DE69325343T2 (de) Halbleiteranordnung und Herstellungsverfahren dafür
DE2110289C3 (de) Verfahren zum Niederschlagen von Halbleitermaterial und Vorrichtung zu seiner Durchführung
DE10101766A1 (de) Verfahren und Vorrichtung zum Herstellen einer dünnen Schicht auf einem Substrat
DE2720893B2 (de)
DE3726006A1 (de) Vorrichtung zur herstellung von duennfilmen
EP0334109A1 (de) Verfahren und Vorrichtung zum Herstellen von aus amorphen Silizium-Germanium-Legierungen bestehenden Halbleiterschichten nach der Glimmentladungstechnik, insbesondere für Solarzellen
DE68917550T2 (de) Verfahren und Vorrichtung zur Plasmabehandlung.
DE69838226T2 (de) Verfahren zur plasmabehandlung
DE4039853A1 (de) Vorrichtung und verfahren zur oberflaechenreinigung
DE4340956C2 (de) Verfahren und Vorrichtung zur Bearbeitung einer Probe

Legal Events

Date Code Title Description
8364 No opposition during term of opposition