JP3527201B2 - 高周波型プラズマ強化化学気相堆積反応装置、及びプラズマ強化化学気相堆積処理を実施するための方法 - Google Patents

高周波型プラズマ強化化学気相堆積反応装置、及びプラズマ強化化学気相堆積処理を実施するための方法

Info

Publication number
JP3527201B2
JP3527201B2 JP2000532863A JP2000532863A JP3527201B2 JP 3527201 B2 JP3527201 B2 JP 3527201B2 JP 2000532863 A JP2000532863 A JP 2000532863A JP 2000532863 A JP2000532863 A JP 2000532863A JP 3527201 B2 JP3527201 B2 JP 3527201B2
Authority
JP
Japan
Prior art keywords
electrode
high frequency
vapor deposition
chemical vapor
power
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000532863A
Other languages
English (en)
Other versions
JP2002504749A (ja
Inventor
スジット シャラン,
ガーテ, エス. サンデュ,
ポール スミス,
メイ チャン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002504749A publication Critical patent/JP2002504749A/ja
Application granted granted Critical
Publication of JP3527201B2 publication Critical patent/JP3527201B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/961Ion beam source and generation

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、高周波型プラズマ
強化化学気相堆積反応装置、及びプラズマ強化化学気相
堆積処理を実施するための方法に関する。
【0002】
【従来の技術】半導体処理過程には、しばしば、その上
に既に他の層が形成されていたり、いなかかったりする
半導体基板表面の上方又はその直上に、膜又は層を堆積
する過程が含まれる。そのような膜又は層を堆積する方
法の一つは、化学気相堆積法(CVD)により行われ
る。CVDは、基板又は基板表面上に堆積されるべき所
望の成分を含有する気相化学物質又は反応物の化学反応
を含むものである。反応性ガスは、反応室又は反応装置
内に導入され、分解され、そして所望の膜又は層を形成
するように、加熱された表面のところで反応する。
【0003】所望の膜又は層を形成するのに、現在あり
また利用されているCVD処理方法には、大きく分けて
三つのものがある。すなわち、常圧CVD(APCV
D),減圧CVD(LPCVD)及びプラズマ強化CV
D(PECVD)である。最初の二つの処理方法(AP
CVD及びLPCVD)は、その圧力管理に特徴があ
り、そして所望の化学反応が起こるために、入力エネル
ギーとして典型的には温度エネルギーを利用するもので
ある。一番最後の処理方法(PECVD)は、圧力管理
とエネルギー入力の方法に特徴があるものである。
【0004】PECVD装置においては、化学反応を開
始し維持するために、温度エネルギーに依存するのでは
なく、むしろ高周波誘導グロー放電が、エネルギーを反
応性ガスに伝達するのに用いられる。そうすることによ
り、APCVD及びLPCVD装置の場合よりも、基板
の温度をより低く維持することができる。基板の温度が
低いことはある場合において好ましい。なぜならば、基
板によっては、他の方法による被覆を許容する温度安定
性を有していないからである。他の望ましい特徴として
は、堆積速度が加速されること、特徴ある成分及び特性
を備えた膜又は層が形成されることである。さらに、P
ECVD方法及び装置は、良好な付着性、低いピンホー
ル密度、良好な段差被覆性、適当な電気的特性、及び微
細ラインパターン転写処理との適合性などの利点をもた
らすものである。
【0005】しかしながら、PECVD処理方法を含む
堆積処理方法に関係した問題の一つに、特に、高いアス
ペクト比形状をもたらすことにもなる不均一な膜又は層
の被覆性の問題がある。例えば、堆積処理過程におい
て、“ブレッドローフィング(bread-loafing)”又は
尖った部分が典型的には形成される。通常、そのような
ものは、好ましくない不均一な堆積物質の集まりからな
り、基板上の主要要素間のキーホールスペースのように
見えるものを形成する。従来技術による一つの解決方法
は、極めて薄い層を、途中にプラズマエッチング処理を
挟んで、多重堆積することであった。途中に挟まれるプ
ラズマエッチングは、より均一に被覆された層を形成す
るために、尖った部分を除去又は切除するように機能す
る。その後、堆積とエッチングが、所定の被覆性が達成
されるまで繰り返し行われる。PECVD処理方法及び
反応装置において、膜又は層の堆積の質を改善すること
が望ましい。
【0006】本発明は、PECVD処理装置及び処理方
法の改善に関して案出されたものである。また、本発明
は、先に述べた利点及び特徴を含むPECVD装置に関
連した利点及び特徴を更に改善するために案出されたも
のである。
【0007】
【発明の実施の形態】以下、本発明の好ましい実施態様
を添付図面を参照しながら説明する。図1を参照する
と、参照符号10で、プラズマ強化化学気相堆積(PE
CVD)反応装置の概略が示されている。装置10は、
ガス供給ユニット12、化学気相堆積反応器14、高周
波パワースプリッタ16、及び高周波パワー発生器18
を含む。
【0008】ガス供給ユニット12は、本発明による処
理が行われるために、反応器14内に一つ又はそれ以上
のガス状反応物を供給することができる。典型的には、
そのような装置は、エネルギーを反応性ガスに伝達する
ために高周波誘導グロー放電を利用する。そうすると、
エネルギーを得た自由電子が放電領域内に発生し、その
結果、その自由電子がガス分子と衝突すると、反応性ガ
スの分裂及びイオン化が起こる。これに応じて、活動的
な種は次に、ワークピース又は基板上に吸収される。
【0009】PECVD反応器14は、その中で本発明
による処理が実施される処理室又は処理空間を画定す
る。第1の好適実施例では、反応器14は、平行平板型
反応器からなる。そのような平行平板型反応器は、たっ
た一つだけの半導体ワークピース又はウェーハを処理す
るように構成することができる。これに代えて、そのよ
うな反応器は、一つ以上の半導体ワークピース又はウェ
ーハを処理するように構成することもできる。第2の好
適実施例では、反応器14は、誘導コイル型PECVD
反応器からなる。両方の好適実施例を、以下、図2及び
図3を参照しながら詳細に説明する。
【0010】図1を参照すると、図示されている好適実
施例の高周波パワースプリッタ16は、高周波パワー発
生器18から供給される高周波入力パワーを、別々の反
応器電極にパワーを供給するために使用される複数の高
周波パワー成分に、分離、さもなければ分割する。好適
実施例では、そのようなパワーは、装置のオペレータに
よって操作することができる適当に選択されたパワー比
に従って分離又は分割される。その比は、丁度1:1以
外の比であることが好ましい。そのように分離又は分割
されたパワーは次に、ライン又は端子15,17を介し
て、以下に詳細が説明されるように、反応器14の一部
をなす個々の電極に印加される。
【0011】図2を参照すると、第1の好適実施例によ
るPECVD反応器が参照符号20で示されている。反
応器20は好ましくは容量結合式平行平板型反応器であ
り、これは、一つ以上のワークピース又はウェーハを処
理するように構成することもできるし、またそうしなく
ても構わない。好ましくは、反応器20は、その内部に
設けられる第1電極22を有する処理室21を画定す
る。電極22は、半導体ウェーハ片Wの状態の少なくと
も一つの半導体ワークピースを支持するように構成され
る。本明細書中において使用され、また各実施例におけ
る第1電極に関連して用いられる用語“支持”は、一つ
又はそれ以上の数の半導体ワークピースを、そこで化学
気相堆積が行なわれるように、所定の方向に維持又は位
置を決めて載置することを意味する。したがって、半導
体ワークピースを、図示されている水平位置以外の方向
に支持、維持、さもなければ載置することができる。さ
らに、本明細書では二つの電極だけを有する装置として
説明するが、本発明の反応器及び処理方法は、必ずしも
二つの電極のみではない装置にも適用できるものであ
る。第1電極22は、本発明による処理が実施されるた
めに、ウェーハWがその上に載置される第1電極表面領
域を有する。図示された好ましい実施例では、第1電極
22は、ワークピースを支持するサセプタである。処理
室21はその中に設けられる第2電極26を有する。電
極同士は相互に適当に離れるように、電極間にはギャッ
プが存在する。図示の好ましい実施例では、第2電極2
6はシャワーヘッド型電極を構成し、それは、サセプタ
の近傍に作動的に配置されるとともに、ガス供給ユニッ
ト12(図1参照)から反応室にガス状反応物を提供す
るように構成される。しかしながら、ガス状反応物は、
他の方法により反応器内に導入されてもよい。好ましく
は、第2電極26は、第1電極表面領域24とは異な
る、好ましくはそれよりも面積が狭い第2電極表面領域
28を画定する。すなわち、第1電極表面領域24は第
2電極表面領域28より面積が広い。第1電極と第2電
極間のそのような表面領域面積の差があることにより、
唯一の高周波パワーソースを用いたときに、電極間に発
生する高周波パワーの差が生じることになるのである。
このことは、以下の説明で明確となる。
【0012】更に図2を参照すると、ライン15,17
がそれぞれ第1及び第2電極22,26と作動的に接続
されている。このラインは、高周波パワー発生器18
(図1参照)を高周波パワースプリッタ16を介して各
電極に接続するものである。この高周波パワースプリッ
タ16は、以下で更に詳しく説明されるが、高周波パワ
ー発生器とサセプタとの間、及び高周波パワー発生器と
シャワーヘッド電極との間に作動的に介在するものであ
る。高周波パワー発生器18は単一のパワーソース発生
器であることが好ましく、これは、反応処理室と作動的
に連絡し、高周波パワースプリッタに高周波パワーを供
給するように構成される。そして、この高周波パワース
プリッタは次に、高周波パワーを、以下に詳細に述べる
選択されたパワー比率に従ってサセプタとシャワーヘッ
ドの両方に供給する。この構成が、高周波パワーソース
によってシャワーヘッド電極のみがパワー供給されると
共にサセプタ電極が接地されている従来のPECVD反
応器とは異なる新規な点である。図示の単一高周波パワ
ー発生器は、好ましくは、反応処理室内にプラズマ処理
環境を形成すると共に、半導体ワークピースに対して所
望のバイアスを与えるのに有効な高周波パワーを両電極
に供給するように構成される。例えば、両電極間に好ま
しいパワー差が維持されることにより、対象ワークピー
スに向かうイオン、イオン種の加速が容易となり、これ
により、特に高いアスペクト比形状における整った被覆
性を促進する。さらに、より均一な膜又は層の構造と、
より大きな膜又は層の純粋度の達成が可能である。
【0013】図3を参照すると、本発明の他の好適実施
例による、異なる形式のPECVD反応器30が示され
ている。この反応器は、誘導コイル型PECVD反応器
である。反応器30は、その中で本発明による化学気相
堆積処理が実行される反応処理室31を有する。第1電
極32が反応器の内部に設けられ、これは、その上にウ
ェーハW等の少なくとも一つの半導体ワークピースを支
持するように構成される。第1電極32は、好ましい単
一の高周波パワー発生器18(図1参照)によってパワ
ーが供給される。一つ以上のウェーハを本発明にしたが
って処理することも可能である。第2電極34は処理室
31の外側に設けられ、これは、同一の好ましい単一高
周波パワー発生器によってパワーが供給される複数のコ
イルからなる。
【0014】ここで、図2及び図3を参照すると、両実
施例とも、単一の高周波パワー発生器又は供給装置によ
ってパワーが供給される各電極を有するPECVD反応
器である。第1の実施例によれば、両方の電極が反応処
理室の内側に設けられている(図2)。第2実施例によ
れば、電極のうち少なくとも一方の電極は、反応処理室
の外側に設けられている(図3)。両方の好ましい実施
例において、両電極は、図1の発生器18のような単一
の高周波パワー発生器からパワーが供給される。上で説
明した通り、これは、両電極が共通且つ単一の高周波パ
ワーソースでパワーが供給されるものではない従来のP
ECVD反応器に対して、新規であることを表わす。
【0015】図4を参照すると、好ましい高周波パワー
スプリッタが参照符号36で示されている。図示されて
いる好ましい実施例のパワースプリッタ36は、入力側
又は一次側巻線40と出力側又は二次側巻線42とを有
するトランス38からなる。入力側40は、同軸ケーブ
ル44を介して高周波パワー発生器18(図1)に作動
的に結合又は接続され、そこで発生したパワーを受け
る。出力側42は少なくとも二つの出力端子15,17
を有し、これらの端子は、各第1及び第2電極22,2
6(図2のPECVD反応器の場合)に、又は各第1及
び第2電極32,34(図3のPECVD反応器の場
合)に、作動的に結合又は接続される。好ましい実施例
においては、出力側には二つ以上の端子が無く、また、
第1及び第2電極が前記端子によってパワーが供給され
る唯一の反応処理室を構成する。パワースプリッタ36
はパワー発生器によって供給される入力パワーを第1及
び第2成分に分離し、これら分離された第1及び第2成
分はその後、それぞれの電極に供給される。好ましいト
ランスの出力側は、以下に説明する選択されたパワー比
率に従って第1及び第2電極のそれぞれにパワーを供給
する。適当なマッチングネットワーク46がインピーダ
ンス整合の目的で設けられている。そのネットワーク
は、典型的には、インピーダンス整合のために構成され
る各種容量性部品及び誘導性部品から成る。それらは、
ブロックダイアグラムにボックス46として示されてい
る。
【0016】本発明の好ましい態様によれば、高周波パ
ワースプリッタ36は、第1及び第2電極のそれぞれに
供給される出力パワーが大きさにおいてほぼ等しいセン
タータップ付トランスからなる。パワースプリッタ36
が図2のPECVD反応器に使用される場合には、その
ようなトランスであることが好ましい。そのような状況
では、両電極に印加されるパワーの比率は電極22,2
6の表面領域24,28に比例することが分かった。し
たがって、対象とする表面領域を変更又は調整すること
により、パワー比率を操作又は選択することができ、そ
して、第1、第2のパワー成分が印加されるそれぞれの
電極側から“見た”第1及び第2パワー成分の大きさに
影響を及ぼすことができる。図示された好ましい実施例
では、サセプタの表面領域がシャワーヘッドの表面領域
より広いというように、そのような表面領域は互いに異
なるものである。そうすることにより、定義し得る関係
に従ったパワー差を生じさせることができる。そのよう
な関係は、予め定義された相対的な大きさに関するもの
であり、それは両電極の領域の4乗の逆比に正比例す
る。他の方法によれば、サセプタとシャワーヘッドとの
間の相対的な表面領域比率を変えることにより、それら
に印加されるパワーの変化を達成することができる。図
示される好ましい実施例では、第2電極即ちシャワーヘ
ッド26の表面領域は、第1電極即ちサセプタ22の表
面領域よりも小さいか狭い。そうすることにより、サセ
プタよりもシャワーヘッドにより大きいパワーが印加さ
れる結果をもたらす。これにより、反応室21へ導入さ
れた反応物質の堆積は、十分に活性化された種がワーク
ピースを支持している電極の方向に向かって降下される
という好ましい態様により、都合よく行なわれることに
なる。
【0017】図5を参照すると、他の好ましいパワース
プリッタが参照符号36aとして示されている。この好
適パワースプリッタによれば、対象となる電極間の表面
領域の比率に関係なく、しかも独立的に所望とする任意
のパワー差を生じさせることができ、これは、図2の反
応器の電極であろうと、図3の反応器の電極であろうと
も関係なく言えることである。最初に説明したパワース
プリッタの構成要素に用いた参照符号で適当なものはこ
の実施例でも同じ参照符号を用いることとし、構成に差
があるものについては付加記号“a”を付して、又は異
なる参照符号を用いて表すこととする。パワースプリッ
タ36aは、高周波発生器18(図1参照)と作動的に
結合される入力側40と、好適な反応器20,30の何
れか一方と作動的に結合される出力側42aとを有す
る。そうすることにより、しかし図2の反応器20は必
要としないが、サセプタ電極とシャワーヘッド電極のそ
れぞれの表面領域を、より殆ど同じにすることができ
る。パワースプリッタ36aは、両電極に供給されるパ
ワーを変化させるような態様で、選択されたパワー比率
を都合良く調節することができる。したがって、図示さ
れている好ましい実施例では、高周波パワースプリッタ
は、複数の二次側巻線42aを有するトランスからな
る。これらの二次側巻線は、参照符号48で示されるよ
うに、任意個所で接地可能となっている。
【0018】更に図5を参照すると、図示だけの目的で
はあるが、出力側42aは9個の巻線を有するように示
されている。異なる巻線又はコイルを選択的に接地する
ことにより、シャワーヘッド電極とサセプタ電極に異な
る比率でパワーが供給される。より具体的には、例えば
図示のように番号2のコイル又は巻線が接地されたとす
ると、電極22(図2)又は電極32(図3)は、パワー
発生器からの入力電力の2/9、即ち22.2%のパワ
ーを受けることになる。したがって、第2電極である電
極26(図2)又は電極34(図3)は、入力パワーの
7/9、即ち77.8%のパワーを受けることになる。
同じ原理により、もし番号7のコイル又は巻線が接地さ
れたら、パワーの分配比率が逆となる。即ち、第1電極
は入力パワーの7/9のパワーを受け、第2電極が2/9
のパワーを受けることになる。このように、異なった処
理状況となるように、好ましい電極へのパワーの供給量
を可変とすることができる。図5の好適実施例におい
て、パワースプリッタ36aは、異なる処理状況を達成
するべく選択されたパワー比率を可変とするために、エ
ンドユーザによって調節できるようになっている。その
ような処理状況では、第1電極よりも第2電極に対し
て、より多くのパワーを供給するのが好ましい。これに
代えて、半導体ワークピースに最も近い電極に供給され
るパワーを、そのワークピースから離れた位置の電極に
供給されるパワーより少なくすることもできる。
【0019】従って、二つの異なる、そして好ましいパ
ワースプリッタを説明したことになる。そのうち最初の
もの(図4)は、ほぼ同じ大きさの出力パワーを発生す
るのに有利なものである。そのようなパワースプリッタ
は、対象電極の表面領域面積の比率を変えることによっ
て図示電極に供給される最終的なパワーの大きさが調節
されるようになっている、例えば図2の反応器20のよ
うな反応器に使用されるのに適している。そのようなパ
ワースプリッタはまた、反応器30に関連して使用され
てもよい。パワースプリッタ36a(図5)によれば、
出力パワーは選択されるパワー比率まで可変的に調節さ
れる。このパワースプリッタは、電極の表面領域面積間
に意味を持つ相違を持たないか、又はそのような相違が
あることを要求されない、例えば図2の反応器20のよ
うな反応器への使用に適している。さらに、そのような
パワースプリッタは、図3の反応器30に関連して使用
することができ、そして実際に好ましい状態で使用され
ている。
【0020】図6を参照すると、上述した反応器に関連
して半導体ワークピースを処理するための好ましい方法
の代表的フローチャートが、参照符号100によって示
されている。好ましい方法は、最初のステップ110と
して、上で説明したPECVD反応器のうち選択した何
れか一つの反応器内に半導体ワークピースを載置する過
程を有する。好ましい実施例によれば、反応処理室の内
側においてワークピースを支持するためのものとして、
サセプタが設けられている。図2の実施例によれば、シ
ャワーヘッド電極26がサセプタの近くに作動的に設け
られており、これは、反応室内にガス状反応物を提供す
るように構成されている。図3の実施例によれば、少な
くとも反応器電極の一つは、反応室の外側に設けられ
る。ステップ112でガス状反応物が反応室内に導入さ
れ、その後、ステップ114で、好ましくは単一の又は
共通の高周波パワーソースから高周波パワーが提供され
る。ステップ116において、提供された高周波パワー
は第1及び第2の成分に分離され、これらは、上で説明
したそれぞれの電極に選択的に供給される。例えば、第
1のパワー成分は、ステップ118において第1電極に
印加される。ステップ120において、第2のパワー成
分は第2電極に印加される。好ましくは、印加される二
つのパワー成分は互いに異なる差を有している。その差
は、両電極表面領域の面積の違いにより(図2)、また
は、パワースプリッタ36aの二次側即ち出力側42a
(図5)を可変選択的に接地することにより生じるもの
である。好ましい実施例によれば、両パワー成分の相対
的大きさを変化させるために、センターコイル以外の、
トランスの出力側コイルを選択的に接地することができ
る。このことは、個々のユーザが反応器電極間に所望の
パワー比率を選択できる、オプションステップ122と
して示されている。処理ステップ124において、選択
された電極に所望のパワー比率が印加されることによ
り、半導体ワークピースは、その上に化学気相堆積が実
施されるように処理される。ステップ126において、
処理が完了し、そして次のワークピースが上の説明に従
って処理されることになる。
【0021】本願発明は、図面で示され且つ上で説明し
た好適実施例に限定されるものではなく、種々の変更、
改良が可能であることは言うまでもなく、均等の原則に
より、これらの変更、改良は、特許請求の範囲に記載の
本願発明に包含されるものである。 [図面の簡単な説明]
【図1】図1は本願発明の好適実施例によるプラズマ強
化化学気相堆積(PECVD)反応装置のブロック図で
ある。
【図2】図2は図1の装置に使用される好適なPECV
D反応器の一実施例を示す図である。
【図3】図3は図1の装置に使用される好適なPECV
D反応器の他の実施例を示す図である。
【図4】図4は図1の装置に使用される好適なパワース
プリッタの一実施例を示す図である。
【図5】図5は図1の装置に使用される好適なパワース
プリッタの他の実施例を示す図である。
【図6】図6は本発明の好適実施例による好適処理方法
を示すフローチャートである。
フロントページの続き (72)発明者 シャラン, スジット アメリカ合衆国, アイダホ州 83706, ボイズ, オリーサム プレイス エ ス. 5683 (72)発明者 サンデュ, ガーテ, エス. アメリカ合衆国, アイダホ州 83706, ボイズ, パークリバー ドライブ イー. 2964 (72)発明者 スミス, ポール アメリカ合衆国, カリフォルニア州 95112, サンホセ, テイラー スト リート #4, イー. 225 (72)発明者 チャン, メイ アメリカ合衆国, カリフォルニア州 95070, サラトガ, コート デ ア ルグエロ 12881 (56)参考文献 特開 平8−31806(JP,A) 特開 昭63−193527(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/205 C23C 16/505 H01J 37/32 H05H 1/46

Claims (28)

    (57)【特許請求の範囲】
  1. 【請求項1】 容量型プラズマ強化化学気相堆積反応装
    置であって、該装置は、 処理室と、 少なくとも一つの半導体ワークピースを支持するように
    構成され、第1領域面積を有する、前記処理室内のサセ
    プタ電極と、 前記サセプタ電極の近くに作動的に設けられ、前記処理
    室内にガス状反応物を提供するように構成され、前記第
    1領域面積とは異なる面積の第2領域面積を有する、前
    記処理室内のシャワーヘッド電極と、 前記サセプタ電極と前記シャワーヘッド電極とに作動的
    に結合され、前記処理室内にプラズマ処理環境と前記半
    導体ワークピースに対して所望のバイアスとを有効的に
    生じさせるために、前記両電極に高周波パワーを提供す
    るように構成された単一の高周波パワー発生器と、 一次巻線とこれとは分離した二次巻線を有するトランス
    からなる高周波スプリッタであり、前記一次巻線が高周
    波パワー発生器に接続され、前記二次巻線が前記サセプ
    タ電極とシャワーヘッド電極の両方に接続され、前記高
    周波パワースプリッタは、前記高周波パワー発生器から
    のパワーを、前記サセプタ電極と前記シャワーヘッド電
    極との間に選択されたパワー比率をもって供給するよう
    に構成された高周波パワースプリッタとを具備し、 前記サセプタ電極の前記第1領域面積は前記シャワーヘ
    ッド電極の前記第2領域面積より広く、 前記選択されたパワー比率は前記第1及び第2領域面積
    の逆比に比例する、 ことを特徴とする化学気相堆積反応装置。
  2. 【請求項2】 請求項1に記載のプラズマ強化化学気相
    堆積反応装置において、前記選択されたパワー比率はそ
    の比が1:1以外であることを特徴とする化学気相堆積
    反応装置。
  3. 【請求項3】 請求項1に記載のプラズマ強化化学気相
    堆積反応装置において、前記高周波パワースプリッタ
    は、少なくとも二つの出力端子を有するセンタータップ
    付トランスからなり、各出力端子が前記サセプタ電極及
    びシャワーヘッド電極の一つとそれぞれ接続されている
    ことを特徴とする化学気相堆積反応装置。
  4. 【請求項4】 請求項1に記載のプラズマ強化化学気相
    堆積反応装置において、前記高周波パワースプリッタは
    センタータップ付二次巻線を有するトランスからなるこ
    とを特徴とする化学気相堆積反応装置。
  5. 【請求項5】 請求項1に記載のプラズマ強化化学気相
    堆積反応装置において、前記選択されたパワー比率は調
    節可能であることを特徴とする化学気相堆積反応装置。
  6. 【請求項6】 請求項1に記載のプラズマ強化化学気相
    堆積反応装置において、前記高周波スプリッタは、前記
    選択されたパワー比率を変えるための接地箇所変更可能
    な複数の二次巻線を有するトランスであることを特徴と
    する化学気相堆積反応装置。
  7. 【請求項7】 請求項1に記載のプラズマ強化化学気相
    堆積反応装置において、前記高周波スプリッタは、前記
    選択されたパワー比率を変えるための接地箇所変更可能
    な複数の二次巻線を有することを特徴とする化学気相堆
    積反応装置。
  8. 【請求項8】 平行平板型プラズマ強化化学気相堆積反
    応装置であって、該装置は、 処理室と、 少なくとも一つの半導体ワークピースを支持するように
    構成され、第1領域面積を有する、前記処理室内のサセ
    プタ電極と、 前記処理室内に反応物を提供するように構成され、前記
    第1領域面積の面積よりも少ない面積の第2領域面積を
    有する、前記処理室内のシャワーヘッド電極と、 前記シャワーヘッド電極とサセプタ電極の両電極に作動
    的に結合され、前記サセプタ電極によって支持される半
    導体ワークピースに対して所望のバイアスと、前記処理
    室内にプラズマ処理環境とを有効的に生じさせるため
    に、前記シャワーヘッド電極とサセプタ電極の領域面積
    の逆比に比例したパワー比率で、各電極に対して高周波
    パワーを提供するように構成された単一の高周波パワー
    ソースと、 を具備することを特徴とする化学気相堆積反応装置。
  9. 【請求項9】 請求項8に記載の平行平板型プラズマ強
    化化学気相堆積反応装置において、前記両電極に提供さ
    れるパワー比率は、前記両電極の領域面積の逆比の4乗
    に正比例することを特徴とする化学気相堆積反応装置。
  10. 【請求項10】 平行平板型プラズマ強化化学気相堆積
    反応装置であって、該装置は、 処理室と、 少なくとも一つの半導体ワークピースを支持するように
    構成され、サセプタ電極領域面積を有する、前記処理室
    内のサセプタ電極と、 前記処理室内に反応物を提供するように構成され、前記
    サセプタ電極領域面積よりも少ないシャワーヘッド領域
    面積を有し、前記処理室内で前記サセプタ電極の近傍に
    作動的に設けられたシャワーヘッド電極と、 前記処理室と作動的に関連し、高周波パワーを提供する
    ように構成された単一の高周波パワー発生器と、 入力側とこれとは分離した二つ以上でない出力端子を有
    するタップ付き二次側とを有するトランスであって、入
    力側は前記高周波パワー発生器に作動的に接続され且つ
    これから高周波パワーを受けることができ、それぞれの
    各出力端子は前記サセプタ電極とシャワーヘッド電極と
    に接続され、各電極に対して、前記電極の領域面積の逆
    比に比例した選択されたパワー比率をもって高周波パワ
    ーを提供するように構成されたトランスと、 を具備することを特徴とする化学気相堆積反応装置。
  11. 【請求項11】 プラズマ反応装置であって、該装置
    は、 処理空間を画定する処理室と、 前記処理室と作動的に関連し、ワークピースを支持する
    ように構成され、第1領域面積を有する第1電極と、 前記処理室と作動的に関連し、前記第1領域面積より狭
    い第2領域面積を有する第2電極と、 単一の高周波パワー発生器と、 一次巻線とこれとは分離した二次巻線とを有するトラン
    スであって、前記一次巻線はパワーを受けるために高周
    波パワー発生器に接続され、前記二次巻線は二つの出力
    端子を有し、その一方の出力端子は前記第1電極に接続
    され、他方の出力端子は第2電極に接続され、前記二次
    巻線は、各電極に対して、該電極の領域面積の逆比に比
    例した選択されたパワー比率をもって高周波パワーを提
    供するように構成されたトランスと、 を具備することを特徴とするプラズマ反応装置。
  12. 【請求項12】 請求項11に記載のプラズマ反応装置
    において、前記電極の内の少なくとも一つの電極は、前
    記処理室内に設けられ、半導体ワークピースを支持する
    ように構成されることを特徴とするプラズマ反応装置。
  13. 【請求項13】 請求項11に記載のプラズマ反応装置
    において、前記二次巻線は、前記選択されたパワー比率
    を変化させるために、複数の接地箇所変更可能巻線を有
    することを特徴とするプラズマ反応装置。
  14. 【請求項14】 容量型プラズマ強化化学気相堆積反応
    装置であって、該装置は、 少なくとも一つの半導体ワークピースを支持するように
    構成された前記処理装置内のサセプタ電極と、 前記サセプタ電極の近くに作動的に設けられ、前記処理
    装置内にガス状反応物を提供するように構成され、前記
    サセプタ電極の領域面積よりも狭い領域面積を有する、
    前記処理装置内のシャワーヘッド電極と、 前記サセプタ電極と前記シャワーヘッド電極とに作動的
    に結合され、前記処理室内にプラズマ処理環境と前記半
    導体ワークピースに対して所望のバイアスとを有効的に
    生じさせるために、前記両電極に高周波パワーを提供す
    るように構成された単一の高周波パワー発生器と、 一次巻線とこれとは分離した二次巻線を有するトランス
    からなる高周波スプリッタであり、前記一次巻線が高周
    波パワー発生器に接続され、前記二次巻線が前記サセプ
    タ電極とシャワーヘッド電極の両方に接続され、前記高
    周波パワースプリッタは、前記高周波パワー発生器から
    のパワーを、前記サセプタ電極と前記シャワーヘッド電
    極との間に選択されたパワー比率をもって供給するよう
    に構成された高周波パワースプリッタとを具備し、 前記選択されたパワー比率は前記第1及び第2領域面積
    の逆比に比例する、 ことを特徴とする化学気相堆積反応装置。
  15. 【請求項15】 請求項14に記載のプラズマ強化化学
    気相堆積反応装置において、前記選択されたパワー比率
    はその比が1:1以外であることを特徴とする化学気相
    堆積反応装置。
  16. 【請求項16】 請求項14に記載のプラズマ強化化学
    気相堆積反応装置において、前記高周波パワースプリッ
    タは、少なくとも二つの出力端子を有するセンタータッ
    プ付二次巻線を具えたトランスからなり、それぞれの出
    力端子は、前記サセプタ電極及び前記シャワーヘッド電
    極のそれぞれ一つに接続されることを特徴とする化学気
    相堆積反応装置。
  17. 【請求項17】 請求項14に記載のプラズマ強化化学
    気相堆積反応装置において、前記高周波パワースプリッ
    タは、センタータップ付二次巻線を具えたトランスから
    なることを特徴とする化学気相堆積反応装置。
  18. 【請求項18】 請求項14に記載のプラズマ強化化学
    気相堆積反応装置において、前記選択されたパワー比率
    は調節可能であることを特徴とする化学気相堆積反応装
    置。
  19. 【請求項19】 請求項14に記載のプラズマ強化化学
    気相堆積反応装置において、前記高周波スプリッタは、
    前記選択されたパワー比率を変えるための接地箇所変更
    可能な複数の二次巻線を有することを特徴とする化学気
    相堆積反応装置。
  20. 【請求項20】 処理室内の半導体ワークピース上に物
    質をプラズマ強化化学気相堆積するための半導体処理方
    法であって、該方法は、 ワークピースを支持するための、第1領域面積を有する
    第1電極を提供する過程と、 前記処理室内に作動的に関連して第2電極を提供する過
    程であって、該第2電極は第2領域面積を有し、前記第
    1及び第2電極は、その電極に対して所望のバイアスが
    与えられると共にプラズマ処理環境を形成する処理室電
    極を構成する過程と、 前記第1及び第2電極の両方に、一次巻線と二次巻線と
    を有する可変トランスを介して単一の高周波発生器から
    高周波パワーを印加する過程であって、印加されるパワ
    ーは、前記第1及び第2領域面積の逆比に比例した所定
    パワー比率を前記第1及び第2電極間に画定するもので
    ある過程と、 前記処理室内の第1電極によって支持されるウェーハ上
    に物質の層を化学気相堆積するのに有効な少なくとも一
    つの反応性ガスを前記処理室内に提供する過程と、 を具備することを特徴とする半導体処理方法。
  21. 【請求項21】 請求項20に記載の半導体処理方法に
    おいて、前記第2電極は処理室の内側に設けられること
    を特徴とする半導体処理方法。
  22. 【請求項22】 請求項20に記載の半導体処理方法に
    おいて、前記第2電極は処理室の外側に設けられること
    を特徴とする半導体処理方法。
  23. 【請求項23】 請求項20記載の半導体処理方法にお
    いて、 前記第2電極は処理室の内側に設けられ、 前記第1電極はサセプタ電極であり、 前記第2電極はシャワーヘッド電極であり、該シャワー
    ヘッド電極が前記処理室内に反応物を提供するように構
    成され、 前記第1及び第2電極のそれぞれの第1及び第2領域面
    積は互いに異なる面積である、 ことを特徴とする半導体処理方法。
  24. 【請求項24】 請求項20に記載の半導体処理方法に
    おいて、前記印加過程は、 前記第1電極、第2電極、及び高周波パワースプリッタ
    の間に作動的な接続を形成する過程と、 前記高周波パワースプリッタと前記単一高周波パワー発
    生器との間に作動的な接続を形成する過程と、 前記高周波パワー発生器によって供給される高周波パワ
    ーを第1及び第2パワー成分に分離する過程と、 前記第1パワー成分を前記第1電極に印加する過程と、 前記第2パワー成分を前記第2電極に印加する過程と、 からなることを特徴とする半導体処理方法。
  25. 【請求項25】 請求項20に記載の半導体処理方法に
    おいて、前記印加過程は、 前記第1電極、前記第2電極、及び前記トランスの前記
    二次巻線の間に作動的な接続を形成する過程と、 前記トランスの一次巻線と前記単一の高周波パワー発生
    器の間に作動的な接続を形成する過程と、 前記高周波パワー発生器によって供給される高周波パワ
    ーを第1及び第2パワー成分に分離する過程と、 前記第1パワー成分を前記第1電極に印加する過程と、 前記第2パワー成分を前記第2電極に印加する過程とか
    らなり、 前記二次巻線は、前記第1及び第2パワー成分のそれぞ
    れの大きさが変化できるように複数の接地箇所変更可能
    コイルからなる、 ことを特徴とする半導体処理方法。
  26. 【請求項26】 処理室内の半導体ワークピース上に物
    質をプラズマ強化化学気相堆積するための半導体処理方
    法であって、該方法は、 ワークピースを支持するための、第1領域面積を有する
    第1電極を前記処理室内に提供する過程と、 前記処理室内に、第2領域面積を有する第2電極を提供
    する過程と、 一次巻線と二次巻線とを有するトランスを提供する過程
    であって、前記二次巻線は複数のコイルからなり、その
    内の一つはセンターコイルであるトランスを提供する過
    程と、 前記トランスの一次巻線と前記単一の高周波発生器との
    間に作動的な接続を形成する過程であって、前記発生器
    は前記一次巻線に高周波パワーを提供するように構成さ
    れると共に、前記発生器は前記処理室に作動的に関連し
    た唯一の高周波パワーソースである過程と、 前記トランスの二次側と前記第1及び第2電極との間に
    作動的な接続を形成する過程であって、該接続は前記ト
    ランスと処理室電極とを結ぶ唯一の接続である過程と、 互いに大きさが異なる第1及び第2パワー成分を発生す
    るために、トランスの二次巻線の内、センターコイル以
    外の前記二次巻線コイルの一つのみを接地する過程であ
    って、前記第1パワー成分は第1電極に印加され、前記
    第2パワー成分は第2電極に印加され、前記第1及び第
    2パワー成分の間の比率は、前記第1及び第2領域面積
    の逆比に比例する過程と、 前記処理室内の第1電極によって支持されるウェーハ上
    に物質の層を化学気相堆積するのに有効な少なくとも一
    つの反応性ガスを前記処理室内に提供する過程と、 を具備することを特徴とする半導体処理方法。
  27. 【請求項27】 請求項26に記載の半導体処理方法に
    おいて、前記第1パワー成分は前記第2パワー成分より
    も大きいことを特徴とする半導体処理方法。
  28. 【請求項28】 請求項26に記載の半導体処理方法に
    おいて、前記トランスは、前記第1及び第2パワー成分
    の相対的な大きさを変化するために、複数の二次巻線コ
    イルの内、他のコイルを選択的に接地させることができ
    ることを特徴とする半導体処理方法。
JP2000532863A 1998-02-19 1999-02-16 高周波型プラズマ強化化学気相堆積反応装置、及びプラズマ強化化学気相堆積処理を実施するための方法 Expired - Fee Related JP3527201B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/026,042 US6395128B2 (en) 1998-02-19 1998-02-19 RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
US09/026,042 1998-02-19
PCT/US1999/003291 WO1999043018A1 (en) 1998-02-19 1999-02-16 Rf powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition

Publications (2)

Publication Number Publication Date
JP2002504749A JP2002504749A (ja) 2002-02-12
JP3527201B2 true JP3527201B2 (ja) 2004-05-17

Family

ID=21829553

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000532863A Expired - Fee Related JP3527201B2 (ja) 1998-02-19 1999-02-16 高周波型プラズマ強化化学気相堆積反応装置、及びプラズマ強化化学気相堆積処理を実施するための方法

Country Status (9)

Country Link
US (5) US6395128B2 (ja)
EP (2) EP1764822A3 (ja)
JP (1) JP3527201B2 (ja)
KR (1) KR100388530B1 (ja)
AT (1) ATE346375T1 (ja)
AU (1) AU2767499A (ja)
DE (1) DE69934101T2 (ja)
TW (1) TW460606B (ja)
WO (1) WO1999043018A1 (ja)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6395128B2 (en) 1998-02-19 2002-05-28 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6562684B1 (en) 2000-08-30 2003-05-13 Micron Technology, Inc. Methods of forming dielectric materials
US6838380B2 (en) * 2001-01-26 2005-01-04 Fei Company Fabrication of high resistivity structures using focused ion beams
US6660651B1 (en) 2001-11-08 2003-12-09 Advanced Micro Devices, Inc. Adjustable wafer stage, and a method and system for performing process operations using same
US6861105B2 (en) * 2002-06-18 2005-03-01 Guardian Industries Corp. Method of making automotive trim with chromium inclusive coating thereon, and corresponding automotive trim product
US7406245B2 (en) * 2004-07-27 2008-07-29 Lumitex, Inc. Flat optical fiber light emitters
US7625460B2 (en) * 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7042311B1 (en) * 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
KR101183140B1 (ko) * 2004-05-06 2012-09-14 주성엔지니어링(주) 펄스형 알에프전력을 이용하는 공정장비 및 이를 이용한기판의 처리방법
US20050258148A1 (en) * 2004-05-18 2005-11-24 Nordson Corporation Plasma system with isolated radio-frequency powered electrodes
JP4526540B2 (ja) * 2004-12-28 2010-08-18 株式会社日立国際電気 基板処理装置および基板処理方法
TW200834671A (en) * 2007-02-12 2008-08-16 Innolux Display Corp Plasma enhanced chemical vapor deposition device
US7863582B2 (en) * 2008-01-25 2011-01-04 Valery Godyak Ion-beam source
EP2145978A1 (fr) * 2008-07-16 2010-01-20 AGC Flat Glass Europe SA Procédé et installation pour le dépôt de couches sur un substrat
EP2145979A1 (fr) * 2008-07-16 2010-01-20 AGC Flat Glass Europe SA Procédé et installation pour le dépôt de couches sur les deux faces d'un substrat de façon simultanée
US8617668B2 (en) * 2009-09-23 2013-12-31 Fei Company Method of using nitrogen based compounds to reduce contamination in beam-induced thin film deposition
US8755204B2 (en) * 2009-10-21 2014-06-17 Lam Research Corporation RF isolation for power circuitry
US9598771B2 (en) 2011-08-30 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric film defect reduction
US10224182B2 (en) * 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9484233B2 (en) 2012-04-13 2016-11-01 Novellus Systems, Inc. Carousel reactor for multi-station, sequential processing systems
US9449795B2 (en) 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
JP5788616B1 (ja) 2015-02-04 2015-10-07 株式会社京三製作所 高周波電源装置、及びデュアルカソード用電源
CN113445029A (zh) * 2020-03-25 2021-09-28 拓荆科技股份有限公司 双面沉积设备及方法

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
JPS63193527A (ja) 1987-02-06 1988-08-10 Mitsubishi Electric Corp エツチング装置
US5230931A (en) 1987-08-10 1993-07-27 Semiconductor Energy Laboratory Co., Ltd. Plasma-assisted cvd of carbonaceous films by using a bias voltage
US4887005A (en) 1987-09-15 1989-12-12 Rough J Kirkwood H Multiple electrode plasma reactor power distribution system
US4871421A (en) * 1988-09-15 1989-10-03 Lam Research Corporation Split-phase driver for plasma etch system
JPH02213480A (ja) 1989-02-14 1990-08-24 Nippon Light Metal Co Ltd 高周波プラズマ発生用アルミニウム電極
JPH02298024A (ja) 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
JP3016821B2 (ja) 1990-06-15 2000-03-06 東京エレクトロン株式会社 プラズマ処理方法
FR2663806A1 (fr) 1990-06-25 1991-12-27 Commissariat Energie Atomique Reacteur a plasma du type triode, utilisable notamment pour la gravure, le depot ou le nettoyage de surfaces.
DE4025396A1 (de) * 1990-08-10 1992-02-13 Leybold Ag Einrichtung fuer die herstellung eines plasmas
US5052339A (en) 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
JPH04362091A (ja) 1991-06-05 1992-12-15 Mitsubishi Heavy Ind Ltd プラズマ化学気相成長装置
US5260236A (en) 1991-06-07 1993-11-09 Intel Corporation UV transparent oxynitride deposition in single wafer PECVD system
JPH05175163A (ja) * 1991-12-24 1993-07-13 Mitsubishi Electric Corp プラズマ処理装置
DE69226253T2 (de) 1992-01-24 1998-12-17 Applied Materials Inc Plasmaätzverfahren und Reaktor zur Plasmabearbeitung
JP3122228B2 (ja) 1992-05-13 2001-01-09 忠弘 大見 プロセス装置
US5567267A (en) 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5665167A (en) * 1993-02-16 1997-09-09 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus having a workpiece-side electrode grounding circuit
JP3351843B2 (ja) 1993-02-24 2002-12-03 忠弘 大見 成膜方法
US5439524A (en) 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5433786A (en) 1993-08-27 1995-07-18 The Dow Chemical Company Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein
US5468296A (en) 1993-12-17 1995-11-21 Lsi Logic Corporation Apparatus for igniting low pressure inductively coupled plasma
US5435881A (en) * 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
DE69512371T2 (de) 1994-05-13 2000-04-06 Applied Materials Inc Magnetisch verbesserte multiple kapazitive plasmagenerationsvorrichtung und verfahren
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JPH0831806A (ja) * 1994-07-20 1996-02-02 Hitachi Ltd プラズマ処理装置
US5607542A (en) 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5932116A (en) 1995-06-05 1999-08-03 Tohoku Unicom Co., Ltd. Power supply for multi-electrode discharge
TW434745B (en) 1995-06-07 2001-05-16 Tokyo Electron Ltd Plasma processing apparatus
US5656123A (en) 1995-06-07 1997-08-12 Varian Associates, Inc. Dual-frequency capacitively-coupled plasma reactor for materials processing
JP2814370B2 (ja) 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5865937A (en) * 1995-08-21 1999-02-02 Applied Materials, Inc. Broad-band adjustable power ratio phase-inverting plasma reactor
KR100201386B1 (ko) * 1995-10-28 1999-06-15 구본준 화학기상증착장비의 반응가스 분사장치
JPH09312297A (ja) 1995-12-05 1997-12-02 Applied Materials Inc 薄膜のプラズマアニール
JPH09167755A (ja) 1995-12-15 1997-06-24 Nec Corp プラズマ酸化膜処理装置
JP2882339B2 (ja) * 1996-02-21 1999-04-12 日本電気株式会社 タングステンcvd反応室内のエッチング方法
US5981899A (en) 1997-01-17 1999-11-09 Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
US6395128B2 (en) * 1998-02-19 2002-05-28 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition

Also Published As

Publication number Publication date
AU2767499A (en) 1999-09-06
TW460606B (en) 2001-10-21
US20010003270A1 (en) 2001-06-14
EP1057205A1 (en) 2000-12-06
US6395128B2 (en) 2002-05-28
EP1764822A3 (en) 2008-01-23
KR20010086231A (ko) 2001-09-10
US6533894B2 (en) 2003-03-18
JP2002504749A (ja) 2002-02-12
DE69934101D1 (de) 2007-01-04
US6235646B1 (en) 2001-05-22
EP1764822A2 (en) 2007-03-21
US20020061656A1 (en) 2002-05-23
WO1999043018A1 (en) 1999-08-26
US6159867A (en) 2000-12-12
EP1057205B1 (en) 2006-11-22
ATE346375T1 (de) 2006-12-15
DE69934101T2 (de) 2007-06-21
US6705246B2 (en) 2004-03-16
KR100388530B1 (ko) 2003-06-25
US20020056416A1 (en) 2002-05-16

Similar Documents

Publication Publication Date Title
JP3527201B2 (ja) 高周波型プラズマ強化化学気相堆積反応装置、及びプラズマ強化化学気相堆積処理を実施するための方法
US7164236B2 (en) Method and apparatus for improved plasma processing uniformity
US6422172B1 (en) Plasma processing apparatus and plasma processing method
JP3822055B2 (ja) 高周波型プラズマ強化化学気相堆積反応装置、及びそれを実施する方法
US6991701B2 (en) Plasma treatment method and apparatus
US6042700A (en) Adjustment of deposition uniformity in an inductively coupled plasma source
JP3697110B2 (ja) プラズマ化学蒸着装置
GB2260339A (en) Ecr plasma cvd method and apparatus for preparing a silicon oxide film
EP1264329B1 (en) Plasma deposition method and system
US20010037770A1 (en) Plasma processing apparatus and processing method
JPH11260596A (ja) プラズマ処理装置及びプラズマ処理方法
US20030010453A1 (en) Plasma processing apparatus and plasma processing method
JP2000332000A (ja) プラズマ処理装置及びプラズマ処理装置の制御方法
JPH11340150A (ja) プラズマ化学蒸着装置
JP3530788B2 (ja) マイクロ波供給器及びプラズマ処理装置並びに処理方法
JP2000031121A (ja) プラズマ放出装置及びプラズマ処理装置
JP2001345312A (ja) プラズマ処理装置及びプラズマ処理方法並びに構造体の製造方法
JP2848755B2 (ja) プラズマcvd装置
JP3133174B2 (ja) プラズマ処理装置及びプラズマ処理方法
JPH07273089A (ja) プラズマ処理装置及びプラズマ処理方法
KR20050019628A (ko) 대면적 플라즈마 발생을 위한 고주파전원 공급장치 및이를 이용한 전원공급방법
JPH01230781A (ja) 薄膜形成方法および薄膜形成装置

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20030107

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040210

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040218

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080227

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090227

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090227

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090227

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090227

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090227

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100227

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100227

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100227

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100227

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100227

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110227

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120227

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120227

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130227

Year of fee payment: 9

LAPS Cancellation because of no payment of annual fees