JPH09312297A - 薄膜のプラズマアニール - Google Patents

薄膜のプラズマアニール

Info

Publication number
JPH09312297A
JPH09312297A JP8356529A JP35652996A JPH09312297A JP H09312297 A JPH09312297 A JP H09312297A JP 8356529 A JP8356529 A JP 8356529A JP 35652996 A JP35652996 A JP 35652996A JP H09312297 A JPH09312297 A JP H09312297A
Authority
JP
Japan
Prior art keywords
plasma
layer
film
wafer
titanium nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP8356529A
Other languages
English (en)
Inventor
Michael Danek
ダネック マイケル
Liao Marvin
ラオ マーヴィン
Chyi Chern
チェーン チー
Eric Englhardt
エングルハート エリック
Roderick C Mosely
シー. モーズリー ロデリック
Karl A Littau
エー. リタウ カール
Ivo Raajimakers
ラージマーカーズ イヴォ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH09312297A publication Critical patent/JPH09312297A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/336Changing physical properties of treated surfaces

Abstract

(57)【要約】 【課題】 PVDの良好な電気拡散抵抗を維持し安定な
膜特性を実現しつつ、CVDの高い共形性及び良好な充
填性を実現する。 【解決手段】 プラズマアニールシステムは、CVDチ
ャンバと高周波電源とを有している。また、チャンバ及
びウエハ支持のためのサセプタにガスを導入するための
シャワーヘッドが具備される。CVDプロセスで薄膜を
堆積した後、シャワーヘッドを介して、窒素水素及びア
ルゴンを有する混合ガスを導入する。シャワーヘッド及
びサセプタはそれぞれ、高周波電源により駆動され、チ
ャンバ内にプラズマを生成する。プラズマからのイオン
がウエハ表面上の膜に衝突し、特性を向上させる。プラ
ズマに水素が存在するため、膜及びチャンバ表面に存在
する炭素の量が低減する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体ウエハ上に
形成される薄膜の改良に関する。特に、半導体処理チャ
ンバ内で高密度イオン衝突により薄膜の特性を改良する
方法及び装置に関する。
【0002】
【従来の技術】半導体デバイスの製造では、半導体ウエ
ハ上に種々の材料を堆積させる操作を行う。これは典型
的には、処理装置の堆積チャンバ内で行われる。ウエハ
上に材料の層を堆積させる方法は種々あり、例えば、化
学気相堆積法(CVD)、エバポレーション法、エピタ
キシー法及びスパッタリング法等である。堆積する材料
は、典型的には、シリコン、シリコン酸化物、アルミニ
ウム、チタン及び窒化チタンてあってもよい。
【0003】チップの密度が高くなり半導体デバイスが
縮小化するにつれて、加工の質が重要となってくる。特
に、所望の電気的特性及びバリア品質を実現するため
に、堆積した膜の組成、構造及び安定性を細心に制御す
る必要がある。これは、半導体ウエハの処理に窒化チタ
ン(TiN)薄膜の形成に関する考慮事項として例示す
ることができる。
【0004】窒化チタン膜は典型的には、Ti(N
24やテトラキスジアルキルアミノチタン(Rはアル
キル基)等のメタロオーガニックチタン化合物を用いて
開始するCVDプロセスにより生成される。例えば、式
がTi(N(CH324のテトラキスジメチルアミノ
チタン(TDMAT)を用いるのが通常である。この化
合物は、200〜600℃の比較的低い温度で0.1〜
100トールの真空圧力下で容易に分解して窒化チタン
を堆積させる。従って、TDMATは、このような温度
及び圧力が代表的であるようなCVDチャンバにおいて
熱により堆積することが適している。
【0005】あるいは窒化チタン膜は、アンモニア、窒
素、水素又はヒドラジンからのフリーラジカル等の、遠
隔で発生した反応種とこれら化合物を反応させることに
より堆積させてもよい。また、プラズマを用いて反応及
び続いて行う堆積を推進させる、プラズマ励起CVDプ
ロセス(PECVD)で、この膜を堆積させてもよい。
このプロセスは、プラズマからの荷電粒子のエネルギー
を用いて反応を開始させる点で、基板の高い温度を用い
て反応を開始させる熱CVDプロセスと区別することが
できる。
【0006】また、窒化チタン膜は、窒素環境下でチタ
ンメタルをスパッタリングして、基板上に窒化チタンを
形成することにより、形成することもできる。このプロ
セスの1つの具体例では、上下平行板を収容するチャン
バにアルゴン等のガスが供給される。これら2つの板の
うち上側(カソード)は、これに固定されたチタンから
成るターゲットを有し、また、DC電圧源に接続されて
いる。下側の板(アノード)は半導体ウエハを支持し、
また、直接にあるいはキャパシタを介して高周波(R
F)電圧源に接続される。
【0007】作動に際して、負電位がカソードに印加さ
れる。RF電源及びウエハのキャパシタンス(及びキャ
パシタを用いる場合はキャパシタ)によりアノードに負
のバイアスが与えられる。これら2つの板の間の電界に
より、電子がカソードから放出し、板間の領域でアルゴ
ン原子を叩く。アルゴン原子は自身の電子を失い、正に
イオン化する。このイオンは、負バイアスによりカソー
ドに誘引され、ターゲットを叩く。チタン原子がターゲ
ットから追い出され、ないしは「スパッタされ」、プラ
ズマの端から端まで移動し、基板を叩く。チタン原子の
中には、プラズマ中で自身がイオン化するものもある。
スパッタされたチタン原子やイオンは、充分なエネルギ
ーをもって表面に付き、あるいはこれを叩き、基板中に
より深く注入する。拡散が生じる場合もあり、その場合
は、スパッタしたチタンが横方向に広がり、あるいは基
板中に更に深く移動する。
【0008】反応性スパッタリングと称されるこのプロ
セスの進行において、通常のアルゴンスパッタリングプ
ラズマに反応性ガスを加え、基板上に堆積する材料の組
成を変える。例えば、アルゴンプラズマガスに窒素を加
えることにより、窒化チタンを反応性スパッタリングす
る。窒素はプラズマ中でイオン化し、プラズマ中を通過
するチタン原子と結合し、窒化チタンを形成する。
【0009】しかし残念ながら、これらの膜堆積の方法
には、ある不利益がある。
【0010】窒化チタン膜の熱CVDには、良好な堆積
速度、良好な立体形状の共形性及びステップカバレー
ジ、低い欠陥密度といった利点があり、また、形成され
た窒化チタン層良好なバリア性質を有している。しか
し、TDMATを用いるプロセスのように、プロセスに
よっては、窒化チタン膜の抵抗率が高くなり不安定にな
るものもある。この理由の一部として、堆積膜のかなり
の部分が炭素(ハイドロカーボン、カーバイド等)でで
きていること、並びに、化学反応性の金属であるチタン
が膜中で完全に反応していないだろうことが挙げられ
る。
【0011】高い炭素含有量と未反応のチタンとによ
り、化学反応性の膜が生じることになる。膜が空気その
他の酸素含有ガスに曝露されれば、酸素を吸収し、安定
性を弱め、抵抗率を高めることになる。空気への曝露の
後は、TiN膜の抵抗率は、約10000μΩ−cmま
で上昇し、場合によっては100000μΩ−cmまで
上昇する。この膜を導電コンタクトやバイアのためのバ
リアとして用いる場合には、抵抗率を1000μΩ−c
m以下まで下げることが望ましいため、この事は問題と
なる。従って、集積回路製造において熱により堆積した
CVD窒化チタンは通常、コンタクトの全抵抗率に対す
る窒化チタン膜の抵抗率の寄与がさほど大きくないよう
な用途に限定されてきた。
【0012】他方、物理気相堆積(PVD)プロセスに
よるスパッタで堆積した膜は、典型的には、50〜15
0μΩ−cmの範囲の低い抵抗率を有している。しか
し、従来からのプラズマプロセスでは、ワイヤ、トレン
チ、バイア、コンタクトホールやその他の立体形状を始
めとする基板の立体構造に正しく共形な膜を堆積するこ
とが困難である。
【0013】この問題は、図1(a)及び(b)に例示
されており、これらはそれぞれ、集積回路を理想化し且
つより写実的にした実際の断面を表している。図1
(b)に示されるように、実際の集積回路の立体形状
は、直線的ではなくまた平坦でもない。この事が、実際
の集積回路の電気特性は設計パラメータから外れる原因
となり、また、回路の動作に悪影響を及ぼす。例えば、
高速集積回路を細心に設計する場合は、半導体構造体の
中にある種々の寄生キャパシタンスを考慮すべきであ
る。立体形状のサイズや構成が外れることにより、回路
の動作が不適正になる方向に、そのキャパシタンスが変
化する。従って、より無難に且つ安全区域を大きく保つ
ように設計を行う必要がある。
【0014】更に、ウエハの表面がステップ状であるた
め、堆積が不均等になる。トレンチのコーナーの外側で
は蓄積物が生じる。この蓄積物により、トレンチの端か
ら端までを塞ぐオーバーハングを形成し、そのベースに
ボイドが形成される。また、壁と壁の間の間隔は小さい
ため(1.6ミクロン未満のように)、堆積したメタル
層は壁間の領域でトレンチの床ではより薄くなる。
【0015】
【発明が解決しようとする課題】この問題は図2に例示
されている。図示の如く、半導体ウエハ10には、メタ
ル層の堆積に先立ち、表面処理中にトレンチ12が形成
されている。典型的なPVDプロセスから堆積する原子
14は、ウエハ10にお対して垂直ではない入射角で到
達し、トレンチのコーナー16の外側に蓄積する傾向が
ある。この蓄積が続けば、係る問題が更に悪化し、堆積
した材料がトレンチの内側に「影を作る」。その結果、
トレンチは完全に充填されなくなり、その代わりに、そ
の床にはメタルの薄い層18を有することになり、その
外側コーナーではそこに堆積したメタルの過剰に厚い層
を有することになる。堆積が続けば、蓄積物のコーナー
同士が相互に向かって「成長し」、遂には、トレンチの
未充填の内部を閉じてしまい、その結果、トレンチ12
の中にはボイド20が形成される。
【0016】加えて、PVDプロセスやプラズマ励起C
VD(PECVD)プロセス等のプラズマ堆積プロセス
では、従来のCVDプロセスよりも著しく高いレベルの
マクロ粒子が発生する。このマクロ粒子は、粒子、ダス
ト、又はパウダーとして知られているが、デバイスを汚
染するため望ましくない。例えば、このような粒子汚染
物により、エピタキシャル層において結晶欠陥が生じ、
集積回路のレベル間では電気短絡が生じ、ワイヤでは開
回路が生じる。核形成が均質であるため、プラズマ堆積
プロセスの中でも、プラズマCVDは粒子汚染発生の可
能性が最も高い。前駆体ガスの分子がプラズマにより活
性化して、基板到達前にこれら相互で反応してしまうこ
とがある。また、プロセス圧力及びプラズマ密度に伴
い、粒子の成長も急速に上昇する。プラズマ衝突におい
て膜の特性を改善するためにはプラズマ密度を高くする
ことが一般に望ましいため、この事は大きな問題点であ
る。
【0017】このように、CVDプロセスとPVDプロ
セスの何れも、全ての用途全体に適しているものはな
い。従って、PVDの許容される電気拡散抵抗を維持し
安定な膜特性を実現しつつ、CVDの高い共形性及び良
好な充填性を実現する必要がある
【課題を解決するための手段】従って、簡潔に言えば、
本発明は、プラズマからのイオンを衝突させることによ
り、基板上に薄膜を堆積しその特性を向上させる装置及
び方法を提供するものである。基板へ向かうイオン流束
の密度を、基板が衝突を受けるエネルギーとは独立に制
御してもよい。
【0018】この事は、ここに記載されるように実現さ
れる。基板はCVDチャンバ内の基板支持体上に配置さ
れる。RFソースにより電力を基板支持体に供給し、基
板に負のバイアスを与える。基板上方にはシャワーヘッ
ドがあり、処理ガス及びプラズマガスが、これを介して
導入される。このシャワーヘッドは、整合回路網を介し
て第1のRFソースに接続し、あるいは、第2のRFソ
ースに接続される。RF電力の影響下で、プラズマを維
持する正荷電ガスイオンが基板上方で生成する。基板に
負のバイアスを与えることにより、基板へ正荷電イオン
が誘引され、これに衝突し、基板に予め堆積していた膜
の特性を向上させる。
【0019】この装置は、プラズマ密度を基板へのバイ
アスとは独立に制御することができる。これは、上述の
ようにRF電力でシャワーヘッドを駆動することにより
実現され、この事により、基板への負のバイアスを増加
させずに、プラズマに更に大きなRF電力を結合させる
ことができる。これにより、イオン密度がを高く発生さ
せることができ、イオン流束を高めることができ、この
とき、イオン流束のエネルギーが必然的に上昇すること
はなく、このため、高いエネルギーのイオンが衝突する
ことによる基板へのダメージを最小にすることができ
る。同時に、この新規な方法を用いることにより、プラ
ズマを用いる堆積プロセスでみられるように、粒子成長
速度が高くなるペナルティーを科されずに、プラズマ密
度を高くすることができる。更に、プラズマ密度は基板
表面全体に対して更に均一になる。
【0020】窒素は、単体でも、又はアルゴンやヘリウ
ム等のプラズマのための不活性なガスと混合して用いて
もよい。好ましくは、チャンバ内及び堆積膜中の炭素汚
染物のレベルを低減するため、窒素対水素の比が3:1
となるように、水素やNH3等の水素含有ガスをプラズ
マガスに添加する。
【0021】膜の抵抗率及び膜中の汚染物を最小にし膜
の品質を最適にするため、膜堆積操作を、イオン衝突プ
ロセスと交互に行ってもよい。このように、続けて堆積
し「向上」した小膜を形成して、高品質の膜を積み上げ
てもよい。
【0022】堆積プロセスと向上プロセスとを、1つの
CVDチャンバ内で基板を取り出さずに行う事が好まし
い。これにより、基板移送により生じる中断時間を最小
にし、移送中の汚染の可能性を低減する。
【0023】このように本発明は、炭素含有率を減ら
し、導電性を高め、化学的安定性を高め、モルフォロジ
ー及び結晶構造を改善することによってCVD膜を向上
させるため、窒素−水素 RFプラズマ又は窒素−水素
−アルゴン RFプラズマを利用する。
【0024】
【発明の実施の形態】
(概説)概説では、本発明は、窒素−水素プラズマからの
イオンによるパルス状衝突を用いることを基礎とする。
これを用いて、CVDプロセスで堆積した薄膜の抵抗率
を低減して安定化し、結晶性及び密度を高める。本発明
はこのように、熱CVDにおいて良好な充填性能、低い
粒子の発生及び低い抵抗率の膜を提供する。
【0025】(装置)単一のチャンバで堆積と処理とのサ
イクルを実現できるため、この事の利点は、サイクルが
比較的迅速になることであり、このため、ウエハ移送に
しばしば伴う粒子汚染を最小にするばかりでなく、堆積
チャンバと処理チャンバの間のウエハ移送に要する中断
時間を最小にする。
【0026】図3(a)、3(b)及び3(c)は、本
発明に従った堆積装置、CVD膜の堆積及びプラズマア
ニールを詳細に例示する。
【0027】図3(a)に示されるように、半導体ウエ
ハ処理装置110は、アースに接続された処理チャンバ
112を有している。半導体ウエハ114はこのチャン
バ内で、陽極酸化アルミニウムのサセプタ116上に支
持され、このサセプタは従来からのアルミナセラミック
支持板118上に支持されている。支持板118、サセ
プタ116及びウエハ114の組合わせは、カンチレバ
ーアルミナ支持アーム122の自由端120上に支持さ
れる。支持アーム122の固定端124は、略垂直方向
可動ステム126の上に載置され、これは移転手段12
8の動作の下、垂直に移転する。従来のクオーツウィン
ドウ132を介してチャンバ112の内部を照射する従
来のランプ130により、チャンバ112及びその内容
物が加熱される。装置110は更に、およそ従来からの
方法でサセプタの温度を検知する温度測定手段140を
有している。
【0028】加えて、チャンバ112とPVDチャンバ
(図示せず)の間のウエハ移送を容易にするため、真空
ポンプ157を用いてチャンバ112内の圧力を、典型
的には10-5〜10-6トールの真空度の高いPVDシス
テムに適合するベース圧まで減圧する。シャワーヘッド
136は、サセプタ116の上方に配置されており、ア
イソレータ59によりチャンバ112から電気的に絶縁
される。シャワーヘッドには、コンピュータの形態のガ
スコントローラ50により制御されたガスパネル52か
ら、略ガス状の処理流体及びプラズマ流体が供給され
る。
【0029】図3(b)は、別の構成の装置と、アイソ
レータ59を用いてシャワーヘッド136をチャンバ1
12から電気的に絶縁する様子とを示す。同様に、アイ
ソレータ57がRF電力接続部をチャンバ112から電
気的に絶縁する。
【0030】図3(a)に戻れば、CVD操作中は、ガ
スパネルコントローラ50により、ガスパネル52はC
VD処理ガスを供給するようになる。この処理ガスは、
TDMAT等の膜形成前駆体ガスを同伴し、シャワーヘ
ッド136を介してチャンバ112に導入され、加熱さ
れたウエハ114へと移動する。これにより、ウエハ1
14の上面に材料の薄膜を堆積させる。堆積副生成物を
伴うキャリアガスは、ポンプ157によりチャンバから
排出される。プラズマアニール中は、ガスパネルコント
ローラ50の制御の下、ガスパネル52により、以下に
述べるような窒素と水素とアルゴンの組合わせ等のプラ
ズマガスが、シャワーヘッド136に供給される。
【0031】RF電力をサセプタ116及びシャワーヘ
ッド136に供給するためのRF電源が具備される。R
F電源は、整合回路網145を介して、サセプタ116
及びシャワーヘッド136に接続する。この整合回路網
145は、従来技術において周知の 抵抗/インダクタ
/キャパシタ の従来型の回路網である。この構成で
は、所定の周波数で電源から与えられる電力を最大にし
且つRF電力をサセプタ116とシャワーヘッド136
とに分割するため、整合回路網145は電源の負荷イン
ピーダンスと同調している。
【0032】ウエハ上に膜を堆積したすぐ後、好ましく
はウエハをチャンバ112から取り出さずに、ウエハは
プラズマからのイオン衝突に晒される。これは、シャワ
ーヘッド136を介してチャンバ112内に窒素
(N2) 等のイオン化可能種を導入することにより実現
される。水素ガスも、窒素対水素の比が3:1で導入さ
れる。RF電力がサセプタ116及びシャワーヘッド1
36に印加され、ガスをイオン化してプラズマ135を
形成する。RF電源は電圧のサイクルを有するため、電
子とイオンは電位の変化に応じてプラズマ135中で周
期的に移動する。電子の方がイオンよりもより移動性が
高いため、RF信号からの電圧サイクルの反復により遂
には、ウエハ114の近傍が電子過剰な状態となってし
まう。この事により、ウエハに負バイアス生じる。この
ように、整合回路網145のキャパシタンスにより、ウ
エハ114とシャワーヘッド136の両方に負バイアス
が生じる。動作に際しては、サセプタ116は−100
〜−400の負バイアス、典型的には−300の負バイ
アスを獲得し、シャワーヘッド136は−100〜−4
00の負バイアス、典型的には−200の負バイアスを
獲得する。これは、チャンバの左側に、チャンバ112
の端から端までの電位を示す電圧グラフ66により例示
される。
【0033】プラズマは正に荷電した窒素及び水素イオ
ンを含有し、これらは、この負バイアスによりウエハ1
14に向かって加速されその表面を叩く。プラズマ13
5からのイオン流束によるこのような衝突により、ウエ
ハの膜の高密度化及び安定化が実現され、その抵抗率及
び構造が改善される。イオン流束を増加するには、サセ
プタ116及びシャワーヘッド136に印加されるRF
信号の位相を変えることにより行ってもよく、あるい
は、シャワーヘッド136に印加されるRF電力を増加
させることにより行ってもよい。これによりプラズマ密
度が上昇し、また、イオンが更に多く発生するため、ウ
エハ114への衝突に用いることができるイオンが更に
多くなり、膜の性質を向上させる。更に、ウエハでのD
Cバイアスは、ウエハ支持体54と流入口56の間での
電力の分割を変えることにより、プラズマ密度とは独立
して制御してもよい。
【0034】薄膜を更に向上させるため、上述のように
堆積を「プラズマアニール」と共にサイクル化させても
よい。このように、続けて堆積しプラズマアニールした
小膜とを積み重ねて、膜を形成することができる。
【0035】本発明の具体例で用いられる整合回路網
は、図3(b)に例示されている。この図では、整合回
路網145は、絶縁トランス70、2つのインダクタ8
0、82及び3つのキャパシタ72、74、76を備え
ている。絶縁トランス70は、1次巻線と2次巻線の比
が1:1〜1:4であってもよく、これは典型的には
1:1.22である。インダクタ80及び82の典型的
なインダクタンスは50μHであり、キャパシタ72及
び76のキャパシタンスは典型的には0.1μFであ
る。キャパシタ74のキャパシタンスは典型的には0.
15μFである。随意、トランス70は接地タップ78
を有していてもよく、これにより、接地タップの位置を
変化させて、シャワーヘッド136とサセプタ116の
間の電力比を変えることができる。
【0036】整合回路網を用いて、サセプタ116とシ
ャワーヘッド136に対して、電力と周波数は同じで位
相が180゜ずれたRF信号を供給してもよい。これに
より、サセプタ116の負バイアスを制御する能力をも
ちつつ、RF電力をプラズマに効率よく結合する。プラ
ズマの密度の制御は、サセプタ116とシャワーヘッド
136とに供給するそれぞれのRF信号の位相シフトを
変えることによりおこなってもよく、あるいは、RF電
力の分割を変えることにより行ってもよい。分割比及
び、サセプタ116とシャワーヘッド136とに供給す
るRF信号の位相シフトは、整合回路網145における
分割トランス比を修正することにより変えることもでき
る。これを行うためには、比を個々の値に変えるようタ
ップ位置が選択可能なトランスを用い、あるいは連続的
に可変であるトランスを用いて、トランス70の固定比
を変化させることによってもよい。
【0037】RF分割電力構成の具体例は、Sugiyamaら
への標題「チャンバ内部の電極における実際のRF電力
の検出及び制御が可能なプラズマ処理装置」の米国特許
第5,314,603号と、Ogleらへの標題「プラズマエ
ッチングシステムのための分割位相ドライバ」の米国特
許第4,871,421号に見出すことができる。
【0038】図3(c)に描かれる別の具体例では、サ
セプタ116及びシャワーヘッド136は、それぞれの
整合ボックス146及び147を介する2つのRF電源
143及び144により電力が与えられてもよい。この
構成では、別々のRF信号をサセプタ116とシャワー
ヘッド136へ与え、これらは周波数、位相及び電力が
同じであってもよく同じでなくてもよい。一方の電源か
らのRF信号を用いて、RF信号の位相を他方の電源か
ら制御してもよい。また、ウエハ114を支持しウエハ
114の加熱のための抵抗コイル(図示せず)を内包す
るヒータペデスタル149を用いる態様が示される。
【0039】本発明の好ましい具体例では、RF電力は
分割RF電力の構成で供給される。RF電源の供給する
電力は、電力が200〜750ワット、好ましくは35
0ワット、100KHz〜13.56MHz、好ましく
は350kHz、ウエハ支持体56と流入口54とに供
給されるRF電力の間の位相シフトは180゜である。
別の位相シフトを採用してもよい。
【0040】ここに記載した分割電力の構成は、サセプ
タ116のみをRF電力で駆動する構成に対して有利で
あり、それは、サセプタ116にさほど大きな電力で駆
動させずともプラズマ密度を挙げることができる点であ
り、また、ウエハ114全面でのプラズマの均一性が著
しく向上し、典型的には5倍向上する。また、分割電力
の構成は、シャワーヘッド136のみを駆動する構成よ
りも優れており、何故なら、電力をシャワーヘッドのみ
に印加すれば、シャワーヘッド136にイオンが激しく
衝突することになり粒子が発生するからである。更に、
ウエハ114のプラズマ処理もほとんど有効ではなくな
る。
【0041】(部品)予想されるように、処理チャンバ
10内部の種々の部材の詳細は、従来技術のCVDチャ
ンバのそれらとは異なっている。この主な理由は、従来
技術のCVDチャンバはプラズマ発生に用いる事ができ
ないからである。
【0042】最も重要な改良点は、ウエハ支持アーム1
22にあり、この詳細を図4及び図5を参照して説明す
る。サセプタ及び支持アームの縦断面図である図4で
は、ウエハ114がサセプタ116上に支持されており
ことが示される。サセプタ116は、従来技術の「スイ
スチーズ」アルミナセラミック支持板118に支持され
ている。薄いクオーツ板119が板118とサセプタ1
16の間に配置される。クオーツ板は、サセプタとチャ
ンバ内の他の部品との間のアークを防止する。また、ラ
ンプ130からの放射エネルギーに対して透過性を有し
ている。このため、ランプ130は急速にサセプタ11
6を加熱することができる。サセプタ116はクオーツ
シールド150によって囲まれ、これはアルミナ支持板
118上に置かれてサセプタの上方まで伸び、サセプタ
116及びウエハ114の両方が備わるウエハ受容ポケ
ットを画する。理解されるように、クオーツシールド1
50は、サセプタ116へウエハが出入りする際にウエ
ハ114をより容易に受容するため外向きの溝を有する
上エッジを有している。クオーツシールド150は、サ
セプタ116のエッジをアークからシールドする基本的
機能を有している。
【0043】処理中は、サセプタ116の温度の測定は
それに設置した熱電対152により測定される。熱電対
152は、窒化アルミニウムシース154の中に設置さ
れ、このシースはサセプタ116の本体内部にぴったり
とフィットし、熱電対152とアルミニウムサセプタ1
16本体との間を電気的に絶縁する。シース154は電
気的抵抗が高いが、熱伝導は良好である。これは熱質量
及び熱慣性は低いため、熱電対152と共に用いるには
適している。更に、チャンバ112内の処理環境内で化
学的に安定である。
【0044】熱電対152は、導電ケーブル156によ
り温度センサ機構140に接続される。下記に説明する
ように、熱電対ケーブル156がアーム120の中心部
を貫通し、チャンバ112内で高周波(RF)エネルギ
ーから電気的に絶縁される。熱電対152は、ケーブル
156の上に押し付けられている小さなニッケル球15
8により適所に保持されている。球158は、鍵付きセ
ラミック保持要素162に形成されたスロット160内
に保持されている。鍵付き保持要素162は、サセプタ
116の下側の中心突出しスタブ166に形成されたグ
ルーブ内に差込まれている。この構成により、サセプタ
が支持アームから一旦分離されれば、熱電対を比較的容
易に取り外し交換することを確保する。重要なことは、
この構成でも、熱電対152を、サセプタ116から電
気的に絶縁しつつ、サセプタ116の本体内部に適所に
しっかりと保持することが確保される。
【0045】1対のボルト168を中心スタブ166内
にねじ止めして、サセプタを支持アーム120に固定す
る。アーム120は主に、逆U字型セラミック部分によ
り構成されている。ボルト168がU字型部分の水平部
分を貫通している。ボルト168のU字型部分の水平部
分への過剰な負荷を防止するため、各ヘッドはベルベデ
ルばねワッシャ174により水平部分から間隔を開けて
いる。ボルト168のヘッドのセラミックU字型部分へ
の過剰負荷の防止は重要であり、それはセラミック、特
に断面の薄いセラミックが比較的脆いからである。
【0046】またこの図では、、アーム120に沿って
通過しスタブ166のところでサセプタ116の下側に
電気的に接続するRF導電ストリップ180を示してい
る。RF導電体180は、例えばデュポンエレクトリッ
ク社からの商品名 Pyralin で販売される材料等
の、ポリアミドとして知られる高温弾性誘電材料でコー
ティングされている。この Pyralin コーティン
グにより、RF導電体180を電気的に絶縁する。更
に、RF導電ストリップ180は、セラミックアイソレ
ータ182によりケーブル156から電気的に絶縁層さ
れる。更に、逆U字型部の「脚」により、また更にアイ
ソレータ184により、チャンバの内部から絶縁され
る。
【0047】組み立ての際には、熱電対152及び関連
したシース154はサセプタ116内に挿入される。次
いで、熱電対のリード線156がU字型部内に供給され
る。サセプタ116はボルト168によってU字型部上
に固定され、アイソレータ182がRFストリップ18
0の上方に配置され、これをケーブル156から絶縁す
る。ストリップ180は次いで、アイソレータ182上
に置かれ、その後、アイソレータ184がRFストリッ
プ180の上方に配置される。その後、平坦なセラミッ
クリテーナー(図示せず)が、U字型部の「脚」の自由
端に近接して形成されたグルーブ188に差込まれる。
このリテーナーは、U字型部170の本体内部に配置さ
れた種々の部材に対するリテーナーとして機能する。
【0048】アーム122の固定端124は、ステム1
94に接続されている。ステム194は中空のチューブ
で上端で広がってフランジ210を画し、ここにアーム
122の固定端124がボルト212によりボルト止め
される。ボルト212とセラミック端部124の間に過
剰な作用力を防止するため、ボルト212と端124の
間にそれぞれベルベデルばねシワッシャ214を与えて
いる。ステンレス鋼ベローズ216がフランジ210と
チャンバ1112の下壁218の間に配置される。これ
らのベローズにより、アーム122が垂直方向に上下で
きるようになり、同時に、ステム194の周りに、これ
がチャンバ12の壁を貫いて通過する際のシールを与え
ている。
【0049】前述の如く、ステム194は、中空チュー
ブの形態である。このチューブの内側には、非導電性の
チューブ220が配置されている。これは典型的には、
ポリイミド材料製であり、チャンバ112と中空中心R
F伝導チューブ222との間を電気的に絶縁する。この
RF伝導チューブ222はRF電源142に接続され、
下記に説明するようにRF導電ストリップ180に接続
する。熱電対152と温度決定手段140の間を導通さ
せるケーブル156は、チューブ222に形成された中
心孔を下に通過する。
【0050】図5は、図4と共に、導電ストリップ18
0とチューブ222の間でどのように接続が形成される
かを例示する。図4に表されれているように、チューブ
222は上端で広がり、円形のフランジ224を画して
いる。ストリップ180は図示の如く、円形の導電性フ
ープ226内で終了している。アーム122を組み立て
る際は、図4に示されるように、フープ226をRF伝
導チューブ222のフランジ224上に配置させる。こ
れにより、ストリップ180への必要なRF伝導接続及
びサセプタ116への必要なRF伝導接続を与えてい
る。この接続により、アーム122の組み立て分解が容
易になる。また、アーム122の固定端124をステム
194のフランジ210上に配置しようとするときは、
ある一定の回転自由度(ステム194の縦軸の周りの)
も可能にする。
【0051】これらの部品は、使用可能な様々な構成の
ほんの一部を代表しているに過ぎない。同様に、記載し
た材料が異なっていてもよい。
【0052】
【実施例】
(使用法)限定のためではない実施例により、本発明の
方法及びこの装置の使用法を、抵抗率を低くし化学的安
定性を高めるためのCVD堆積窒化チタン膜の処理によ
って例示する。しかし、いわゆる当業者には、本発明は
他のタイプの膜にも同等に適していることが自明であ
り、また、別の方法によって組成を変えることも自明で
ある。
【0053】第1のステップとして、従来からのCVD
処理を用いてウエハ114上に窒化チタン膜を堆積し、
その後、別のチャンバに移し変える必要なくイオン衝突
を受けさせる。あるいは、窒化チタンを別のチャンバで
ウエハ114上に堆積し、堆積後のプラズマアニールの
ためにチャンバ112に移送する。
【0054】標準的な8インチのウエハのプロセスに対
しては、ウエハ114をサセプタ116上に配置しシャ
ワーヘッド136から約0.3〜0.8インチ、好まし
くは0.6〜0.7インチの間隔をとることにより、イ
オン衝突を実施する。イオン衝突のステップの前に予め
堆積した窒化チタン膜の厚さは、50〜200オングス
トロームであってもよい。堆積のステップを終了した
後、この堆積が別のチャンバで行われた場合はウエハ1
14をチャンバ112に移送した後、窒素対水素が3:
1の混合物を備えるガスを、窒素流量約300sccm
でシャワーヘッド136を介してチャンバ112へ導入
する。そして、RF電源により350kHzのRF電力
350ワットを整合回路網を介して供給して、サセプタ
116とシャワーヘッド136への位相が180゜ずれ
たRF信号を発生する。
【0055】上記では、窒素対水素の比が3:1のガス
混合物を用いているが、3:1〜1:2の間であれば何
れの比を用いてもよい。一般に、混合物中の水素の割合
が高くなれば膜の長期安定性が向上する。しかし、プラ
ズマ中水素が過剰になれば、膜中で水素と炭素が結合し
てポリマーを生成し、これにより抵抗率が高くなると予
想される。
【0056】正荷電窒素及び水素を含有するプラズマ
は、シャワーヘッド136とサセプタ116とへ供給す
るRF電力の影響を受けて形成される。このプラズマは
典型的には、10〜30秒間維持される。上述の如く、
チャンバにはアースがとられている。シャワーヘッド1
36は−100〜−400ボルト、典型的には−200
ボルトの負バイアスを得る。ウエハ114は自己バイア
スを生じ、−100〜−400ボルト、典型的には−3
00ボルトの負バイアスを得る。この負バイアス電圧
は、衝突の期間中およそ一定に維持され、この間、プラ
ズマからの正荷電イオンが、ウエハ114表面内への電
圧勾配により加速される。これにより、ウエハ表面への
衝突が生じ、50〜100オングストロームの深さまで
浸透する。プラズマからのエネルギーをもった中性原子
粒子も、ウエハ114に衝突するだろう。
【0057】イオン衝突の結果、材料の圧縮が生じ、厚
さが基板の温度とプラズマ処理時間及びエネルギーによ
り20〜50%縮む。好ましくは、50〜100オング
ストローム厚の層を更に、所望により続けて堆積しアニ
ールしてもよい。
【0058】(反応性材料の組成の改変と窒化チタン中
の抵抗率の低減)上述の如く、従来技術の熱CVDプロ
セスは、良好なステップカバレージを与え、また、PV
DプロセスやPECVDプロセスに比べて粒子発生は少
ないが、同時に様々な不利益も有している。これらの不
利益のほとんどは本発明により克服できる。上述のイオ
ン衝突の後に得られたアニール済み窒化チタン膜は、数
多くの改良性能を示す。酸素含有率が20〜25%であ
ったのが1%未満へと低減し、膜密度は1立方センチメ
ートル当たり3.1グラム(3.1g/cm3 )未満で
あったのが約3.9(g/cm3 )へと上昇した。膜中
の炭素含有分率が25%より高かったのが3%未満へと
下がった。膜構造の変化もが生じ、抵抗率は、処理前の
レベル、例えば10,000μΩ−cm から 150μ
Ω−cm へと下がった。後で空気、水蒸気又は酸素に
曝露した際は、未処理窒化チタンよりも酸素の吸収が少
なかった。
【0059】イオン衝突が膜の組成を変えるメカニズム
は知られていない。窒素イオンや水素イオン等のプラズ
マ中の励起種が膜の表面の原子と反応すると考えられて
いる。更に、衝突するイオンやエネルギーを有する原子
は浸透するに充分なエネルギーをもって膜に衝突し、膜
の格子から原子を追い出すこともあり、このとき追い出
された原子は膜から放出される。窒化チタン膜の場合で
は、炭素がチタンカーバイドやその他の炭素含有化合物
として膜に包含される。
【0060】本発明に従って膜が窒素−水素プラズマに
より処理されれば、イオン等の高エネルギープラズマ種
が窒化チタン膜に衝突してそこにある炭素原子と反応し
炭化水素を生成し、これが膜からガス相へと拡散すると
考えられる。また、衝突する窒素イオンが、膜中に包含
されるようになるだけでなく、膜から炭素原子を放出す
る。また、水素イオンは放出された炭素原子とも反応し
て炭化水素を生成するだろう。そして、揮発性の炭化水
素は真空ポンプによりチャンバ112から排気される。
膜中に残留する炭素は比較的安定なカーバイド(?)の
形態で存在する。
【0061】正味の効果は、膜中に存在する窒化チタン
の割合がこのように上昇し、炭素及び酸素の割合が低減
することである。更に、プラズマ生成ガスに水素を添加
することにより、イオン衝突により膜から放出され処理
チャンバの内側をコーティングする炭素の量が、著しく
低減されることが見出された。この炭素コーティング
は、チャンバのインピーダンスを変えてしまい、このた
めプラズマの正確な制御が困難になるという不利益を有
している。水素と炭素で炭化水素を生成する自明の反応
は、チャンバ内側で著しく低減される。このことは、ク
リーニング操作とクリーニング操作との間にチャンバを
使用できる回数を増やすことができるため、大きな利点
である。
【0062】図6(a)は、二酸化珪素層の上に100
オングストロームの厚さの窒化チタン膜を、続けて堆積
しアニールすることにより形成した、窒化チタン膜に対
する、オージェ電子分光深さプロファイルである。この
図から理解されるように、炭素と酸素の含有率は膜の大
部分で均一であり、炭素は9原子%未満、酸素は2原子
パーセント未満である。この窒化チタン膜の抵抗率は、
約250 μΩ−cmである。
【0063】図6(b)に示されるように、50オング
ストロームの層を堆積することにより更に向上させるこ
とができる。この図は、二酸化珪素層の頂部の上に50
オングストロームの厚さの窒化チタン膜を、続けて堆積
しアニールすることにより形成した、窒化チタン膜に対
する、オージェ電子分光深さプロファイルである。ここ
でも、炭素と酸素の含有率は膜の大部分で均一であり、
炭素は3原子%未満、酸素は1原子パーセント未満であ
る。100オングストロームのプロセスに比べて、チタ
ンと窒素の割合は高かった。この膜の抵抗率は、約18
0 μΩ−cmである。
【0064】このように本発明に従って処理した窒化チ
タン膜は、以前生成したCVD窒化チタン膜に比べて安
定でありバルク抵抗率も非常に低い。また、空気中に数
日置いた結果に示されるように、このような膜は、雰囲
気酸素の存在においても化学的に安定である。シート抵
抗率は約2%上昇しただけであり、即ちチャンバから取
り出し他のチャンバへと移送する際に窒化チタンを雰囲
気中から保護する必要がないということであり、また、
窒化チタンを含有するデバイスの長期安定性も向上す
る。
【0065】また、本発明は欠陥密度の非常に低い膜を
生成する。上述のプロセスに従って続けて堆積しアニー
ルする窒化チタン膜が、1バッチ2000枚のシリコン
ウエハに形成された。欠陥のサイズが0.25ミクロン
よりも大きい場合、膜中の欠陥密度はおよそ0.06c
-2であり、他方、プラズマアニールしないCVD窒化
チタン膜で0.2ミクロンよりも大きな欠陥サイズに対
して約0.04cm-2である。
【0066】また、ウエハ2000枚の試行により、こ
のプロセスの良好な再現性が示され、これはウエハ10
00枚に対するシート抵抗率の標準偏差が約2.5%で
あった。これは、炭素不純物を変換して揮発性でチャン
バから排気される炭化水素にする水素が、プラズマ中に
存在することによるものと考えられる。上述の如く、こ
の理由により、プラズマの生成に影響を与え得る高イン
ピーダンスの炭素堆積物のチャンバ内への蓄積を低減す
る。
【0067】本発明を用いた同様の方法により、他の材
料で形成された膜の組成を変えることもできると考えら
れる。膜に包含させることにより、あるいは膜に存在す
る不純物と反応させることにより、膜の化学的組成を変
える目的でガスをプラズマに添加してもよい。例えば、
NH3及びCH4を用いてもよい。
【0068】本発明に従って処理した窒化チタン膜は、
優れたステップ共形性を有し、これは典型的には、アス
ペクト比4〜5の0.35ミクロンのデバイス構造体で
70%以上である。
【0069】(膜のモルフォロジーの改質)本発明を用
いて、有利な方法で膜のモルフォロジーを改質してもよ
い。粒配向均一性を向上するため、薄いバリア材料を本
発明のイオン衝突させてもよい。その下の粒の配向が次
に堆積する層の配向に影響を与えるため、本発明では、
下層の結晶構造及び/又は成長配向を変えることによ
り、その次に堆積する層のモルフォロジーを改質し向上
する能力が与えられる。
【0070】厚さ50オングストローム未満の薄い核発
生インターフェース層を堆積し、これに高密度イオン衝
突を作用させて改質し、次いで標準的な技術によりバル
クないし残りの膜を堆積することにより、多数の層のモ
ルフォロジーを制御することが可能である。その上の層
の構造は、その下の予め改質した層の構造に影響を受け
ることになる。
【0071】これは図7を参照して例示することができ
る。窒化チタン膜に対しては、好ましい結晶配向は<2
00>である。プラズマへの水素の添加により、結晶性
を更に高めることで膜を改善できることが推量される。
図7は、シリコンウエハ上に堆積した厚さ1000オン
グストロームの従来技術のCVD窒化チタン層のX線回
折反射角走査の図である。<200>方向に配向した粒
の数を示す曲線上の点が、ラベル300で示される。こ
のグラフからわかるように、明確なTiN<200>ピ
ークは見られない。このことは、従来技術CVD膜を用
いた形成した膜にTiN<200>の結晶性は弱いこと
を示している。
【0072】図8は、本発明に従ってシリコンウエハ上
に堆積し処理した厚さ1000オングストロームのCV
D窒化チタン層のX線回折反射角走査の図である。この
回折パターンは、ラベル360に指示されるように、好
ましい配向(<200>)が顕著に上昇していることを
示している。40〜45のインターバルで、<200>
方向附近にもっと多くの粒が存在している。更に、図7
のピーク310は、図8では著しく低くなっている。
【0073】(結論)CVD堆積膜のプラズマアニール
によって本発明を説明してきたが、本発明をPVD堆積
膜に適用できることは当業者には自明である。本発明
は、CVDプロセスの利点とPVDプロセスの利点を組
合わせるものである。
【0074】ここまで特定の具体例により本発明を説明
してきたが、当業者には本発明の変更や変形が自明であ
ることが予想されよう。更に、熱CVDチャンバを用い
て本発明を主に説明してきたが、ここにある部品や方法
はプラズマ堆積やその他の処理操作への使用に等しく適
している。従って、特許請求の範囲はこのような変更や
変形は本発明の真の思想及び範囲の中に含まれるとして
カバーされると解されるものである。
【図面の簡単な説明】
【図1】図1(a)及び図1(b)は、半導体デバイス
の理想化し写実的な断面を示す断面図である。
【図2】トレンチを有する基板上に層を堆積するところ
を示す断面図である。
【図3】図3(a)は、本発明を例示する処理チャンバ
の模式的な図であり、付随するグラフは装置の種々の地
点における電位を示している。図3(b)は、本発明の
実施に用いる処理チャンバに接続したRF整合回路網の
模式的な図である。図3(c)は、本発明の実施に用い
られる処理チャンバに接続した交流RF電力構成体の模
式的な図である。
【図4】図3(a)のチャンバのサセプタ及び支持アー
ムの縦断面図である。
【図5】図4のアーム内に配置されたRF電力ストリッ
プのコネクタの詳細を示す模式的な図である。
【図6】図6(a)は、本発明に従い、二酸化珪素の上
部に厚さ100オングストロームで、堆積窒化チタン層
とアニール窒化チタン層を続けて形成した窒化チタン膜
の、オージェ電子分光の深さ分布の図である。図6
(b)は、本発明に従い、二酸化珪素の上部に厚さ50
オングストロームで、堆積窒化チタン層とアニール窒化
チタン層を続けて形成した窒化チタン膜の、オージェ電
子分光の深さ分布の図である。
【図7】シリコンウエハ上に厚さ1000オングストロ
ームで堆積した従来技術のCVD窒化チタン層のX線回
折反射角走査の図である。
【図8】本発明に従いシリコンウエハ上に厚さ1000
オングストロームで堆積し処理したCVD窒化チタン層
のX線回折反射角走査の図である。
【符号の説明】
10…半導体ウエハ、12…トレンチ、14…原子、1
6…トレンチのコーナー、18…メタル薄層、20…ボ
イド、50…ガスコントローラ、52…ガスパネル、5
7,59…アイソレータ、70…絶縁トランス、72,
74,76…キャパシタ、78…接地タップ、80,8
2…インダクタ、110…半導体ウエハ処理装置、11
2…処理チャンバ、114…半導体ウエハ、116…サ
セプタ、118…支持板、120…支持アーム自由端、
122…支持アーム、124…支持アーム固定端、12
6…可動ステム、128…移転手段、130…ランプ、
132…クオーツウィンドウ、135…プラズマ、13
6…シャワーヘッド、140…温度測定手段、145…
整合回路網、150…クオーツシールド、152…熱電
対、154…シース、156…導電ケーブル、157…
真空ポンプ、158…ニッケル球、160…保持要素、
166…スタブ、168…ボルト、170…U字型部、
180…導電ストリップ、182…アイソレータ、18
8…グルーブ、194…ステム、210…フランジ、2
12…ボルト、216…ベローズ、220…チューブ、
222…チューブ、224…フランジ。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 庁内整理番号 FI 技術表示箇所 H01L 21/8238 H01L 27/08 321F 27/092 (72)発明者 マーヴィン ラオ アメリカ合衆国, カリフォルニア州, サン ノゼ, シンシア レーン 1025 (72)発明者 チー チェーン アメリカ合衆国, カリフォルニア州, サラトガ, ノルウッド ドライヴ 20110 (72)発明者 エリック エングルハート アメリカ合衆国, カリフォルニア州, パロ アルト, ホーマー アヴェニュー 435 (72)発明者 ロデリック シー. モーズリー アメリカ合衆国, カリフォルニア州, プレザントン, ダイアヴィラ アヴェニ ュー 4337 (72)発明者 カール エー. リタウ アメリカ合衆国, カリフォルニア州, パロ アルト, ブライアント ストリー ト 3278 (72)発明者 イヴォ ラージマーカーズ アメリカ合衆国, アリゾナ州, フェニ ックス, イー. ビッグホーン アヴェ ニュー 2741

Claims (11)

    【特許請求の範囲】
  1. 【請求項1】 基板上に膜を処理する方法であって、
    (i)基板上に材料の層を堆積するステップと、(ii)イオ
    ンを含有する環境に該層を曝露するステップと、(iii)
    該層に電気的バイアスを与えて、該環境からのイオンを
    該層に衝突させるステップとを有する方法。
  2. 【請求項2】 イオンを含有する環境に該層を曝露する
    該ステップが、該層の近傍にプラズマを発生させる小ス
    テップを含む請求項1に記載の方法。
  3. 【請求項3】 該プラズマが、少なくとも水素と窒素と
    のイオンを含有する請求項2に記載の方法。
  4. 【請求項4】 堆積の該ステップと、曝露の該ステップ
    と、バイアスの該ステップとが、単一の処理チャンバ内
    で基板を取り出さずに行われる請求項3に記載の方法。
  5. 【請求項5】 該プラズマがアルゴンを含む請求項4に
    記載の方法。
  6. 【請求項6】 堆積の該ステップが、化学気相堆積を用
    いて行われる請求項4に記載の方法。
  7. 【請求項7】 該膜が、メタロ−有機化合物の熱分解又
    は化学反応により堆積する請求項6に記載の方法。
  8. 【請求項8】 該膜が窒化チタンである請求項7に記載
    の方法。
  9. 【請求項9】 堆積の該ステップでは該膜の厚さよりも
    薄い厚さを有する層を堆積し、堆積の該ステップと曝露
    の該ステップとが繰り返しサイクルで行われて膜を形成
    する、請求項4に記載の方法。
  10. 【請求項10】 該層がプラズマの第1の側の上の第1
    の面を画し、該方法は更に、(i)第1の面に平行で且つ
    これから間隔をおく第2の面を画する導電体を与えて、
    該第1の面と該第2の面との間にプラズマが発生するよ
    うにするステップと、(ii)該導電体にバイアスを与え
    て、電界を変えるステップとを有する請求項2に記載の
    方法。
  11. 【請求項11】 該層と該導電体とがそれぞれ、180
    ゜位相がずれるサイクルでバイアスが与えられる請求項
    10に記載の方法。
JP8356529A 1995-12-05 1996-12-05 薄膜のプラズマアニール Pending JPH09312297A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US56746195A 1995-12-05 1995-12-05
US08/567461 1995-12-05

Publications (1)

Publication Number Publication Date
JPH09312297A true JPH09312297A (ja) 1997-12-02

Family

ID=24267257

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8356529A Pending JPH09312297A (ja) 1995-12-05 1996-12-05 薄膜のプラズマアニール

Country Status (4)

Country Link
EP (1) EP0776991B1 (ja)
JP (1) JPH09312297A (ja)
KR (1) KR970052089A (ja)
DE (1) DE69619075T2 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002198416A (ja) * 2000-12-27 2002-07-12 Tokyo Electron Ltd 処理装置
KR100758297B1 (ko) * 2006-02-01 2007-09-12 삼성전자주식회사 금속유기화학증착법을 이용한 금속막 형성 방법
CN100345999C (zh) * 2003-01-02 2007-10-31 上海华虹(集团)有限公司 采用含钛有机金属材料的化学气相淀积含硅氮化钛的工艺
JP2009267425A (ja) * 2009-06-08 2009-11-12 Lg Display Co Ltd 半導体装置の製造方法
JP2011139093A (ja) * 1998-01-20 2011-07-14 Applied Materials Inc 密着性を改良するための基板のプラズマアニーリング

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6699530B2 (en) * 1995-07-06 2004-03-02 Applied Materials, Inc. Method for constructing a film on a semiconductor wafer
US6365495B2 (en) 1994-11-14 2002-04-02 Applied Materials, Inc. Method for performing metallo-organic chemical vapor deposition of titanium nitride at reduced temperature
KR100445411B1 (ko) * 1997-06-30 2004-11-06 주식회사 하이닉스반도체 반도체소자의금속배선형성방법
US6043607A (en) * 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6395128B2 (en) 1998-02-19 2002-05-28 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
US6112697A (en) * 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6171661B1 (en) * 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US6562684B1 (en) 2000-08-30 2003-05-13 Micron Technology, Inc. Methods of forming dielectric materials
FR2972563B1 (fr) * 2011-03-07 2013-03-01 Altis Semiconductor Snc Procédé de traitement d'une couche de nitrure de métal oxydée
US11152207B2 (en) * 2018-07-26 2021-10-19 Tokyo Electron Limited Method of forming titanium nitride films with (200) crystallographic texture
KR20200130118A (ko) * 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0174743A3 (en) * 1984-09-05 1988-06-08 Morton Thiokol, Inc. Process for transition metal nitrides thin film deposition
JPS63229814A (ja) * 1987-03-19 1988-09-26 Nec Corp 半導体集積回路の製造方法
US5017403A (en) * 1989-04-13 1991-05-21 Massachusetts Institute Of Technology Process for forming planarized films
IT1241922B (it) * 1990-03-09 1994-02-01 Eniricerche Spa Procedimento per realizzare rivestimenti di carburo di silicio
KR920006533A (ko) * 1990-09-28 1992-04-27 제임스 조셉 드롱 증착된 박막의 장벽성을 개선하기 위한 플라즈마 어닐링 방법
EP0711846A1 (en) * 1994-11-14 1996-05-15 Applied Materials, Inc. Titanium nitride deposited by chemical vapor deposition
JPH09115917A (ja) * 1995-07-06 1997-05-02 Applied Materials Inc 薄膜のバイアスプラズマアニール方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011139093A (ja) * 1998-01-20 2011-07-14 Applied Materials Inc 密着性を改良するための基板のプラズマアニーリング
JP2002198416A (ja) * 2000-12-27 2002-07-12 Tokyo Electron Ltd 処理装置
JP4663110B2 (ja) * 2000-12-27 2011-03-30 東京エレクトロン株式会社 処理装置
CN100345999C (zh) * 2003-01-02 2007-10-31 上海华虹(集团)有限公司 采用含钛有机金属材料的化学气相淀积含硅氮化钛的工艺
KR100758297B1 (ko) * 2006-02-01 2007-09-12 삼성전자주식회사 금속유기화학증착법을 이용한 금속막 형성 방법
JP2009267425A (ja) * 2009-06-08 2009-11-12 Lg Display Co Ltd 半導体装置の製造方法

Also Published As

Publication number Publication date
KR970052089A (ja) 1997-07-29
EP0776991B1 (en) 2002-02-06
DE69619075T2 (de) 2002-10-02
DE69619075D1 (de) 2002-03-21
EP0776991A1 (en) 1997-06-04

Similar Documents

Publication Publication Date Title
Shwartz Handbook of semiconductor interconnection technology
US5989999A (en) Construction of a tantalum nitride film on a semiconductor wafer
EP0179665B1 (en) Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
US6143128A (en) Apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US6699530B2 (en) Method for constructing a film on a semiconductor wafer
US6251758B1 (en) Construction of a film on a semiconductor wafer
KR100228259B1 (ko) 박막의 형성방법 및 반도체장치
US5508066A (en) Method for forming a thin film
US7919142B2 (en) Atomic layer deposition apparatus using neutral beam and method of depositing atomic layer using the same
US6562715B1 (en) Barrier layer structure for copper metallization and method of forming the structure
US7867578B2 (en) Method for depositing an amorphous carbon film with improved density and step coverage
US5770098A (en) Etching process
US5834068A (en) Wafer surface temperature control for deposition of thin films
KR100355914B1 (ko) 저온플라즈마를이용한직접회로제조방법
US6841044B1 (en) Chemically-enhanced physical vapor deposition
JPH09312297A (ja) 薄膜のプラズマアニール
US20010002326A1 (en) Metal and metal silicide nitridization in a high density, low pressure plasma reactor
US6155198A (en) Apparatus for constructing an oxidized film on a semiconductor wafer
US20080132060A1 (en) Contact barrier layer deposition process
JP2000068227A (ja) 表面処理方法および装置
JP2009071293A (ja) 半導体ウエハ上に膜を構築するためのチャンバ
JP3986631B2 (ja) 薄膜作成方法及び薄膜作成装置
KR100542799B1 (ko) 반도체웨이퍼상에서막을형성하는방법
Schwartz Methods/principles of deposition and etching of thin-films
KR980011954A (ko) 반도체 웨이퍼상에 필름을 구성하기 위한 챔버

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20051115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070612

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20071113