KR100355914B1 - 저온플라즈마를이용한직접회로제조방법 - Google Patents

저온플라즈마를이용한직접회로제조방법 Download PDF

Info

Publication number
KR100355914B1
KR100355914B1 KR1019960706958A KR19960706958A KR100355914B1 KR 100355914 B1 KR100355914 B1 KR 100355914B1 KR 1019960706958 A KR1019960706958 A KR 1019960706958A KR 19960706958 A KR19960706958 A KR 19960706958A KR 100355914 B1 KR100355914 B1 KR 100355914B1
Authority
KR
South Korea
Prior art keywords
titanium
plasma
substrate
gas
deposition
Prior art date
Application number
KR1019960706958A
Other languages
English (en)
Other versions
KR970703443A (ko
Inventor
로버트 에프 포스터
조셉 터 힐만
Original Assignee
도쿄 엘렉트론 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄 엘렉트론 가부시키가이샤 filed Critical 도쿄 엘렉트론 가부시키가이샤
Publication of KR970703443A publication Critical patent/KR970703443A/ko
Application granted granted Critical
Publication of KR100355914B1 publication Critical patent/KR100355914B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/36Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases using ionised gases, e.g. ionitriding

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 플라즈마 강화 화학적 기상 증착법을 이용하여, 다양한 층(29)을 동일한 반응 장치내에서 저온에서 반도체 기판상에 증착하는 방법에 관한 것이다. 티타늄 니트라이드 막이 필요할 때, 티타늄 막은 처음에 상기 기판 표면의 25mm 내에서 생성되는 플라즈마 강화 화학적 기상 증착법을 이용하여 상기 표면에 걸쳐 균일한 플라즈마를 공급함으로써 증착된다. 상기 증착된 막은 또한 상기 기판(28) 표면의 25mm 내에서 발생된 암모니아의 플라즈마를 이용하여 암모니아 어닐링될 수 있고, 상기 기판 표면의 25mm내에서 티타늄 테트라클로라이드와 암모니아의 플라즈마를 생성하여 티타늄 니트라이드의 플라즈마 강화 화학적 기상 증착을 수반한다. 이 때문에 막을 증착시킬 수 있고 비교적 저온, 즉 800℃ 이하에서 어닐링할 수 있다. 티타늄이 실리콘 표면 상에 증착될 때, 티타늄 실리사이드가 접합점에 형성되고 상기 접합점은 그 다음에 본 발명의 플라즈마 증착 화학적 기상 증착을 이용하여 질화될 수 있고 티타늄 또는 티타늄 니트라이드로 코팅될 수 있다. 따라서, 상기 방법은 티타늄, 티타늄 니트라이드, 티타늄 실리사이드의 다중층을 동일한 반응장치(20)에서 상기 기판의 표면상에 형성할 수 있다.

Description

저온 플라즈마를 이용한 집적 회로 제조 방법
집적 회로(IC)의 형성에 있어서, 금속 원소를 포함하는 박막이 반도체 웨이퍼 등의 기판의 표면상에 증착된다. 박막은 회로내에서 또는 IC의 다양한 디바이스 사이에서 전도성 및 저항성 접촉부를 제공하도록 증착된다. 예를 들면, 소정의 박막은 절연층들에 걸친 상호접속부를 형성하기 위해 도전성 재료의 플러그를 제공하도록 웨이퍼상의 절연층을 상기 막이 통과하게 되는 상태로 반도체 웨이퍼상의 비아홀(via hole)이나 접촉부의 노출면에 적용될 수 있다.
금속 박막을 증착하기 위한 한가지 공지된 방법은 화학적 기상 증착법(CVD)이며, 상기 CVD에서는 기판의 표면에서 다양한 증착 또는 반응 가스들 사이의 화학적 반응을 이용하여 박막을 증착시킨다. CVD에서, 반응 가스는 반응실 내측의 기판에 근접하게 펌핑되고, 이어서, 상기 가스들이 기판 표면에서 반응하여 하나 이상의 반응 부산물을 형성하며, 이것이 기판상에 막을 형성한다. 증착 후에 남아있는 임의의 부산물은 상기 챔버로부터 제거된다. CVD는 막을 증착시키는 유용한 기술이지만, 대부분의 종래의 CVD 공정은 기본적으로 열적 공정이고 필요한 반응을 얻기 위하여 1000℃를 초과하는 온도를 요구한다. IC를 구성하는 전기적 디바이스의 층들과 다른 다양한 특성들에 대하여 높은 온도가 미치는 영향 때문에, 상기 증착 온도는 실제로 IC에 사용하기에 너무 높은 경우가 많다.
IC 부품의 소정 특성은 일반적으로 종래의 열적 CVD 공정에 수반되는 고온에 노출되게 되면 저하되게 된다. 예를 들면, IC의 디바이스 수준에서, 상기 IC내의 전기 디바이스들의 접합부를 형성하는 반도체 불순물은 얕게 확산되게 된다. 상기 불순물은 처음에 확산 단계 중에 열을 이용하여 확산되고, 따라서, CVD 공정 중에 상기 IC에 높은 온도가 가해지면 상기 불순물은 계속 확산되게 된다. 이런 부가적인 확산은 상기 디바이스의 접합부를 이동시켜 결국 상기 IC의 최종 전기적 특성을 변경시키기 때문에 바람직하지 못하다. 그러므로, 소정 IC 디바이스에 대하여서는 기판을 800℃ 보다 높은 처리 온도 노출시키는 것이 금지되며, 다른 온도에 민감한 디바이스에 대해서도 온도 상한선은 650℃ 정도로 낮아질 수 있다.
또한, 이런 온도 제한은 IC에 온도 금속 상호접속부 또는 배선이 적용된 이후에 열적 CVD가 수행되는 경우에는 더욱 엄격해질 수 있다. 예를 들면, 다수의 IC는 상호 접속 금속으로서 알루미늄을 이용한다. 그러나, 상기 알루미늄이 높은 처리 온도하에 놓이게 되면 상기 알루미늄내에 바람직하지 못한 다양한 보이드와 돌출부들이 발생되게 된다. 그러므로, 일단 상호접속을 위한 알루미늄이 IC상에 증착되면, 가해질 수 있는 최대 온도는 약 500℃이고, 양호한 온도 상한선은 400℃이다. 그러므로, CVD 공정 중에 가능하다면 낮은 증착 온도를 유지하는 것이 바람직하다.
결과적으로, 기판에 가해지는 상기 온도의 한계 때문에 IC를 제조하는데 아주 유용한 몇몇 종래의 열적 CVD 공정을 이용하는데에는 제한이 있다. 티타늄과 티타늄 니트라이트(titanium nitride)는 다양한 IC 분야에 이용된다. 실리콘 표면상에 티타늄 실리사이드(titanium silicide) 접촉층을 형성하는 것이 빈번히 요구된다. 이것은 상기 실리콘 표면상에 티타늄의 화학적 기상 증착을 수행함으로써 형성될 수 있다. 상기 티타늄 실리사이드는 상기 티타늄이 증착될 때 형성된다. 또한, 많은 분야에서 알루미늄이나 텅스텐 등의 특정 금속 도전체의 증착에 앞서 티타늄니트라이드 장벽층을 형성할 필요가 있다. 티타늄 니트라이드는 화학적 기상 증착에 의해 증착될 수 있다. 상기 화학적 기상 증착법의 부산물 특히, 염화 수소는 상기 티타늄 접촉층을 에칭하는 작용을 한다. 그러므로, 상기 티타늄은 티타늄 니트라이드 화학적 기상 증착에 앞서 질화되어야만 한다.
티타늄 니트라이드는 종종 접촉층으로서 알루미늄상에 증착된다. 그러나, 티타늄 니트라이드가 알루미늄상에 증착될 때, 경계면에 알루미늄 니트라이드가 형성되고, 이 알루미늄 니트라이드는 절연체로서 작용하여 하나의 금속화층으로부터 다른 금속화층으로 흐르는 전류의 흐름을 방해한다. 상기 티타늄 니트라이드는 텅스텐 비아 플러그(via plug)를 형성하는 접착층으로서 필요하다. 상술한 문제점을 회피하기 위하여, 알루미늄을 보호하고 그후 상기 티타늄 니트라이드 접착층을 스퍼터 증착하기 위하여 티타늄층이 필요하다.
막을 스퍼터 증착하기 위하여, 타겟은 전기적으로 바이어스되고, 플라즈마로부터 나온 이온은 상기 타겟으로 이끌려 상기 타겟과 충돌하고 타겟 금속 입자를 이탈시킨다. 다음, 상기 입자는 기판상의 막으로서 점증적으로 증착된다. 다양한 접촉부 또는 비아홀이 기판의 레벨에 형성된 이후에 예로서, 실리콘 기판 전체에 걸쳐 티타늄이 스퍼터링될 수 있다. 그후, 상기 기판이 약 800℃로 가열되면 상기 실리콘과 티타늄이 합금되어 티타늄 실리사이드(TiSi2)층이 형성될 수 있다. 상기 티타늄층의 증착 이후에, 각 접촉부 또는 비아홀의 저면에 있는 TiSi2는 남겨둔 상태로 잉여 티타늄이 상기 기판의 상위 표면으로부터 에칭되어 떨어져 나가게 된다. 그후, 금속 상호접속부가 상기 TiSi2상에 직접적으로 증착된다.
물리적 스퍼터링은 저온에서 티타늄 막을 증착시키지만, 스퍼터링 공정들은 많은 단점이 있다. 스퍼터링은 일반적으로 아주 빈약한 스텝 커버리지를 생성한다. 스텝 커버리지는 접촉부 측면 또는 기판의 상면 상의 막 두께에 대한 기판 웨이퍼에서 접촉 바닥 상의 막 두께의 비로 정의된다. 결과적으로, 접촉부나 바이어스의 저면에 소정량의 티타늄을 스퍼터 증착시키기 위해서는 상기 접촉부의 측면이나 기판의 표면상에 더 많은 양의 스퍼터된 티타늄이 증착되게 된다. 예를 들면, 스퍼터링을 이용하여 접촉부의 바닥에 20nm(200Å)의 막을 증착시키기 위하여서는 접촉부의 측면이나 기판의 상단에 60nm 내지 100nm(600Å 내지 1000Å)의 막층이 증착되어야만 한다. 잉여 티타늄은 에칭을 통해 분리해내어야 하기 때문에, 증착층이 티타늄을 포함할 때 스퍼터링은 비경제적이고 비용이 많이 든다.
또한, 스퍼터링 기술에 의한 접촉부의 스텝 커버리지는 접촉부 또는 비아홀의 종횡비가 증가됨에 따라 감소된다. 접촉부의 종횡비는 접촉부의 폭에 대한 접촉부의 깊이의 비로 정의된다. 그러므로, 좁고 깊은 접촉부(높은 종횡비)에서는 접촉부 바닥에서 특정 막 두께를 얻기 위하여서는 얕고 넓은 접촉부(낮은 종횡비)일 때 필요한 것보다 더 두꺼운 스퍼터링 막이 접촉부의 상부 또는 측면상에 증착되게 된다. 다시 말하면, 높은 종횡비의 접촉부 및 비아홀에 대응하는 IC의 더 작은 치수의 디바이스에 대하여, 스퍼터링은 훨씬 더 비효율적이고 비경제적이다. 더 작은 디바이스에서 스퍼터 증착을 할때, 감소된 스텝 커버리지는 증착되어야만 하는 티타늄의 양을 증가시키고, 따라서, 적용되는 티타늄의 양과, 에칭되어 깍여나가게 되는 티타늄의 양이 증가하게 되며, 티타늄 증착 시간이 증가하고, 잉여 티타늄을 제거하는데 필요한 에칭 시간도 증가된다. 따라서, IC 디바이스 구조가 계속해서 작아지고 종횡비는 증가되고 있기 때문에, 스퍼터링에 의한 티타늄 함유층의 증착의 비용은 매우 높아지게 된다.
또한, 스퍼터 증착은 독립적인 반응실의 이용을 필요로 한다. 제 1 막이 화학적 기상 증착에 의해 증착되고 그 뒤, 제 2 막의 스퍼터 증착이 수반되는 작업에서는 두 개의 상이한 챔버를 사용하는 것이 바람직하다. 그 다음에, 이것은 예를들면, 금속층이 스퍼터 증착되는 제 3 챔버를 수반할 수 있다. 한 반응실에서 다른 반응실로 상기 기판의 전송을 최소화하고 단일 챔버에서 가능한 많은 반응을 처리하는 것이 바람직하다는 것은 명백하다.
더 낮은 반응 온도에 대하여 CVD 처리에 이용되는 한 가지 접근 방법은 하나이상의 상기 반응 가스를 이온화하는 것이다. 이런 기술은 일반적으로 플라즈마 강화 화학적 기상 증착법(PECVD)과 관련된다. 그러나, PECVD는 CVD를 위한 효율적인 방법인 것으로 검증되어 있지 않다.
영국 특허 출원 2192196호는 반응성 가스 플라즈마에서 재료의 열화학적 표면 처리에 대한 공정을 기술한다. 성질이 다른 상이한 원소의 결합이 상기 처리된 표면에 제공될 수 있다. 상기 공정은 물리적 기상 증착법(PVD) 또는 화학적 기상 증착법(CVD)을 이용하여 상기 처리된 표면상에 층을 증착하는 단계를 수반한다.
로잔(스위스), 쿠라코우스카-포랙(Kulakowska-Pawlak) 공저의 93년 8월 10일 얇은 고체 막(Thin Solid Films) 제 230 권, 제 2 호 115 내지 120 페이지의 "강철 또는 티타늄의 질화 공정에 사용되는 플라즈마에 대한 분광기에 의한 연구"는 마이크로파 방전을 이용한 질화에 의한 강철 또는 티타늄 제품의 표면 처리를 상세히 기술하고 있다. 상기 실험 장치에서 15mm 전극이 사용되었다.
로잔(CH), 힐턴(Hilton) 공저의 86년 6월 2일 얇은 고체 막 제 139 권, 제 3호 247 내지 260 페이지의 "플라즈마를 사용하여 M2 공구강(tool steel)상에 화학적 기상 증착된 TiN 막의 구성, 조직 및 기계적 성질"은 CVD 또는 PVD를 이용하는 티타늄 질화 코팅의 증착을 상세히 기술하고 있다. 실험 장치에서 전극상에 25.4mm(1인치)의 간격이 제공된다.
본 발명은 기판에 다양한 막 코팅(film coating)을 적용하기 위한 플라즈마 강화 화학적 기상 증착법(PECVD; plasma-enhanced chemical vapor deposition)에 관한 것으로, 보다 명확하게 말하면, 증착 수행 온도가 낮은 PECVD에 관한 것이다.
도 1은 본 발명에서 이용하는 증착 챔버의 부분 측단면도.
본 발명의 목적은 저온, 일반적으로 500℃ 보다 더 낮은 온도에서 막의 화학적 기상 증착 방법을 제공하는 것이다. 또한, 본 발명의 목적은 동일한 장치에서 상이한 막의 화학적 기상 증착을 가능하게 하는 것이다. 상기 막은 티타늄, 텅스텐또는 티타늄 니트라이드를 포함한다. 또한, 본 발명의 목적은 상기 막을 실리콘, 알루미늄 및 텅스텐과 같은 다양한 기판으로 증착하는 동시에 누전 발생 또는 불필요한 높은 저항 막의 생성과 같은 다중층 증착과 통상적으로 관련되어 있는 다수의 문제점을 회피하는 방법을 제공하는 것이다.
본 발명의 일 양태에 따라서 기판을 증착시키는 방법은, 상기 기판 표면의 약 25mm 내에서 티타늄 테트라할라이드와 수소를 포함하는 제 1 가스 혼합물의 제 1 플라즈마를 생성하여 상기 기판의 표면상에 티타늄층을 형성하는 단계와, 상기 티타늄층의 약 25mm 내에서 암모니아와 질소를 포함하는 그룹으로부터 선택된 가스로 제 2 플라즈마를 형성함으로써 상기 티타늄층을 질화하여, 티타늄 니트라이드 층을 형성하는 단계를 포함한다.
본 발명의 다른 양태에 따라서 기판을 증착하는 방법은, 티타늄 표면을 상기 티타늄 표면의 25mm내에서 암모니아와 질소를 포함하는 그룹으로부터 선택된 가스로부터 생성되는 제 1 플라즈마에 노출시키는 단계와, 상기 표면의 25mm내에서 티타늄 테트라할라이드와 암모니아와 질소를 포함하는 그룹으로부터 선택된 가스를 포함하는 가스 혼합물로부터 제 2 플라즈마를 형성하는 단계를 포함한다.
본 발명의 목적과 이점은 플라즈마가 상기 기판 표면에 근접하게 형성되도록하여 기판상에 필름을 플라즈마 강화 화학적 기상 증착함으로써 달성된다. 기판 표면의 약 10cm내에서 상기 플라즈마를 생성함으로써, 상기 플라즈마는 원하는 박막으로 상기 기판 표면을 아주 효과적으로 코팅하는 작용을 한다.
특히, 상기 기판 표면의 25mm내에서 상기 플라즈마를 만들어내기 위하여 샤워헤드(showerhead) RF 전극을 사용하면 기판상에 증착될 막의 폭넓은 상이한 조성을 가능하게하는 비교적 낮은 온도의 플라즈마를 허용한다. 또한, 플라즈마 강화 암모니아 어닐링(anneal)을 사용하는 것은 다양한 다른 막을 증착하는데 적응성을 제공한다. 이 때문에 티타늄은 실리콘 표면상으로 PECVD 증착되어 암모니아 플라즈마로 어닐링될 수 있는 티타늄 실리사이드가 형성될 수 있다. 동일한 반응기내에서 티타늄 니트라이드층의 PECVD를 수행할 수 있다.
또한, 상기 방법은 암모니아 플라즈마 어닐링으로 질화(nitridization)하는 단계가 수반되는 알루미늄 기판에 티타늄을 증착하는 PECVD 방법에 사용될 수 있다. 따라서, 이는 본 발명의 PECVD 방법을 이용하여 티타늄 니트라이드로 코팅될 수 있다.
상술한 바와 같이, 이것은 한 개의 반응실에서 기판상에 다중 코팅을 제공하는 방법을 제공한다.
본 발명의 목적과 이점은 다음의 상세한 설명과 도면에서 더 상세히 알 수 있을 것이다.
도 1은 본 발명에서 이용하는 CVD 반응기의 일실시예를 나타낸다. 계류 중인 미국 특허 출원 제 08/166,745호에 유사한 구조가 개시되어 있다. 상기 장치의 변형이 Joseph Hillman, Robert Foster 및 Rikhit arora가 발명한 "CVD와 PECVD 막을증착하기 위한 반응성 가스와 플라즈마의 효율적 이용을 위한 방법과 장치"라는 표제의 미국 특허 출원에 개시되어 있다.
반응기(20)는 반응 또는 증착 공간(24)을 한정하는 증착 챔버 하우징(22)을 포함한다. 반응기(20)와, 특히, 하우징(22)내의 반응 공간(24)은 상이한 내부 압력, 예를 들면, 66.7 내지 1333N/㎡(0.5 내지 10Torr)로 선택적으로 다양하게 진공화될 수 있다. 서셉터(26)는 축(30)에 의해 속도 가변 모터(도시되지 않음)에 결합되어 있고, 상기 서셉터(26)와 기판(28)은 0 내지 2,000 rpm의 다양한 속도로 회전할 수 있다. 서셉터(26)는 또한 200℃ 내지 800℃로 기판(28)을 가열할 수 있도록 서셉터(26)에 결합되어 있는 가열 소자(도시되지 않음)에 의해 가열된다.
가스 분배 샤워헤드(36)에 부착된 실린더 어셈블리(34)는 하우징(22)의 상단벽(32)으로부터 아래로 연장된다. 샤워헤드(36)는 실린더 어셈블리(34)에 의해 기판(28) 위에 매달려 있다. 상기 실린더 어셈블리(34)는 하우징 상단벽(32)에 형성된 개구(42)와 결합하여, 하우징 커버(46)와 샤워헤드(36) 사이에서 연장되는 수직방향 흐름 통로(44)를 형성한다. 샤워헤드(36)는 커버(46)를 통하여 연장되는 적절한 RF 피트라인 어셈블리(40)에 의해 RF 전원(38)에 결합된다. 밀봉 구조체(49)는 피드라인 어셈블리(40) 주위의 개구를 밀봉한다. 상기 피드라인(40)은 불필요한 열을 발산하기 위하여 열 파이프(도시되지 않음)를 포함할 수 있다.
플라즈마 가스와 반응 가스는 동심의 가스 링(50, 52)에 의해 흐름 통로(44)로 유입된다. 동심의 가스 링(50, 52)은 흐름 통로(44) 주위의 가스를 고르게 분배하는 다수의 구멍(54)을 포함한다. 링(50)은 라인(56)을 통하여 가스 공급원에 연결되고, 링(52)은 라인(58)에 의해 가스 공급원에 연결된다.
절연체 링(62)은 실린더(34)와 샤워헤드(36)를 분리시키며, 그 이유는 후술하도록 한다. 만약, 실린더(34)가 석영이면, 절연체 링(62)은 필요없다. 반응기 (20)의 일실시예에서, 실린더(34)는 접지 라인에 의해 전기적으로 접지된다.
상기 절연체 링(62)은 샤워헤드(36)의 외경과 거의 동일한 외경을 가지는 것이 바람직하다. 절연체 링(62)은 실린더(34)와 샤워헤드(36)의 완전한 분리를 보장한다. 절연체 링은 약 1.9cm(0.75in) 두께의 석영으로 제조되는 것이 바람직하다.
샤워헤드(36)는 일반적으로 원형이고 일반적으로 전 영역에 걸쳐 분배 구멍 (63)을 포함한다. 상기 샤워헤드(36)의 직경은 함께 사용되게 되는 웨이퍼의 크기에 따라 결정된다. 상기 샤워헤드(36)는 가스를 분산시키기 위하여 일반적으로 200 내지 1,200 개의 분배 구멍(63)을 포함하고, 양호하게는 300 내지 600 개의 구멍을 포함한다. 상기 샤워헤드의 분배 구멍(63)은 상기 구멍(63)에서 플라즈마가 발생하는 것을 방지할 수 있는 크기인 것이 바람직하다. 이를 위해서는 약 0.1 내지 1mm의 구멍인 것이 바람직하다. 적절한 샤워헤드는 두께가 0.64cm이고 17.3cm의 직경을 갖는 600개의 0.8mm 직경의 구멍을 가진 것이다.
샤워헤드(36)는 상기 석영 링(62)에 볼트로 죄어지거나 나사로 죄어진다. 상기 샤워헤드(36)는 스템(68)을 포함한다. 스템(68)은 샤워헤드(36)와 일체로 형성되고, 샤워헤드(36)에 연결되는 상기 RF 피드라인 어셈블리(40)의 일부를 형성한다. 스템(68)을 포함하는 상기 샤워헤드(36)는 전기 전도성 물질, 양호하게는 니켈 -200으로 형성된다, 다른 전도성 물질도 또한 적절하게 사용될 수 있다. 도시된 것과 같이 샤워헤드(36)는 실린더(34)로부터 완전히 분리되어 있다.
CVD 반응 가스는 동심의 가스 링(50, 52)에 의해 흐름 통로(44)의 상부로 유입된다. 상기 가스는 흐름 통로(44)를 통하여 아래로 흐르고 속도 프로파일은 흐름통로의 길이에 따라 전개된다. 즉, 상기 가스 흐름은 흐름 통로(44)의 폭을 가로질러 측정될때 상이한 속도로 전개된다. 일반적으로, 가스 링(50, 52)에 가까운 상기 흐름 통로의 상부에서 가스 흐름의 속도는 일반적으로 흐름 통로(44)를 수평으로 가로질러 동일하다. 그러나, 상기 가스 흐름이 샤워헤드(36)의 상면(37)에 도달하면, 상기 가스 흐름의 속도는 실린더 벽에 가까운 흐름 통로(44)의 측면에서 보다 스템(68)에 인접한 흐름 통로(44)의 중앙에서 더 크다. 일반적으로 샤워헤드(36) 위의 흐름 통로(44)의 바닥에서, 상기 가스 흐름의 속도 프로파일은 정상 상태 (steady state)에 도달한다. 상기 반응 가스가 상기 샤워헤드(36)의 구멍(63)을 통과할 때, 샤워헤드의 바닥면(39)을 가로지르는 상기 속도 프로파일은 평탄해져서 샤워헤드의 중심에 가까운 흐름의 속도는 일반적으로 상기 샤워헤드의 주변 가장자리의 흐름의 속도와 같다.
본 발명에 의해 생성된 샤워헤드(36)와 회전 기판(28) 사이의 간격의 감소로 인하여 기판(28)의 상면(29) 상에 균일한 가스 흐름과 아주 얇은 경계층이 생성된다.
상기 샤워헤드(36)는 PECVD 기술을 위한 RF 전극으로 작용하도록 RF 에너지로 바이어스된다. PECVD 기술을 위한 상기 RF 전극의 가까운 간격과 그 결과 집중된 플라즈마는 저온 PECVD에 아주 유용하고, 특히, 티타늄 함유 막의 저온 PECVD에유용하다.
상기 RF 전원은 RF 피드라인 어셈블리(40)를 통하여 샤워헤드(36)를 바이어스해서 상기 샤워헤드는 RF 전극으로서 작용한다. 상기 접지된 서셉터(26)는 다른 병렬 전극을 형성한다. RF 장은 샤워헤드(36)와 서셉터(26) 사이에서 양호하게 발생한다. 본 명세서의 하기에서, 본 발명에 따른 바이어스된 샤워헤드(36)를 언급할때, 샤워헤드(36)는 샤워헤드/전극(36)으로 언급될 것이다. 바이어스된 샤워헤드/전극(36)에 의해 발생된 RF장은 구멍(63)을 통하여 분배되는 플라즈마 가스를 여기시키고, 그래서, 샤워헤드/전극(36) 바로 아래에 플라즈마가 발생된다. 상기 플라즈마는 샤워헤드/전극(36) 아래에서만 발생되고 상기 샤워헤드/전극 위쪽의 흐름 통로(44)내에서는 발생되지 않는 것이 바람직하다. 상기 한 바와 같이, 분배 구멍 (63)은 상기 플라즈마가 상기 샤워헤드/전극(36) 아래에만 한정적으로 발생하도록 할 수 있는 크기를 갖는 것이 바람직하다. 또한, 상기 플라즈마가 상기 샤워헤드/ 전극(36) 아래에 집중하도록 하는 다른 스텝을 가질 수 있다. 예를 들면, 실린더 (34)와 하우징(22)의 금속으로부터 RF 라인을 절연하기 위하여 RF 피드라인 어셈블리(40)내에 절연체 관을 이용할 수 있다. 또한, 석영 절연체 링(62)은 실린더(34)로부터 샤워헤드/전극(36)을 분리하고 또한 상기 샤워헤드/전극(36)의 표면(39)을 통하여 바닥 아래에만 플라즈마가 발생되는 것을 보장한다. 서셉터(26)의 회전은 균일한 증착을 위하여 플라즈마 가스가 상기 플라즈마로 균일하게 흐르도록 한다.
TiCl4등의 상기 반응 가스는 가스 링(50, 52)을 통하여 유입된다. 가스 링(50, 52)으로부터 나온 상기 가스는 상기 흐름 통로(44)의 길이 내에서 유동하여 상기 샤워헤드/전극(36)으로 이동한다. 상기 반응 가스의 가스 입자는 샤워헤드/전극(36)과 서셉터(26)에 의해 발생된 RF장에 의해 여기된다. 그러므로, 여기된 반응가스 입자, 래디컬(radical) 및 플라즈마 가스의 이온의 가스 혼합물은 기판(28)에 인접한 부분에 집중된다. 본 발명의 원리에 따라서, 상기 실린더 어셈블리(34)는 샤워헤드/전극(36)과 기판(28) 사이의 간격이 양호하게는 25mm 이하, 그리고 더욱 양호하게는 약 20mm가 되는 크기를 가진다, 상술한 바와 같이, 상기 샤워헤드/ 전극(36)을 가로지른 압력 강하는 상기 플라즈마와 반응 가스가 분배 구멍(63)을 통과할 때 상기 플라즈마와 반응 가스의 속도 프로파일을 평탄하게 한다. 이것은 기판(28) 상에서 가스 혼합물을 가로질러 일반적으로 동일한 속도를 발생하고 기판 표면(29)상에 막의 균일한 증착을 촉진한다.
상기 샤워헤드/전극(36)의 주파수 범위는 예를 들면, 450 KHz와 13.56 MHz 사이일 수 있다. 그러나, 본 발명은 주파수에 특별히 민감하지는 않다. 기판(28)가까이에서 상기 샤워헤드/전극(36)을 사용하면, 유용한 가스 래디컬과 기판 표면 (29)에 인접한 밀도가 큰 이온을 가진 응축된 플라즈마가 발생된다. 본 발명의 RF샤워헤드/전극 구성과 함께, 비록 2000 rpm 이상의 회전비가 가능하지만, 서셉터 (26)의 회전을 약 100 rpm보다 더 빠르게 하여도 현저한 효과가 얻어지지는 않는다. 그러나, 또한, 비록 상기 증착율에 현저히 영향을 미치지 않는다 하더라도, 회전율을 0 rpm으로 하는 것은 상기 반응체와 플라즈마 가스 흐름의 균일성과 증착을 저하시킨다는 것이 발견되었다.
본 발명의 샤워헤드/전극(36)이 플라즈마 강화 CVD를 위한 래디컬과 이온을 포함하는 플라즈마를 발생하기 때문에, 상기 샤워헤드 간격과 증착 파라미터는 상기 기판 표면(29)에서 래디컬과 이온의 유용한 혼합물을 얻을 수 있도록 선택되어야 한다. 상기 기판의 몇몇 이온 충격은 부가적인 에너지를 상기 표면(29)상의 성장 막층에 공급하기 때문에, 기판(28)의 너무 많은 이온 충격은 상기 기판상의 집적 회로 장치를 손상시킬 수 있다. 또한, 이온이 접촉부 및 비아홀 표면에 부착되는 경향을 가지기 때문에 고밀도의 이온은 막의 적응성을 열악하게 한다.
마지막으로, 폐기 가스는 포트(53)를 통하여 반응 공간(24)으로부터 제거된다. 상기 서셉터(29) 주위에 상기 가스가 흐르도록 배플링(27)이 제공될 수 있다.
상기 반응기(20)는 티타늄, 텅스텐, 티타늄 니트라이드, 티타늄 실리사이드의 플라즈마 증착 화학적 기상 증착에 유용하고, 티타늄 니트라이드를 형성하기 위하여 이미 증착된 티타늄 막을 어닐링하는 것에 유용하다. 본 발명은 상기 공정의 결합에 의존한다.
상기 기본 기판은 금속 도체, 접촉부, 절연층 등으로 코팅되었거나 부분적으로 코팅된 기판과, 실리콘, TEOS(4가 에틸 정규산염), 또는 석영 등을 포함하는 어떠한 종래의 IC 기판일 수 있다.
본 발명에 따라서 티타늄 막을 증착하기 위하여, 티타늄 테트라클로라이드 (titanium tetrachloride)와 같은 티타늄 테트라할라이드가 수소와 함께 첨가되고 주입 링(50, 52)을 통하여 주입된다. 상기 반응에서, 테트라클로라이드의 흐름율은 상당히 많은 수소 가스와 함께 약 2 내지 100 sccm(일반적으로 약 5 sccm)이어야한다. 일반적으로, 상기 수소 가스 흐름율은 티타늄 테트라클로라이드의 흐름율의 10 내지 약 300 배이다. 아르곤도 또한 사용될 수 있고 이에 따라 수소 가스가 부분적으로 배출될 수 있다. 상기 조합 가스에 대한 상기 가스 입구 온도는 약 400℃ 내지 약 800℃로 설정되고 상기 기판은 약 375℃ 내지 약 850℃의 온도까지 가열된다. 상기 반응실의 압력은 0.1 내지 약 20 Torr, 일반적으로는 66.7 내지 1333 N/m2(0.5 내지 10Torr)으로 변화될 수 있다.
상기 RF 전극은 약 100와트에서부터 상기 장치가 손상되게 되는 약 5 킬로와트의 최대 전력 사이에서 작동한다. 그러나, 실제로는 약 250 와트면 충분하다. 상기 RF 전극의 주파수는 약 55kHz 내지 약 33MHz에서 설정되고 약 13.56 MHz면 적절하다. 상기 주파수는 미국 연합 통신 위원회에서 정한 주파수이고 따라서 대부분의 장비는 상기 주파수로 설정되어 있다. 그러나, 본 반응의 최적화를 위하여 분명히 결정되어 있는 것은 아니다.
따라서, 결합된 가스는 실린더(34)로 주입되어 RF 전극/샤워헤드(36)를 통과한다. 플라즈마가 생성되고 티타늄이 형성되어 상기 기판(28)상으로 증착된다. 상기 수소는 할라이드, 즉 클로라이드와 반응하여 수소 클로라이드를 형성하고, 상기 수소 클로라이드는 배기된다. 상기 반응은 계속되어 원하는 막 두께가 될 때까지 티타늄 막이 증착된다. 특정 작업에서, 막 두께는 전적으로 원하는 작업에 따라서 약 10nm 내지 약 2000nm(100Å 내지 20000Å)의 범위로 변할 수 있다.
만약, 텅스텐을 사용한다면, 상기 반응 가스는 텅스텐 헥사플로라이드(tungsten hexafluoride)및 수소 가스와 같은 텅스텐 할라이드이다. 상기 텅스텐 헥사플로라이드는 2 내지 약 100 sccm(양호하게는 약 5 sccm)의 흐름율로 가스 링 (50, 52)을 통하여 상당한 양의 여분의 수소 가스와 함께 첨가된다. 압력을 유지하는데 필요하기 때문에 아르곤도 또한 첨가된다. 상기 서셉터 온도는 약 375℃ 내지 약 850℃정도의 범위이다.
또한, 상기 RF 전극은 상술한 티타늄 증착용의 주파수와 와트수로 정해진다. 따라서, 플라즈마가 샤워헤드/전극(36)의 전방에서 생성되게 되고, 텅스텐이 형성되어 회전 기판(28)상에 증착된다. 상기 텅스텐 막은 원하는 두께로 증착될 수 있고 폐기 가스는 반응하지 않은 수소와 수소 불화물의 결합이다.
티타늄 실리사이드를 형성하기 위하여, 티타늄 할라이드 가스, 양호하게는 티타늄 테트라클로라이드는 시레인과 반응하여 티타늄 실리사이드와 수소 클로라이드를 형성한다. 상기 반응 가스는 가스 링(50, 52)을 통하여 실린더(34)로 주입되어 샤워헤드/전극(36)을 통과한다. 13.56 MHz에서 상기 전극은 상기 반응 가스로부터 플라즈마를 형성한다. 상기 플라즈마는 상기 기판(28)과 접촉하여, 기판(28)의표면(29)상에 티타늄 실리사이드를 형성한다. 상기 반응에 대한 양호한 반응 조건은 다음과 같다.
아르곤 또는 헬륨과 같은 불활성 가스는 압력을 유지하기 위해 필요할 때 도입된다.
마지막으로, 티타늄 니트라이드는 티타늄 테트라클로라이드와 다른 티타늄 할라이드를, 부산물로서 티타늄 니트라이프와 수소 클로라이드를 생성하는 질소와 수소의 조합 또는 암모니아 가스와 반응시킴으로써 증착될 수 있다. 티타늄 할라이드의 흐름율은 약 0.5 내지 약 20 sccm 정도가 양호하다. 질소 소스 가스의 흐름을 은 1 내지 200 sccm이고, 수소, 아르곤 또는 헬륨의 흐름율은 1 내지 5,000 sccm이다. 이런 모든 반응에서, 상기 주파수와 상기 전극 전력은 Ti 증착에 대한 것과 동일한 파라미터 내에서 동작할 수 있고 상기 회전율은 거의 동일하게 유지된다.
본 발명의 장치에서 마지막으로 수행되고, 본 발명에서 유익하게 사용될 수 있는 한 가지 반응은 이미 증착된 티타늄 막의 질화이다. 상기 반응에서, 상기 서셉터가 티타늄 막으로 이미 코팅되어 있다면, 상기 티타늄 막은 질화될 필요가 있다. 이것은 상기 표면을 암모니아 플라즈마와 반응시킴으로써 수행될 수 있다. 상기 질화 가스의 흐름율은 약 10 sccm 내지 약 5,000 sccm이다. 상기 주파수는 약480 KHz인 것이 바람직하다. 상기 반응의 온도는 약 650℃에서 300℃로 변할 수 있는데 양호한 온도는 500℃ 이하이고, 양호하게는 400 내지 450℃이다. 압력은 상기 모든 반응에서 대기압 보다 낮아야 하고 일반적으로 66.7 N/㎡ 내지 2667 N/㎡(0.5내지 20Torr)이고, 약 1333 N/㎡(10Torr)인 것이 양호하다. 상기 질화 반응에서, 상기 반응 시간은 1분에서 약 10분까지 변할 수 있고, 약 5분이 양호하다. 상기 반응은 다음의 상세한 예를 통하여 더욱 잘 알 수 있을 것이다.
(제 1 실시예)
증착 구성을 이용하여, 티타늄 니트라이드층은 온도가 약 400℃인 기판 웨이퍼상에 증착된다. 특히, 티타늄 니트라이드층은 하기의 파라미터 리스트와 함께 암모니아 가스(NH3)와 질소 가스(N2)를 이용하여 증착되었고 결과는 표 1에 도시된다.
웨이퍼 1 내지 3은 실리콘이고, 웨이퍼 4 내지 6은 상기 기판상에 얇은 이산화 규소층을 가지는 열산화물 웨이퍼이다. 본 발명의 공정은 실리콘 웨이퍼와 산화물 웨이퍼에 대하여 광범위한 CVD 작업에 이용될 수 있다. 표 1의 각각의 기판 웨이퍼는 또한 압력이 666.6N/㎡(5Torr)이고 NH3의 흐름율이 5,000 sccm인 250 와트인 반응기(20)에서 약 120초 동안 250 와트에서 RF 플라즈마 암모니아(NH3) 어닐링된다. 상기 어닐링 동안 사이 서셉터의 회전율은 약 100 rpm이었다. 상기 NH3RF 플라즈마는 하기에서 더욱 상세히 기술하겠지만 증착된 TiN 막의 막 품질을 향상시킨다.
상기 RF 플라즈마 전극/샤워헤드 구성은 본 발명의 원리에 따라서, 암모니아 가스(NH3) 대신 질소 가스(N2)와 수소 가스(H2) 양자를 이용하여 기판상에 티타늄 니트라이드(TiN)층을 증착하는데 이용될 수 있다. TiN의 H2와 N2저온 증착에 대한 다양한 막 결과와 증착 파라미터가 하기 표 2, 3, 4, 및 표 5에 주어지는데, 표의 번호가 증가할수록 증착 온도는 증가한다.
표 2의 웨이퍼 1과 2는 실리콘이고, 나머지 웨이퍼 3 내지 10은 열 산화물이다. 웨이퍼 6 내지 10은 흐름율이 5,000 sccm이고, 외부 압력이 400 N/㎡(웨이퍼 (6)는 5 torr에서 처리됨)이고, 서셉터 회전율이 100 rpm인 NH3에서 120초 동안 250 와트 RF 플라즈마 어닐링되었다.
표 3은 450℃의 기판 온도를 이용하지만, 표 2의 증착 런(run)에 이용된 것과 동일한 가스와 증착 파라미터를 유지하는 증착 런의 결과를 도시한다. 웨이퍼 1과 2는 실리콘이고 웨이퍼 3 내지 8은 열 산화물이다. 결과적으로, 표 3의 웨이퍼(6 내지 8)는 5000 sccm, 666.6 N/㎡(5Torr)이고 250 와트의 전력 레벨을 가진 100 rpm의 회전율로 120초 RF 플라즈마 암모니아 어닐링된다.
저온 TiN 증착은 500℃의 기판에서 반복되었고 그 결과는 하기 표 4에 나타난다. 웨이퍼 1은 실리콘이고 웨이퍼 2 내지 7은 열산화물이다.
표 4에서 웨이퍼 1 내지 4는 어닐링되지 않았지만, 웨이퍼 5 내지 7은 유사 RF 플라즈마 NH3어닐링 공정과 표 3에 참조된 상기 증착 런에 이용된 파라미터를 이용하여 어닐링되었다.
유사하게, 기판 온도가 600℃인 본 발명의 CVD 공정은 표 5에 도시된 결과를 가진 TiN을 증착하는데 사용되었고, 웨이퍼 1과 2는 실리콘이고 웨이퍼 3 내지 8은 열 산화물이다.
또한, RF 플라즈마 NH3어닐링은 압력이 666.6 N/㎡(5Torr)대신 133 N/㎡ (1Torr)인 점을 제외하면 표 3과 4의 어닐링과 유사한 표 5의 기판 웨이퍼 6 내지 8 상에서 수행되었다. 그러므로, 본 발명의 저온 CVD 공정을 이용하는 TiN의 증착은 종래의 열적 CVD에 필요한 온도 보다 더 낮은 다양한 온도에서 수행될 수 있다.
티타늄 니트라이드가 본 발명과 함께 증착될 수 있지만, 단지 순 티타늄층만 증착하는 것도 또한 필요할 수 있다. 예를 들면, 티타늄층은 실리콘 와이퍼상에 증착될 수 있고 그 다음에 티타늄과 반응하여 티타늄 실리사이드(TiSi2) 막을 형성한다. 상기 목적을 위하여, 본 발명은 또한 티타늄층을 증착하는데 이용될 수 있다.
아래 표 6은 650℃에서 결국 열 산화물 웨이퍼상에 약 84%의 티타늄 막이 증착된 증착 런의 결과와 파라미터를 나타낸다. 이것은 저온 화학적 기상 증착에 대한 우수한 결과였다. 표 6의 증착 런은 상기 도 2의 RF 샤워헤드/전극 구성을 가진다음 증착 파라미터에 따라서 수행되었다.
표 6의 기판 웨이퍼는 어닐링되지 않았다.
부가적인 Ti층 증착 런은 표 7의 파라미터에 따라 만들어졌고 결과는 표 7에 도시된다.
티타늄 함유 막의 화학적 기상 증착법의 이점은 물리적 증착 기술에 비하여향상된 스텝 커버리지와 막 균질성이므로, 본 발명에 따라서 증착된 몇몇 막층은 균질성과 스텝 커버리지를 검사하여 측정하였다. 균질성과 스텝 커버리지에 대하여 검사된 상기 층은 표 8의 파라미터에 따라 증착되었고 결과는 아래 표 8에 도시된다. 하기 파라미터에 따라서 증착된 막층의 막 균질성과 스텝 커버리지는 아주 양호하다.
표 8에서 사용되고 스텝 커버리지에 대하여 검사된 웨이퍼중 어떠한 웨이퍼도 NH3의 RF 플라즈마로 어닐링되지 않았다.
상기 상술한 바와 같이 티타늄 니트라이드(TiN)층은 암모니아 가스(NH3)를 이용하지 않고 본 발명의 원리에 따라 증착될 수 있다. 대신에, H2와 N2가스의 혼합 가스가 사용된다. TiCL4, N2및 H2를 이용하는 티타늄 니트라이드의 저온 증착이 적절한데 왜냐하면 그것은 TiCl4와 NH3의 화학적 반응에 의해 형성된 반응실내의 오염물을 줄이기 때문이다. 특히, TiCl4는 120℃ 이하의 온도에서 NH3와 반응하여 노란 분말의 부가 생성물을 형성하고, 종래에는 필수적이었던 반응실벽을 150℃ 이상으로 가열하는 것을 방해한다. NH3대신 TiCl4, N2과 H2의 화학적 성질을 이용하여 저온에서 티타늄 니트라이드층을 증착하는 것도 가능하기 때문에, 더 이상 증착된 부가 생성물을 제거하거나 상기 반응실 벽을 가열할 필요가 없으며, 따라서 CVD 시스템의 비용을 많이 감소시킬수 있다.
표 9의 상기 증착 파라미터에 따라서, 티타늄 니트라이드층은 가열되지 않은벽과 H/N의 혼합 가스를 가진 반응실을 사용하는 몇몇 열적 산화물 기판상에 증착되었다. 상기 막의 증착 후, 상기 반응실은 검사되었고 발견된 노란 부산물은 없었다. 표 9의 웨이퍼중 어떠한 웨이퍼도 RF NH3로 어닐링되지 않았다.
다른 증착 런은 내부 증착 압력과 상기 플라즈마 및 반응 가스 흐름이 조절되는 곳에서 만들어진다. 예를 들면, 표 10에 도시된 상기 증착 런은 H2의 더 높은 흐름율과 133 N/㎡내지 666.6 N/㎡(1 내지 5Torr)의 증가된 증착 압력을 이용했다. 또한, 아르곤은 몇몇 상기 증착 런을 위하여 H2와 결합되었다.
표 10에서, H2의 흐름은 웨이퍼 1 내지 4에 대하여 2,000 sccm 까지 웨이퍼5 내지 9에 대하여 1,500 sccm까지 증가되었다. 상기 증착 압력은 666.6 N/㎡ (5Torr)까지 증가되었다. 웨이퍼 5 내지 9에 대하여, 아르곤의 분당 0.5의 표준리터(slm; standard liters per minute)의 흐름은 희석제로 H2와 함께 이용되었다. 표 10에서, 웨이퍼 1 내지 2와 5 내지 6은 실리콘이고, 웨이퍼 3 내지 4와 7 내지 9는 열적 산화물이다.
표 11은 증가된 H2흐름과 증가된 증착 압력으로 만들어진 부가적인 런을 도시한다.
증착 압력이 133 N/㎡(1Torr)으로부터 666.6 N/㎡(5Torr)으로 변하면 더 안정되고 대칭적인 플라즈마가 생성되었다. 또한, 약간의 아르곤 흐름이 첨가된 증가된 수소 흐름은 플라즈마 강도와 상기 플라즈마 흐름의 안정성을 증가시켰다. 0 내지 10 slm의 아르곤 흐름이 양호하다. 웨이퍼 1 내지 2는 실리콘이었지만, 웨이퍼 3 내지 10은 열 산화물이었다. 웨이퍼 11과 12는 캘리포니아, 프리몬트(Freemont)사의 박막으로부터 얻을 수 있는 보로포스포 실리케이트(borophospho-silicate) 유리였다. 표 10 또는 표 11의 어떤 웨이퍼도 NH3플라즈마 어닐링되지 않았다.
표 12는 450℃의 서셉터 온도에서 부가적인 증착 런을 보여준다.
웨이퍼 1 내지 4는 실리콘이고, 웨이퍼 5는 열 산화물이었지만 웨이퍼 6과 7은 알루미늄 실리콘과 구리를 함유한 알루미늄 합금이었다. 표 12의 런 6과 7은 본 발명을 이용하는 알루미늄상에 티타늄 함유 막 증착에 대한 가능성을 나타낸다. 표12의 증착 런은 표 11의 런보다 더 낮은 반응 가스 흐름, 즉 5 sccm 의 TiCl4을 이용한다.
표 13의 증착 런은 더 감소된 TiCl4흐름율로 만들어졌다. 표 13의 모든 웨이퍼는 열 산화물이었다. 표 12 또는 13의 어떤 웨이퍼도 NH3RF 어닐링되지 않았다.
본 발명에 따라서, 상기 기판상에 다수의 층들이 증착된다. 텅스텐, 티타늄, 티타늄 니트라이트, 또는 티타늄 실리사이드의 각 층의 증착에 대하여 전술한 공정이 상이한 제 2 층을 수반하는 제 1 층을 상기 기판으로 증착하는데 이용된다. 상기 제 2 층도 상술한 공정에 따라 증착될 것이다. 부가적인 층이 최적으로 증착될 수 있다. 필요시에, 암모니아 어닐링이 사용될 수 있다.
합성 접촉부 금속화 공정은 PECVD에 의해 실리콘 표면상으로 티타늄을 먼저 증착시킴으로써 이용될 수 있다. 상기 공정을 통하여 티타늄 실리사이드층이 형성될 것이다. 티타늄을 증착시킨후 질화된 티타늄 실리사이드의 상부층을 제공하기 위하여 암모니아 플라즈마 어닐링이 수행되었다. 마지막으로, 티타늄 니트라이드층이 다시 동일한 반응실에서 PECVD에 의해 증착될 수 있다. 마지막으로, 상기 티타늄 니트라이드, 알루미늄 또는 텅스텐의 증착에 이어 금속이 스퍼터 증착될 수 있다. 그러나, 상기 마지막 증착은 스퍼터 증착 기술을 이용하는 개별적인 챔버를 필요로 한다. 종래에 이용된 어떤 스퍼터 증착 챔버도 본 발명에 이용될 수 있다. 스퍼터 증착의 방법은 본 기술에 숙련된 사람에게 잘 알려져 있으며, 본 발명의 일부를 형성하지는 않는다.
본 발명은 또한 알루미늄 접촉부에 대한 보호층을 형성하는데 이용될 수 있다. 티타늄 니트라이드가 알루미늄 금속 피막상으로 증착될 때, 질화 알루미늄이 경계면에 형성된다. 이것은 절연체이며 따라서 한 금속 피막층에서 다른 금속 피막 층으로의 전류 흐름을 방해한다. 상기 티타늄 니트라이드층은 텅스텐 비아 플러그를 형성하기 위한 접착층으로 필요하다. 상기 문제를 해결하기 위하여, 티타늄층은 전술한 PECVD 공정을 이용하는 이미 증착된 알루미늄층상으로 증착된다. 상기 티타늄층은 그 다음에 전술한 바와 같이 플라즈마 강화 암모니아 어닐링 된다. 마지막으로, 더 두꺼운 티타늄 니트라이드층은 본 발명의 PECVD 공정을 이용하여 증착될 수 있다. 따라서, 상기 증착된 티타늄층은 티타늄 니트라이드와의 반응으로 인한 질화 알루미늄의 형성을 막아서, 상기 알루미늄층을 보호한다. 또한, 이것은 종래에는 두 개의 스퍼터링 챔버가 필요하였지만, 본 발명에 따라 한 개의 반응 장치에서 모두 완료될 수 있다. 따라서 이것은 단일 챔버용 CVD 다중 레벨 금속 피막 공정을 제공한다.
또한, 본 발명은 티타늄 니트라이드 막을 티타늄 막에 붙이는데 이용될 수 있다. 상기 티타늄 막은 전술한 PECVD 방법에 따라서 어떠한 기판 상에도 증착될 수 있다. 상기 티타늄은 이미 검토된 바와 같이 티타늄 니트라이드의 접착층을 형성하기 위하여 플라즈마 암모니아 어닐링된다. 티타늄 니트라이드는 그 다음에 본 발명의 PECVD 방법에 의해 증착된다. 티타늄 니트라이드 막을 질화된 티타늄 막 상에 증착할 때, 두 단계에서 이것을 하는 것이 양호하다. 시작 단계에서, 상기 티타늄은 티타늄 테트라클로라이드 디플리션에, 즉 20 sccm의 티타늄 테트라클로라이드 흐름율과 약 500 sccm의 암모니아 흐름율과 희석제로 사용된 질소의 분당 5 리터의 유동율로 증착될 수 있다. 약 10 내지 50nm(100 내지 500Å)의 얇은 티타늄 니트라이드층이 증착된 후, 티타늄 테트라클로라이드의 흐름율은 포화상태, 즉 약 80 sccm으로 나타날 수 있고, 암모니아와 질소의 흐름율은 일정하다. 이것은 원하는 두께로 증착될 수 있고 균질성은 약 100%이다.
본 발명이 실시예의 상술에 의해 예증되고, 상기 실시예는 아주 상세히 기술되었지만, 본 발명의 범주는 상기 상세한 설명에 제한되지 않는다. 본 기술 분야의 숙련자들은 본 발명의 부가적인 이점과 특징들을 명백하게 알 수 있을 것이다. 예를 들면, 본 발명의 저온 CVD 기술은 본 명세서의 상세한 설명에서 검토된 티타늄 함유 막외에 다른 막을 증착하기 위해 이용될 수 있다. 또한, H2와 N2외의 다른 가스의 활성화된 래디컬도 상기 증착 온도를 낮추는데 이용될 수 있다.

Claims (10)

  1. 티타늄 니트라이드 막을 기판에 플라즈마 증착하는 방법에 있어서,
    상기 기판 표면의 약 25mm내에서 티타늄 테트라할라이드와 수소를 포함하는 제 1 가스 혼합물의 제 1 플라즈마를 생성하여 상기 기판의 표면상에 티타늄층을 형성하는 단계와,
    상기 티타늄층의 약 25mm 내에서 암모니아와 질소를 포함하는 그룹으로부터 선택된 가스로 제 2 플라즈마를 형성함으로써 상기 티타늄층을 질화하여, 티타늄 니트라이드 층을 형성하는 단계를 포함하는 것을 특징으로 하는 티타늄 니트라이드막 증착 방법.
  2. 제 1 항에 있어서, 상기 기판 표면은 알루미늄, 텅스텐 또는 실리콘인 것을 특징으로 하는 티타늄 니트라이드 막 증착 방법.
  3. 제 1 항에 있어서, 암모니아와 질소를 포함하는 그룹으로부터 선택된 가스와, 티타늄 테트라할라이드를 포함하는 제 2 가스 혼합물의 제 3 플라즈마를 생성함으로써 상기 질화된 티타늄층상에 티타늄 니트라이드막층을 증착하는 단계를 추가로 포함하는 것을 특징으로 하는 티타늄 니트라이드 막 증착 방법.
  4. 제 3 항에 있어서, 상기 제 3 플라즈마는 상기 질화된 티타늄층의 25mm내에서 형성되는 것을 특징으로 하는 티타늄 니트라이드 막 증착 방법.
  5. 제 3 항에 있어서, 상기 제 1, 제 2 및 제 3 플라즈마는 상기 기판의 20mm내에서 생성되는 것을 특징으로 하는 티타늄 니트라이드 막 증착 방법.
  6. 제 3 항 내지 제 5 항 중 어느 한 항에 있어서, 상기 제 1, 제 2 및 제 3 플라즈마는 상기 표면의 25mm내에 위치된 금속 샤워헤드에서 라디오 주파수 포텐셜을 발생시킴으로써 생성되는 것을 특징으로 하는 티타늄 니트라이드 막 증착 방법.
  7. 제 3 항 내지 제 5 항 중 어느 한 항에 있어서, 상기 제 1 가스 혼합물은 10체적% 미만의 티타늄 테트라할라이드를 포함하고, 상기 제 2 가스 혼합물은 10체적%에서 20체적%까지의 티타늄 테트라할라이드 농도를 갖는 것을 특징으로 하는 티타늄 니트라이드 막 증착 방법.
  8. 제 3 항 내지 제 5 항 중 어느 한 항에 있어서, 상기 제 2 플라즈마용 가스는 암모니아인 것을 특징으로 하는 티타늄 니트라이드 막 증착 방법.
  9. 티타늄 니트라이드 막을 표면상에 증착하는 방법에 있어서,
    티타늄 표면을 상기 티타늄 표면의 25mm내에서 암모니아와 질소를 포함하는 그룹으로부터 선택된 가스로부터 생성되는 제 1 플라즈마에 노출시키는 단계와,
    상기 표면의 35mm내에서 티타늄 테트라할라이드와 암모니아와 질소를 포함하는 그룹으로부터 선택된 가스를 포함하는 가스 혼합물로부터 제 2 플라즈마를 형성하는 단계를 포함하는 것을 특징으로 하는 티타늄 니트라이드 막 증착 방법.
  10. 제 1 항 또는 제 9 항에 있어서, 상기 티타늄 테트라할라이드는 티타늄 테트라클로라이드인 것을 특징으로 하는 티타늄 니트라이드 막 증착 방법.
KR1019960706958A 1994-06-03 1995-04-03 저온플라즈마를이용한직접회로제조방법 KR100355914B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/253,978 US5975912A (en) 1994-06-03 1994-06-03 Low temperature plasma-enhanced formation of integrated circuits
US253,978 1994-06-03

Publications (2)

Publication Number Publication Date
KR970703443A KR970703443A (ko) 1997-07-03
KR100355914B1 true KR100355914B1 (ko) 2003-01-08

Family

ID=22962443

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960706958A KR100355914B1 (ko) 1994-06-03 1995-04-03 저온플라즈마를이용한직접회로제조방법

Country Status (9)

Country Link
US (2) US5975912A (ko)
EP (1) EP0763146B1 (ko)
JP (1) JP3404536B2 (ko)
KR (1) KR100355914B1 (ko)
AU (1) AU2238595A (ko)
CA (1) CA2191458A1 (ko)
DE (1) DE69506865T2 (ko)
TW (1) TW294827B (ko)
WO (1) WO1995033865A1 (ko)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6291343B1 (en) 1994-11-14 2001-09-18 Applied Materials, Inc. Plasma annealing of substrates to improve adhesion
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
JP3374322B2 (ja) * 1996-10-01 2003-02-04 東京エレクトロン株式会社 チタン膜及びチタンナイトライド膜の連続成膜方法
US6537621B1 (en) 1996-10-01 2003-03-25 Tokyo Electron Limited Method of forming a titanium film and a barrier film on a surface of a substrate through lamination
US5989652A (en) * 1997-01-31 1999-11-23 Tokyo Electron Limited Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications
US6093645A (en) * 1997-02-10 2000-07-25 Tokyo Electron Limited Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation
JP3636866B2 (ja) * 1997-07-16 2005-04-06 東京エレクトロン株式会社 CVD−Ti膜の成膜方法
US6140230A (en) * 1998-02-19 2000-10-31 Micron Technology, Inc. Methods of forming metal nitride and silicide structures
KR100331545B1 (ko) * 1998-07-22 2002-04-06 윤종용 다단계 화학 기상 증착 방법에 의한 다층 질화티타늄막 형성방법및 이를 이용한 반도체 소자의 제조방법
US6107150A (en) * 1998-09-04 2000-08-22 Advanced Micro Devices, Inc. Method of making high performance transistors using channel modulated implant for ultra thin oxide formation
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
JP3175721B2 (ja) * 1999-02-05 2001-06-11 日本電気株式会社 半導体装置の製造方法
US6221174B1 (en) * 1999-02-11 2001-04-24 Applied Materials, Inc. Method of performing titanium/titanium nitride integration
US6316353B1 (en) 1999-02-18 2001-11-13 Micron Technology, Inc. Method of forming conductive connections
US6245674B1 (en) * 1999-03-01 2001-06-12 Micron Technology, Inc. Method of forming a metal silicide comprising contact over a substrate
KR100428521B1 (ko) 1999-04-20 2004-04-29 도쿄 엘렉트론 가부시키가이샤 IC 제조에서의 PECVD-Ti 및 CVD-TiN 막의 단일 챔버 처리 방법
US6555183B2 (en) * 1999-06-11 2003-04-29 Applied Materials, Inc. Plasma treatment of a titanium nitride film formed by chemical vapor deposition
US6548402B2 (en) 1999-06-11 2003-04-15 Applied Materials, Inc. Method of depositing a thick titanium nitride film
JP2001210606A (ja) 2000-01-24 2001-08-03 Oki Electric Ind Co Ltd 半導体装置の製造方法
US6436819B1 (en) * 2000-02-01 2002-08-20 Applied Materials, Inc. Nitrogen treatment of a metal nitride/metal stack
US6436820B1 (en) * 2000-02-03 2002-08-20 Applied Materials, Inc Method for the CVD deposition of a low residual halogen content multi-layered titanium nitride film having a combined thickness greater than 1000 Å
US6573181B1 (en) * 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
JP2003092271A (ja) * 2001-07-13 2003-03-28 Seiko Epson Corp 半導体装置及びその製造方法
JP4128383B2 (ja) * 2002-03-27 2008-07-30 東京エレクトロン株式会社 処理装置及び処理方法
JP3574651B2 (ja) * 2002-12-05 2004-10-06 東京エレクトロン株式会社 成膜方法および成膜装置
KR100536797B1 (ko) * 2002-12-17 2005-12-14 동부아남반도체 주식회사 화학 기상 증착 장치
DE10343761A1 (de) * 2003-09-22 2005-04-14 Mtu Aero Engines Gmbh Verschleißschutzschicht, Bauteil mit einer derartigen Verschleißschutzschicht sowie Herstellverfahren
DE102004007984A1 (de) * 2004-02-18 2005-09-01 Aixtron Ag CVD-Reaktor mit Fotodioden-Array
US7229911B2 (en) 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
KR100636037B1 (ko) * 2004-11-19 2006-10-18 삼성전자주식회사 티타늄 질화막 형성 방법 및 이를 수행하기 위한 장치
KR100636036B1 (ko) * 2004-11-19 2006-10-18 삼성전자주식회사 티타늄 질화막 형성 방법 및 이를 수행하기 위한 장치
JP5032056B2 (ja) * 2005-07-25 2012-09-26 株式会社東芝 不揮発性半導体メモリ装置の製造方法
US20080050932A1 (en) * 2006-08-23 2008-02-28 Applied Materials, Inc. Overall defect reduction for PECVD films
JP5371238B2 (ja) * 2007-12-20 2013-12-18 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US8895107B2 (en) 2008-11-06 2014-11-25 Veeco Instruments Inc. Chemical vapor deposition with elevated temperature gas injection
US20100123206A1 (en) * 2008-11-18 2010-05-20 Thunderbird Technologies, Inc. Methods of fabricating field effect transistors including titanium nitride gates over partially nitrided oxide and devices so fabricated
US20100267191A1 (en) * 2009-04-20 2010-10-21 Applied Materials, Inc. Plasma enhanced thermal evaporator
US20140248733A1 (en) * 2011-10-07 2014-09-04 Sharp Kabushiki Kaisha, Method of manufacturing photoelectric conversion device
US9330936B2 (en) 2013-11-09 2016-05-03 Tokyo Electron Limited Method for depositing metal layers on germanium-containing films using metal chloride precursors
US10978448B2 (en) * 2016-01-22 2021-04-13 Texas Instruments Incorporated Integrated fluxgate device
US10535527B2 (en) * 2017-07-13 2020-01-14 Applied Materials, Inc. Methods for depositing semiconductor films
KR102643607B1 (ko) * 2017-12-26 2024-03-06 솔브레인 주식회사 박막 형성용 조성물, 이를 이용한 박막 및 그 제조방법
KR102645319B1 (ko) * 2017-12-26 2024-03-11 솔브레인 주식회사 박막 형성용 조성물, 이를 이용한 박막 및 그 제조방법
US11421318B2 (en) * 2018-05-04 2022-08-23 Applied Materials, Inc. Methods and apparatus for high reflectivity aluminum layers
US11823910B2 (en) * 2020-07-31 2023-11-21 Tokyo Electron Limited Systems and methods for improving planarity using selective atomic layer etching (ALE)
US11664229B2 (en) * 2020-09-24 2023-05-30 Applied Materials, Inc. Nitride capping of titanium material to improve barrier properties

Family Cites Families (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3656995A (en) * 1969-05-02 1972-04-18 Texas Instruments Inc Chemical vapor deposition coatings on titanium
US4006073A (en) * 1975-04-03 1977-02-01 The United States Of America As Represented By The United States Energy Research And Development Administration Thin film deposition by electric and magnetic crossed-field diode sputtering
US4138306A (en) * 1976-08-31 1979-02-06 Tokyo Shibaura Electric Co., Ltd. Apparatus for the treatment of semiconductors
JPS53112066A (en) * 1977-03-11 1978-09-30 Fujitsu Ltd Plasma treatment apparatus
JPS5518403A (en) * 1978-07-25 1980-02-08 Toshiba Corp Formation of organic thin film
US4410758A (en) * 1979-03-29 1983-10-18 Solar Voltaic, Inc. Photovoltaic products and processes
US4282267A (en) * 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
JPS5660447A (en) * 1979-10-23 1981-05-25 Toshiba Corp Forming method of organic photoconductive film
JPS5691437A (en) * 1979-12-26 1981-07-24 Nippon Hoso Kyokai <Nhk> Preparation of metallized element
US4718976A (en) * 1982-03-31 1988-01-12 Fujitsu Limited Process and apparatus for plasma treatment
US4504518A (en) * 1982-09-24 1985-03-12 Energy Conversion Devices, Inc. Method of making amorphous semiconductor alloys and devices using microwave energy
US4524718A (en) * 1982-11-22 1985-06-25 Gordon Roy G Reactor for continuous coating of glass
JPS59159167A (ja) * 1983-03-01 1984-09-08 Zenko Hirose アモルフアスシリコン膜の形成方法
DE3429899A1 (de) * 1983-08-16 1985-03-07 Canon K.K., Tokio/Tokyo Verfahren zur bildung eines abscheidungsfilms
US4557943A (en) * 1983-10-31 1985-12-10 Advanced Semiconductor Materials America, Inc. Metal-silicide deposition using plasma-enhanced chemical vapor deposition
JPS60221566A (ja) * 1984-04-18 1985-11-06 Agency Of Ind Science & Technol 薄膜形成装置
US4678679A (en) * 1984-06-25 1987-07-07 Energy Conversion Devices, Inc. Continuous deposition of activated process gases
JPS6126774A (ja) * 1984-07-16 1986-02-06 Canon Inc 非晶質シリコン膜形成装置
US4759947A (en) * 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
DE3437120A1 (de) * 1984-10-10 1986-04-10 Licentia Patent-Verwaltungs-Gmbh, 6000 Frankfurt Verfahren zum herstellen von halbleiterschichten auf halbleiterkoerpern oder zur eindiffusion von stoerstellen im halbleiterkoerper
US4749589A (en) * 1984-12-13 1988-06-07 Stc Plc Method of surface treatment
US4717584A (en) * 1985-02-07 1988-01-05 Matsushita Electric Industrial Co., Ltd. Method of manufacturing a magnetic thin film
US4717585A (en) * 1985-02-09 1988-01-05 Canon Kabushiki Kaisha Process for forming deposited film
US4716048A (en) * 1985-02-12 1987-12-29 Canon Kabushiki Kaisha Process for forming deposited film
US5178904A (en) 1985-02-16 1993-01-12 Canon Kabushiki Kaisha Process for forming deposited film from a group II through group VI metal hydrocarbon compound
JPS61189626A (ja) * 1985-02-18 1986-08-23 Canon Inc 堆積膜形成法
US4728528A (en) * 1985-02-18 1988-03-01 Canon Kabushiki Kaisha Process for forming deposited film
US4772486A (en) * 1985-02-18 1988-09-20 Canon Kabushiki Kaisha Process for forming a deposited film
US4726963A (en) * 1985-02-19 1988-02-23 Canon Kabushiki Kaisha Process for forming deposited film
US4778692A (en) * 1985-02-20 1988-10-18 Canon Kabushiki Kaisha Process for forming deposited film
US4784874A (en) * 1985-02-20 1988-11-15 Canon Kabushiki Kaisha Process for forming deposited film
US4818563A (en) * 1985-02-21 1989-04-04 Canon Kabushiki Kaisha Process for forming deposited film
JPS61223756A (ja) * 1985-03-28 1986-10-04 Canon Inc 複写装置
US4853251A (en) * 1985-02-22 1989-08-01 Canon Kabushiki Kaisha Process for forming deposited film including carbon as a constituent element
US4801468A (en) * 1985-02-25 1989-01-31 Canon Kabushiki Kaisha Process for forming deposited film
JP2537175B2 (ja) * 1985-03-27 1996-09-25 キヤノン株式会社 機能性堆積膜の製造装置
JPH07101751B2 (ja) * 1985-03-28 1995-11-01 キヤノン株式会社 光起電力素子の製造方法
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
NL8602356A (nl) 1985-10-07 1987-05-04 Epsilon Ltd Partnership Inrichting en werkwijze voor een axiaal symmetrische reactor voor het chemische uit damp neerslaan.
JPH0645890B2 (ja) * 1985-12-18 1994-06-15 キヤノン株式会社 堆積膜形成法
JPH084071B2 (ja) * 1985-12-28 1996-01-17 キヤノン株式会社 堆積膜形成法
JPS62161951A (ja) * 1986-01-08 1987-07-17 Seiko Instr & Electronics Ltd 装飾部品の表面処理方法
CH671407A5 (ko) 1986-06-13 1989-08-31 Balzers Hochvakuum
US4886683A (en) * 1986-06-20 1989-12-12 Raytheon Company Low temperature metalorganic chemical vapor depostion growth of group II-VI semiconductor materials
US4800105A (en) 1986-07-22 1989-01-24 Nihon Shinku Gijutsu Kabushiki Kaisha Method of forming a thin film by chemical vapor deposition
US5126169A (en) * 1986-08-28 1992-06-30 Canon Kabushiki Kaisha Process for forming a deposited film from two mutually reactive active species
US4954397A (en) * 1986-10-27 1990-09-04 Canon Kabushiki Kaisha Light receiving member having a divided-functionally structured light receiving layer having CGL and CTL for use in electrophotography
DE3742110C2 (de) * 1986-12-12 1996-02-22 Canon Kk Verfahren zur Bildung funktioneller aufgedampfter Filme durch ein chemisches Mikrowellen-Plasma-Aufdampfverfahren
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
KR960015609B1 (ko) * 1987-01-19 1996-11-18 미쓰다 가쓰시게 플라즈마 처리장치 및 방법
KR900008505B1 (ko) * 1987-02-24 1990-11-24 세미콘덕터 에너지 라보라터리 캄파니 리미티드 탄소 석출을 위한 마이크로파 강화 cvd 방법
US4992839A (en) * 1987-03-23 1991-02-12 Canon Kabushiki Kaisha Field effect thin film transistor having a semiconductor layer formed from a polycrystal silicon film containing hydrogen atom and halogen atom and process for the preparation of the same
JPS63233564A (ja) * 1987-03-23 1988-09-29 Canon Inc 接合型トランジスタの製造法
US4946514A (en) * 1987-03-27 1990-08-07 Canon Kabushiki Kaisha Thin film photoelectromotive force element having multi-thin films stacked semiconductor layer
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
AU622617B2 (en) * 1987-07-21 1992-04-16 Canon Kabushiki Kaisha Photovoltaic element with a semiconductor layer comprising non-single crystal material containing at least Zn, Se and in an amount of 1 to 40 atomic per cent
JPS6424468A (en) * 1987-07-21 1989-01-26 Canon Kk Functional deposited film
JPS6436086A (en) * 1987-07-31 1989-02-07 Canon Kk Functional deposition film
US4885067A (en) * 1987-08-31 1989-12-05 Santa Barbara Research Center In-situ generation of volatile compounds for chemical vapor deposition
US4888062A (en) * 1987-08-31 1989-12-19 Canon Kabushiki Kaisha Pin junction photovoltaic element having I-type semiconductor layer comprising non-single crystal material containing at least Zn, Se and H in an amount of 1 to 4 atomic %
JPS6461396A (en) * 1987-09-01 1989-03-08 Idemitsu Petrochemical Co Synthesis of diamond and installation therefor
US4870030A (en) * 1987-09-24 1989-09-26 Research Triangle Institute, Inc. Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer
US5180435A (en) 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
US5018479A (en) * 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
JPH0192375A (ja) * 1987-10-05 1989-04-11 Canon Inc マイクロ波プラズマcvd法による機能性堆積膜形成装置
CA1298639C (en) * 1987-11-20 1992-04-07 Katsumi Nakagawa Pinjunction photovoltaic element with p or n-type semiconductor layercomprising non-single crystal material containing zn, se, te, h in anamount of 1 to 4 atomic % and a dopant and i-type semiconductor layer comprising non-single crystal si(h,f) material
ATE124169T1 (de) * 1987-11-20 1995-07-15 Canon Kk Photovoltaisches pin-bauelement, tandem-und triple-zellen.
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JPH01198482A (ja) * 1988-02-01 1989-08-10 Canon Inc マイクロ波プラズマcvd法による堆積膜形成法
JPH01198481A (ja) * 1988-02-01 1989-08-10 Canon Inc マイクロ波プラズマcvd法による堆積膜形成法
US4908330A (en) * 1988-02-01 1990-03-13 Canon Kabushiki Kaisha Process for the formation of a functional deposited film containing group IV atoms or silicon atoms and group IV atoms by microwave plasma chemical vapor deposition process
US4971832A (en) * 1988-03-02 1990-11-20 Canon Kabushiki Kaisha HR-CVD process for the formation of a functional deposited film on a substrate with application of a voltage in the range of -5 to -100 V
JP2776826B2 (ja) * 1988-04-15 1998-07-16 株式会社日立製作所 半導体装置およびその製造方法
JPH01298164A (ja) * 1988-05-25 1989-12-01 Canon Inc 機能性堆積膜の形成方法
JPH01296611A (ja) * 1988-05-25 1989-11-30 Canon Inc 半導体薄膜堆積法
US4937094A (en) * 1988-05-26 1990-06-26 Energy Conversion Devices, Inc. Method of creating a high flux of activated species for reaction with a remotely located substrate
US5093149A (en) * 1988-05-26 1992-03-03 Energy Conversion Devices, Inc. Method of depositing directly activated species onto a remotely located substrate
JPH01306565A (ja) * 1988-06-02 1989-12-11 Canon Inc 堆積膜形成方法
US4992305A (en) * 1988-06-22 1991-02-12 Georgia Tech Research Corporation Chemical vapor deposition of transistion metals
JPH0215174A (ja) * 1988-07-01 1990-01-18 Canon Inc マイクロ波プラズマcvd装置
DE68928435T2 (de) * 1988-07-20 1998-03-05 Hashimoto Chemical Ind Co Generator zum Erzeugen von wasserfreier, verdünnter Flusssäure und seine Benutzung in einer Einrichtung zum Trockenätzen
DE3926023A1 (de) * 1988-09-06 1990-03-15 Schott Glaswerke Cvd-beschichtungsverfahren zur herstellung von schichten und vorrichtung zur durchfuehrung des verfahrens
KR940003787B1 (ko) * 1988-09-14 1994-05-03 후지쓰 가부시끼가이샤 박막 형성장치 및 방법
GB8823668D0 (en) * 1988-10-08 1988-11-16 Tecvac Ltd Surface treatment of metals & alloys
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
JP2717583B2 (ja) * 1988-11-04 1998-02-18 キヤノン株式会社 積層型光起電力素子
US5178905A (en) 1988-11-24 1993-01-12 Canon Kabushiki Kaisha Process for the formation of a functional deposited film by hydrogen radical-assisted cvd method utilizing hydrogen gas plasma in sheet-like state
JPH02148715A (ja) * 1988-11-29 1990-06-07 Canon Inc 半導体デバイスの連続形成装置
US5087542A (en) * 1988-12-27 1992-02-11 Canon Kabushiki Kaisha Electrophotographic image-forming method wherein an amorphous silicon light receiving member with a latent image support layer and a developed image support layer and fine particle insulating toner are used
US5007971A (en) * 1989-01-21 1991-04-16 Canon Kabushiki Kaisha Pin heterojunction photovoltaic elements with polycrystal BP(H,F) semiconductor film
JPH02192771A (ja) * 1989-01-21 1990-07-30 Canon Inc 光起電力素子
US5002617A (en) * 1989-01-21 1991-03-26 Canon Kabushiki Kaisha Pin heterojunction photovoltaic elements with polycrystal AlAs(H,F) semiconductor film
JP2829653B2 (ja) * 1989-01-21 1998-11-25 キヤノン株式会社 光起電力素子
US5002618A (en) * 1989-01-21 1991-03-26 Canon Kabushiki Kaisha Pin heterojunction photovoltaic elements with polycrystal BAs(H,F) semiconductor film
US4888088A (en) * 1989-03-06 1989-12-19 Tegal Corporation Ignitor for a microwave sustained plasma
JPH02258689A (ja) 1989-03-31 1990-10-19 Canon Inc 結晶質薄膜の形成方法
US5093150A (en) * 1989-04-20 1992-03-03 Alps Electric Co., Ltd. Synthesis method by plasma chemical vapor deposition
US4987856A (en) * 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5130170A (en) * 1989-06-28 1992-07-14 Canon Kabushiki Kaisha Microwave pcvd method for continuously forming a large area functional deposited film using a curved moving substrate web with microwave energy with a directivity in one direction perpendicular to the direction of microwave propagation
US5037666A (en) * 1989-08-03 1991-08-06 Uha Mikakuto Precision Engineering Research Institute Co., Ltd. High-speed film forming method by microwave plasma chemical vapor deposition (CVD) under high pressure
JPH0394069A (ja) 1989-09-05 1991-04-18 Mitsubishi Electric Corp 薄膜形成装置
US5139825A (en) * 1989-11-30 1992-08-18 President And Fellows Of Harvard College Process for chemical vapor deposition of transition metal nitrides
US5220181A (en) 1989-12-11 1993-06-15 Canon Kabushiki Kaisha Photovoltaic element of junction type with an organic semiconductor layer formed of a polysilane compound
JPH088243B2 (ja) 1989-12-13 1996-01-29 三菱電機株式会社 表面クリーニング装置及びその方法
KR0184279B1 (ko) * 1990-01-29 1999-04-15 미다 가쓰시게 금속 또는 금속실리사이드막의 형성방법
US4977106A (en) * 1990-05-01 1990-12-11 Texas Instruments Incorporated Tin chemical vapor deposition using TiCl4 and SiH4
FR2664294B1 (fr) 1990-07-06 1992-10-23 Plasmametal Procede de metallisation d'une surface.
US5085885A (en) * 1990-09-10 1992-02-04 University Of Delaware Plasma-induced, in-situ generation, transport and use or collection of reactive precursors
US5052339A (en) * 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
KR100228259B1 (ko) 1990-10-24 1999-11-01 고지마 마따오 박막의 형성방법 및 반도체장치
JP2939355B2 (ja) 1991-04-22 1999-08-25 東京エレクトロン株式会社 プラズマ処理装置
US5260236A (en) 1991-06-07 1993-11-09 Intel Corporation UV transparent oxynitride deposition in single wafer PECVD system
US5173327A (en) * 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
US5279857A (en) 1991-08-16 1994-01-18 Materials Research Corporation Process for forming low resistivity titanium nitride films
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
JP2989063B2 (ja) 1991-12-12 1999-12-13 キヤノン株式会社 薄膜形成装置および薄膜形成方法
US5434110A (en) 1992-06-15 1995-07-18 Materials Research Corporation Methods of chemical vapor deposition (CVD) of tungsten films on patterned wafer substrates
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5356476A (en) 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5416045A (en) 1993-02-18 1995-05-16 Micron Technology, Inc. Method for chemical vapor depositing a titanium nitride layer on a semiconductor wafer and method of annealing tin films
US5246881A (en) 1993-04-14 1993-09-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5396404A (en) 1993-09-20 1995-03-07 Delco Electronics Corp. Heat sinking assembly for electrical components
US5378501A (en) 1993-10-05 1995-01-03 Foster; Robert F. Method for chemical vapor deposition of titanium nitride films at low temperatures
US5420072A (en) * 1994-02-04 1995-05-30 Motorola, Inc. Method for forming a conductive interconnect in an integrated circuit

Also Published As

Publication number Publication date
JP3404536B2 (ja) 2003-05-12
WO1995033865A1 (en) 1995-12-14
AU2238595A (en) 1996-01-04
DE69506865D1 (de) 1999-02-04
CA2191458A1 (en) 1995-12-14
EP0763146A1 (en) 1997-03-19
KR970703443A (ko) 1997-07-03
TW294827B (ko) 1997-01-01
US5975912A (en) 1999-11-02
EP0763146B1 (en) 1998-12-23
DE69506865T2 (de) 1999-05-27
US6221770B1 (en) 2001-04-24
JPH10501100A (ja) 1998-01-27

Similar Documents

Publication Publication Date Title
KR100355914B1 (ko) 저온플라즈마를이용한직접회로제조방법
EP0763147B1 (en) Method and apparatus for producing thin films
KR100428521B1 (ko) IC 제조에서의 PECVD-Ti 및 CVD-TiN 막의 단일 챔버 처리 방법
EP1154040B1 (en) Reduction of plasma edge effect on plasma enhanced CVD processes
KR100462097B1 (ko) 질화티탄막의 형성 방법 및 당해 방법으로 제조된 생성물
US5593511A (en) Method of nitridization of titanium thin films
JP3740508B2 (ja) 窒化チタンのプラズマエンハンスアニール処理
KR100445018B1 (ko) 고종횡비 실리콘 반도체 디바이스 콘텍트들을 금속화하는 방법 및 장치
US5926737A (en) Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6221792B1 (en) Metal and metal silicide nitridization in a high density, low pressure plasma reactor
EP0179665A2 (en) Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
JP3712421B2 (ja) バイアレベル用途に用いるための、チタン上にTiN膜を低温プラズマ増速化学蒸着する方法
WO1995033866A1 (en) Method and apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
KR20010034242A (ko) 금속의 화학 증착에서 모서리 효과를 제거하기 위한 방법
KR0175011B1 (ko) 티타늄나이트라이드 박막의 제조방법
Schwartz Methods/principles of deposition and etching of thin-films
JPH05190495A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Re-publication after modification of scope of protection [patent]
FPAY Annual fee payment

Payment date: 20130903

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20140901

Year of fee payment: 13

EXPY Expiration of term