TW294827B - - Google Patents

Download PDF

Info

Publication number
TW294827B
TW294827B TW084102740A TW84102740A TW294827B TW 294827 B TW294827 B TW 294827B TW 084102740 A TW084102740 A TW 084102740A TW 84102740 A TW84102740 A TW 84102740A TW 294827 B TW294827 B TW 294827B
Authority
TW
Taiwan
Prior art keywords
titanium
gas
plasma
substrate
layer
Prior art date
Application number
TW084102740A
Other languages
English (en)
Original Assignee
Materials Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Materials Research Corp filed Critical Materials Research Corp
Application granted granted Critical
Publication of TW294827B publication Critical patent/TW294827B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/36Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases using ionised gases, e.g. ionitriding

Description

A7 B7 五、發明説明(1 ) 發盟領蓋 本發明一般係關於拖加各種膜被覆層於基板的電漿增強 化學氣相沉積(plasma-enhanced chemical vapor deposition *下文簡稱PECVD)法,且更特定地關於在低的 有效沉積溫度所進行的PECVD。 在積聘雷路(integrated circuits,下文簡稱IC's)的 形成中,經常將含有金靨元素的薄膜沉積於諸如半導體晶 圖的基板表面之上。將薄膜加以沉積以在電路中及1C的各 補元件之間提供傳導及歐姆接觸。例如I為人需要的薄膜 可能陁加於半導體晶圓上之接觸或者通孔洞的暴露表面, 使膜穿過晶圓上的絕緣靨以為製作穿遇絕緣曬之連通線的 目的提供導電性材料栓。 經濟部中央標準局員工消費合作社印製 n — - n In ----^-- 诗先閲讀背面之注意事項再填荈本頁) 一種為人所熟知之沉積薄金羼膜的製程是化學氣相沉積 (cheaica丨 vapor deposition,下文簡稱CVD)法,於其中 使用各種沉積物或反應物氣體之間在基板表面的化學反應 沉積薄_。在CVD中,將反應物氣體抽進反應室內的基板 附近,氣體接著在基板表面反應,產生一種或多種反應副 產物,其形成膜於基板表面。將沉積之後殘留的任何一種 副產物自反應室加以去除。CVD是一種沉積膜的有用技術 ,但是很多傳統的CVD製程基本上是熱製程且需要超過 1 0 0 0 t的溫度以得到必要的反應。這樣的沉積溫度’因高 溫會影壜其他各方面Μ及姐成1C之電氣元件的醑,經常是 太過於高Μ致於不能實地使用於1C製造中。 -4 - 本紙張尺度適用中國國家標準(CNS ) A4規格(2丨0 X 297公釐) A7 B7 五、發明説明(2 ) 1C元件的某呰方面會因暴露於通常與傳統熱CVD製程有 一 關的高溫而劣化。例如,在1C的元件層次而言,有在1C内 形成雷氣元件接面之半導體攙雜劑的遮蔽擴散。攙雜劑於 擴散步驟期間經常在最初使用熱加Μ擴散,然後,當1C在 CVD期間遭受到高溫時,攙雜劑將繼續擴散。這種進一步 的擴散是不須要的,因為它造成元件的接面偏移,並因此 改變1C的最终電氣特性。因此,對某些1C元件而言•暴露 基板於大於800 I的加工溫度要加Μ避免,且對其它更具 溫度敏感性的元件而言,溫度上限可能低到像6 5 0 t。 而ft,如果熱CVD是在金匾連通線或導線已經施加至 I C之後官施,則這種溫度限制可能變得甚至更嚴格。例如 ,很多1C使用鋁作為連通線金鼷。然而*當它遭受到高加 T溫度時,各種不為人所要的空孔及突起產生於鋁中。因 此,一 B互相連接的鋁已沉積於I C上,則它能予Μ暴露的 最大溫度是約略500 f,且較佳的溫度上限是400勺。因此 ,如所能認知,在C V D製程期間總是儘可能維持低的沉積 溫度是須要的。 經濟部中央標隼局員工消費合作社印製 (請先閱讀背面之注意事項再填寫本頁) 因此,基板所必須暴露的溫度上限預先排除了一些傳統 熱CVD製程的使用,否刖其在製造1C上可能非常有用。鈦 Μ及氮化鈦使用於種種的1C應用中。在矽表面之上形成矽 化钛接觸層經常是須要的。這可使用钛的化學氣相沉積法 予W形成於矽表面之上。當钛沉積時,矽化钛形成。此外 *很多應用中,在某些諸如鋁或鎢之金靨導體的沉積之前 需要氮化鈦障壁層。氮化钛可藉由化學氣相沉積法加Κ沉 -5- 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ 297公釐) A7 [__ i'發明説明(3) w °化.舉氣相沉積法的副產物-特定言之,氛化氫-會侵 #钛接觸層。因此,钛必須在氮化鈦化學氣相沉積之前加 风氡化。 氡化鈦經常沉積於鋁上作為接觸層。然而,當將氮化鈦 &積於鋁上時,在界面處形成氮化鋁,其為絕緣體並且阻 #由一層金園化層至另一層的罨流流動。當實施鏡通孔栓 $ ·需要氮化钛作為附著層。為了避免這種問題•需要钛 保講铝,然後容許氮化钛附著層的濺鍍沉積。 為雅鍍沉積一種膜,要將靶材施加電氣偏壓並將來自電 $的離子吸引至靶材K撞擊靶材且釋出靶材材料粒子。然 '後該類粒子自己在基板之上累積性地沉積成膜。鈦可在各 «接闱或通孔開口割刻進基板的一個平面之後加以濺鍍* 柄丨如,於矽基板之上。然後基板可能加熱至約80〇 容 許的和钛變成合金並形成矽化鈦層(TiSU)。在鈦層沉積 t後*將多餘的钛自基板上表面蝕刻掉,留下TiSU於每 —個接W或通孔的底部處。然後將金觴連通線直接沉積於 τ ί s i 2之上。 經濟部中央標準局員工消費合作社印袋 •^ϋ HH ί m nn m —^ϋ n n ^^^1 i l^i—J J、vs X請先閲讀背面之注意事項再填寫本頁) 雖然物理性猫鍍在較低的溫度提供钛膜的沉積,但是濺 Dt製程具有各種缺點。濺鍍正常產生非常不良的梯狀覆蓋 °梯吠覆蓋定義為基板晶圓上接觸之底部膜厚對接觸側面 或基板上表面之膜厚的比率。因此,為在接觸或通孔的底 沉積預定數量的钛,必須沉積大量的濺鍍鈦於基 板的>_表面或接觸的側面上。例如,為了使用濺鍍在接觸 的底部處沉積200埃的膜,可能必須沉積600埃至1000埃 -6- 本紙張尺度適用中國國家榡芈(CNS ) A4規格(21〇X297公釐) A7 B7 五、發明説明(4 ) 的_膊於基板的上表面或接觸的側面上。由於多餘的鈦必 — 須蝕刻掉,所Μ當沉積含钛層時,濺鍍是浪費且耗費成本 的。 而旦,使用濺鍍技術之接觸的梯狀覆蓋隨著接觸或通孔 的縱横比增加而減少。接觸的縱横比定義為接觸深度對接 觸寬度的比率。因此,必須沉積比淺且寬(低縱横比)之接 觸所必要更厚度的濺鍍膜於窄且深(高縱横比)之接觸的上 而或側面Μ在接觸的底部處獲得特定的膜厚。換言之,對 1C中的較小元件大小,對應於高縱横比接觸及通孔,而言 ,濺鍍更是沒有效率且浪費。在較小元件上之濺鍍沉積期 間,減小的梯狀覆蓋造成必須沉積之钛的增加數量,因此 增加晻加及蝕刻掉的鈦數量,增加钛沉積時間,並增加移 除多餘之鈦所需要的蝕刻時間。因此,隨著1C元件幾何形 狀繼鑛縮小且縱横比增加,藉由濺鍍之含鈦層的沉積變得 非常耗費成本。 經濟部中央標準局員工消費合作社印製 ----------衣-- (請先Κ请背面之注意事項再填寫本頁) 再者,濺鍍沉積需要個別反應室的使用。在第一層瞑由 化學氣相沉積法沉積*其為較佳方法•的應用中,接著是 第二__的猫鍍沉積,則需要兩個不同的反應室。然後這 可能接著有第三反應室,其處將濶鍍沉積,例如,金鼷層 。將基板自一個反應室至另一個的運送減至最少且儘可能 在單一反應室中進行很多反應無疑是較佳的。 已在CVD製程中使用Μ降低反應溫度的一個處理方法是 解離一種或多種反應物氣體。這種技術一般稱為電漿增強 化學氣相沉積(PECVD)法。然而| PECVD尚未獲證明是 -7- 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ 297公釐) 經濟部中央標準局員工消費合作社印製 Α7 Β7 五、發明説明(5 ) CVD的—種有效方法。 發服嚴農 @ lit ’提供在低溫,一般小於5 〇 〇 t,的膜化學氣相沉 是本發明的一個目標。此外,在相同的裝置中提供 ΥΙΒ1Ι«的化學氣相沉積是本發明的一個目標。這些瞑將包 # & ' I自及/或氮化钛。再者,提供沉積這些膜於諸如砂 ' $和_之各種基板上,而同時避免很多諸如短路產生及 /¾不須要之高阻抗膜產生之典型與多層沉積有翮之問題 的方法是本發明的一個目標。 #發明的目標和優點由膜的電漿增強化學氣相沉積於基 提供,其中電漿產生於基板表面附近。藉由在基板表 而約10匣米之内產生電漿,電漿非常有效地以須要的薄膜 被糈基板表而。 更特定言之,在基板表面25毫米之內使用蓮蓬頭RF電極 常漿容許相當低溫的均匀電漿,其容許種種不同姐合 的瞭沉搏於基板之上。此外,结合電漿增強氨退火在沉積 名·種不同的膜上提供進一步的彈性。造將容許钛在矽表面 的PECVD沉積以形成可用氨電漿加以退火的矽化钛。這 可接著氮化钛層的PECVD ,都在相同的反應器中。 再者’個人可使用PECVD方法沉積钛於鋁基板之上,其 '後接著使用氨電漿退火的氮化。使用本發明的PECV1)方法 ’埴可因此而被覆有氮化鈦。 如所能觀察到,這提供方法Μ在一個反應室内提供多重 被覆層於基板上。 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇X297公釐) ^^^1 vm ϋι^ϋ m^i ^^—^1 ^ i - I : I n n^i ^^^^1 \ V $ i (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(6 ) 本發.明的目標和儍點將參照下述的詳细說明和附圖而進 一 一步認知,其中: 附1L簡要JSL明― 本圖形是在本發明中使用之沉積室的部份横斷面側視圖 。這個裝置的修正變更揭示於標題為「沉積CVD &PECVD _用之反應物氣髑和電漿之有效使用的方法與裝置」’列 名喬瑟夫希爾門(Joseph Hillman)、羅伯福斯特 (Robert, Foster)和瑞克希 阿羅拉(Rikhit Arora)為發 明人,於同此之同一日期提出申請’其揭示内容以參考之 方式併於本文,的美國專利申請案中。 發1.詳蓋ϋΐ- 本圖形顯示出在本發明中使用之CVD反懕器的一個具體 實施例。類似的構造揭示於審理中的美國專利申請案序號 第08/16(5,745號,其揭示内容Μ參考之方式完全併於本文 。反應器20包括界定反應或沉積空間24的沉積室單壁22。 反應器20,Μ及在罩壁22之内的特定反應空間24*可Μ選 擇性地抽真空至各種不同的内部壓力,例如,從0.5到 10托耳。載物台26以支撐軸30連結至變速馬達(未顯示), 如此載物台26和基板28可Μ諸如0和2,000旋轉/分鐘之 間的各種速率旋轉。為了使載物台26可以加熱基板28,諸 如在200和800 f之間,載物台26也以連结於載物台26的 加熱元件(未顯示)予以加熱。 自罩壁22之上器壁32向下延伸的是汽缸組件34,其連接 於氣體分散蓮蓬頭36。蓮蓬頭36M組件34懸吊於基板28之 本紙張尺度適用中國國家標準(CNS ) A4規格(2丨0'乂297公着) ^^^^1 ^^^^1 ^ivn I anti fn— I mV >m Bl^ll ^^^^1 tl^n—/ 分-5 (請先閱讀背面之注意事項再填寫本頁) A7 294827 B7 "1 , 丨丨_ —— --------- 五、發明説明(7 ) 上。汽.缸姐件34,併同形成於上罩壁32中之開口 42,組成 一般是垂直的流動通道44,其延伸於罩壁蓋46和蓮蓬顔 36之間。蓮蓬頭36M延伸穿過罩蓋46之適當RF饋線組件 40連结於RF雷源38。密封構造49封合環繞饋線姐件40的開 口。饋線40可包括熱導管(未顯示)以消散不需要的熱量。 霉漿和反應物氣體藉由同心的氣體瑁50,52導入流動通 逋44中。同心環50,52包括環繞流動通道44而均勻地分散 氣髑的很多孔洞54。環50經由管路56連接於氣體供應源, 而瑁52M管路58連接於供應源。 絕緣體環62為了下文所討論的理由隔開汽缸34和蓮蓬頭 36。如果汽缸34是石英,則不需要絕緣體環62。在反應器 2 0的一俩具體賓施例中,汽缸34M接地線61予Μ電氣性接 地0 絕緣體環62較好具有與蓮蓬頭36之外徑約略相同的外徑 。絕緣體瑁62確保汽缻34和蓮蓬頭36的完全分離。絕緣體 瑁較奸是由約略0.75英时厚的石英材料製成。 璉蓬頭36 —般是圓形的且包括概略偏佈它的整 分散孔洞62。通蓬頭36的直徑將視它所使用的晶圃尺寸而 定。逋蓬顗36—般含有從200到1,200個的孔洞62且較好分 敗氣體是從300到600個孔洞。較好*將蓮蓬頭分散 62定出大小Μ防止孔洞62中的罨漿產生。孔洞約略0 · 1 _1 毫米適合於這個目的。合適的蓮蓬頭是4厘米厚*帶有 600個0.8毫米孔洞,具有17.3厘米的直徑。 蓮蓬頭36栓緊或扭緊於石英瑁62°蓮蓬頭36包括桿68° -10- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) n - - - - - n^i :1 I I - « I ^^1 ^^1 n. —I. 牙 、-° (請先吗讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 經濟部中央標準局員工消費合作社印裝 A7 _____ B7 _ 五、發明説明(8 ) 桿68與蓮蓬頭36 —體成形並構成連接於蓮蓬頭36之RF饋線 姐件40的部份。包括桿68的逋蓬頭36由較好是鎳- 200的電 氣導雷性材料組成。如所能認知,其他的導電性材料也可 W適用。如所顯示*蓮蓬頭36與汽缸34完全絕緣。 CVD反應物氣體由同心氣體環50,52導入流動通道44的 上方。氣聘向下流動經過流動通道44並沿著流動通道的長 度形成速度分布。即,當横過流動通道44的寛度加Μ量測 時,氣髑流動將形成不同的速度。一般而言,靠近環50, 52,水平地越過滾動通道44之流動通道上方的氣體流動速 摩通常是相等的。然而,當氣體流動到達蓮蓬頭3 6之上表 面37時,在流動通道44靠近桿68之中心處的氣體流動速度 大於流動通道44靠近汽缸60器壁之側面處的速度。在流動 通道44大概蓮蓬頭36之上的底部處,氣體流動的速度分布 已達到穩定狀態。當反應物氣體通過蓮蓬頭36的開口 63時 ,越過蓮蓬頭之下表面39的速度分布已變平,如此靠近蓮 蓬頭36之中心的流動速度通常等於蓮蓬頭之遇瑾邊緣的流 動速度。 由本發明製造之蓮蓬頭36與旋轉基板28之間的縮小間隙 牽生均勻的氣體流動於基板28的上表面29之上Μ及非常薄 的邊界蹰。 運蓬顗36WRF能量腌加偏媵Μ作為PECVD技術的RF電極 。RF雷極和因而形成之集結電漿的狹窄間隙對低溫PECVD 非常有闬,特別是對含鈦膜的低溫PECVD 。 RF霄源經由RF饋線姐件40對蓮蓬頭36拖加偏壓,如此蓮 -11- 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ 297公釐) (請先Μ讀背面之注意事項再填寫本頁) •-装 、-° 經濟部中央標準局員工消費合作社印製 ΑΊ Β7 -------------- Α、發明説明(9 ) 獲頭作.為RF雷極。接地的載物台26形成另一個平行電極。 Rp雷塢較奸在蓮蓬頭36和載物台26之間產生。此後在本申 請案中,當根據本發明之原理稱呼已施加偏壓之蓮蓬頭 36時,蓮蓬頭36將稱為蓮蓬頭/電極36。由已施加偏壓之 璉萚頭/雷極36產生的RF雷埸激發經由孔洞63分散的電漿 氣鴉,如此雷漿恰在蓮蓬頭/電極36之下產生。電漿產生 在璉萚頭/雷極36之下且不產生在蓮蓬頭/電極上方的流 動空間44之內是較佳的。如上所述,分散孔洞63較好加以 定出大小,如此將霭漿侷限在蓮蓬頭/電極3 6之下。而且 ’採取其他的步驟Μ確保電漿集結於蓮蓬頭/電極36之下 。例如,在RF_線姐件40之内使用絕緣體套管Κ使RF饋線 ®汽缸34和罩壁22的金屬絕緣。此外,石英絕緣體環62隔 Μ铺箨頭/罨極36和汽缸34進一步確保經由表面39在蓮蓬 頭/甯櫬36底部之下的電漿生成。載物台26的旋轉確保電 带氣髖的均勻流動至均匀沉積的電漿。 諸如TiCl4的反應物氣體經由環50,52導入。當氣體移 動至蓮篷頭/電極36時,來自環50和52的氣體流動在流動 # Μ 44的長度之内形成。反應物氣體的氣體粒子以由蓮蓬 $ /霉稼36和載物台26產生的RF電場激發。因此,由已激 ¥ = 物氣體粒子和反應物氣體之游離基及離子組成的 合物集結於基板28之上旦接近於基板。根據本發明 之原理’將汽缸組件34定出尺寸,如此蓮蓬頭/電極36和 某板28之間的間隙較好是在25毫米之下,且更好是約略 20奇米。如上所述,穿過蓮蓬頭/電極36的壓力降使電漿 -12- 本纸張尺度賴中國國家榡準(CNS)八4規格(210x 297公羡) nfl^ ^—^1 —B^^i ί ϋ nn m^i - 4 ^-5 (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印裝 Α7 Β7 五 '發明説明(10 ) 和反應.物氣艄的速度分布在它們通過分散孔洞63時變平。 堉產生大槪相等的速度分布於整個基板28之上的氣體混合 物並促進賴在基板表面29上的均勻沉積。 璀篷頭/雷極36的頻率範圍可在,例如,450千赫玆和 13.5 6百萬赫玆之間。然而,本發明似乎不特別對頻率敏 感°锤蓬顔/雷極36極接近基板28的獨特使用產生具有大 密度之有用氣體游離基和離子的集结電漿於基板表面29附 近。由於本發明之RF蓮蓬頭/電極構造,頃發現,儘管高 達2,〇〇〇旋轉/分鐘或更快的旋轉速率是可能的,但旋轉 載物台26快過約略1〇〇旋轉/分鐘所獲得的似乎不是值得 注意的增強。然而亦發現到,0旋轉/分鐘的旋轉速率* 儘管不會制烈地影饗沉積速率•會降低反應物和電漿氣體 的均勻件以及後續的沉積。 由於本發明的蓮蓬頭/電極36產生用於電漿增強CVD的 含游離基及離子窜漿,蓮蓬頭間隙和沉積參數必須加Μ選 擇Κ在棊板表面29處達成有用的游離基和離子混合物。雖 然某些基板28的離子撞擊是有益的,因為它供應額外的能 鼉給表面2 9上的成長膜層,但過多的基板2 8雛子撞擊可能 損壞某板上的積體電路元件。而且,高密度的離子造成不 良的瞑平整性,因離子傾向黏著於接觸和通孔表面。 最後,殘餘氣體經由通氣口 53自反應空間14移除。擾流 板27可加以提供以導平環繞載物台29的氣體流動。 這俩反應器20可用於钛、鎢、氮化钛、矽化钛的電漿增 強化舉氣相沉穑,並可用於先前沉積之钛膜的退火以形成 -13- 本纸張尺度適用中國囷家標準(CNS ) Α4規格(210Χ 297公釐) t n^i tm m· BUB— I*m^— —^ϋ In 一* τ"、-» •(請先S'讀背面之注意事項再填寫本頁) Α7 Β7 294827 五、發明説明(11 ) 氣化钛.。下而的發明,依序,倚靠這嗤製程的組合。 下而的蓽板可Μ是任何典型的1C基板,包括矽、矽酸四 乙醋(tetra ethyl ortho silicate,下文簡稱 TEOS)、或 石英,W及被覆或部份被覆有金靨導體、接觸、絕緣層之 類的瑄樺基板。 為根據本發明沉積钛膜,要將諸如四氛化钛的四鹵化钛 添加氫並經由注入器環50和52注入。在這個反應中,四氯 化钛的流動速率應為約2到約100標準立方厘米/分鐘( 一般約5棵準立方厘米/分鐘),含有相當多餘莫耳數的 氣氣。一般而言,氫氣流動速率將是四氯化鈦流動速率的 10到約300倍。氬氣也可使用且氫氣因此而部份地釋出。 這些涓合氣體的氣體入口溫度維持在約4〇〇它到約8〇〇t!而 使蓽板加熱辛約375ρ到約Mot:的溫度。反應室的壓力可 自0.1華化罕約20托耳,一般是〇.5到1〇托耳。在較高的 瞑力雷漿將不會形成。 RF雷楝在約〗〇〇瓦特至高達,稱為最大功率,装置會損 壤的功率,其會是約5千瓦特,之間操作。然而,就實際 目的而言,約250瓦特是足夠的。RF罨極的頻率設定為從 約33百萬赫玆降至約5 5千赫玆,約13 56百萬赫玆是可接 受的。這個頻率是由聯邦通訊委員會設定的頻率,因此大 部份的設備為埴個頻率而製作。然而,它當然並未為人決 定為本反應的最佳頻率。 因此’混合氣鵂通過RF電極/蓮蓬頭36而注入汽缸34中 。產生®银目.形成鈦並沉積於基板2 8上。氫與鹵素,即, -14- 本紙張尺度賴中關家縣(CNS ) Μ規格(21Qx297公瘦) 装 訂 (請先閱*讀.背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印策
A7 B7 、發明説明(^ ) &應K形成氛化氫,其加Μ柚除。反應繼續且鈦膜沉 稽亩 @到_加了須要的瞑厚。視特別的應用而定,這可以自 埃寒化至約20,000埃,僅僅視須要的應用而定。
% $須要锒,則反應物氣體是諸如六氟化縛的鹵化鎢和 $ # °六氟化轉經由管路5 0和5 2以2到約1 0 0標準立方厘 #/5>铸(較好是約5標準立方厘米/分鐘)的流動速率添 & ’再次使用大最多餘莫耳數的氫氣。氬氣也添加,當需 # Μ維持壓力時。載物台溫度將自約375 C變化至約850 C ----------t衣—— '請先S·讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 胃〜次,RF電橘應設定在如同钛沉積所說明之約略相同 %頻率和瓦特數。電漿因此而產生於蓮蓬頭/電極36之前 _形成並沉積於旋轉基板2 8上。轉膜可沉積至任何須要 % 1*度目.殘餘氣體將是未反應之氫氣和氟化氫的混合氣體 Ο 對碑化钛的形成而言,鹵化鈦氣體,較好是四氛化钛, 與的烷反應以形成矽化鈦和氛化氫。反應物氣體經由環 50和52注人汽缸34並且經過蓮蓬頭/電極36。13.56百萬 #茲的雷極將由反應物氣體形成電漿。電漿將接觸基板 28·因此形成矽化钛於基板28的表面29上。這個反應的較 佳反應條件是: τ ί c u流動速率: 的烷流動速率: 鈍氣: 溫度:
2至100標準立方厘米/分鐘 2至100檁準立方厘米/分鐘 如維持壓力所需要 375 t:至 8001C _ 1 5 - 、-=3 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) A7 B7 五、發明説明(U ) 旋轉.速率: 100 颳力: 0.5至20托耳 當需要以維持颳力時,導入諸如氬或氦的鈍氣。 昜後,氮化钛可藉由四氛化钛或其他鹵化钛與諸如氨氣 或氮與氣之混合氣體的氮氣源反應而沉積Μ產生氮化钛和 作為副產物的氛化氫。鹵化鈦的流動速率較好應是從約 0.5辛約20標準立方厘米/分鐘。氮氣源的流動速率應是 從1至200標準立方厘米/分鐘,含有1至5,000標準立 方匣米/分鐘的氫、氬或氦氣。在所有的這些反應中,電 楝功率Μ及頻率可在Ti沉積的相同參數之内操作且旋轉速 率保持約略相同。 經濟部中央標準局員工消費合作社印製 •(請先閱讀背面之注意事項再填寫本頁) 可在本發明之裝置中進行且在本發明中有利地使用的最 後一飼反應是先前沉積之鈦膜的氮化。在這個反應中,其 處載物台先前被覆有钛膜,钛膜可能需要氮化。這可藉由 表面班氨窜漿反應而進行。氮化氣體的流動速率可Μ從約 10榑準立方厘米/分鐘至約5,000標準立方厘米/分鐘。 較奸,镅率將是約480千赫玆。反應溫度可從約650 TC向 下寒化至約3001,較佳溫度是小於5001 *較好是400-450 Ρ。在所有這些反應中壓力必須是低於大氣壓且一般 可自5 0 0毫托耳變化至高達約2 0托耳,以約1托耳為較佳 的。在氮化反應中•反應時間可從〗分鐘變化至約1 0分鐘 ,以約5分鏔為較佳。這些反應將參照下列的詳细實例而 進一步認知。 實例1 -16- 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) 10 500 500 250 S 450千赫玆 1 ]00 400 A7 B7 五、發明説明(1 4) 使用.該沉積構造,將一層氮化钛層在約略400 t的溫度 一 沉精於箪板晶阆之上。特定言之,氮化钛層使用氨氣 ί N Η 3 )和氮氣(N 2 ) Μ下列所列出之參數加Μ沉積且结果顯 示於表〗中。 第1表之沉JI參JL_ T i C U (標準立方匣米/分鐘) NH3 (標準立方厘米/分鐘) 揮準立方匣米/分鏡) R P雷湄ί瓦特) 反應宰昭力(托耳) 載物台旋轉速率(旋轉/分鐘) 基板溫度(Τ ) 第]表 (請先閲讀背面之注意事項再填寫本頁) 装- 、\=° 經濟部中央標準局員工消費合作社印製 結果以及另外的參數 晶圓 TiN層 沈積速率 層随 沈積時間 載物台Μ 編號 厚度(埃) (以分鐘) (微歐姆一厘米) (秒) rc) 1 800 4 0 0 15 19 12 0 4 14 2 6 9 8 3 4 8 119 4 12 0 4 7 1 3 6 0 8 3 0 4 9 70 12 0 4 5 7 4 545 2 7 2 9 4 0 12 0 4 6 1 5 72 3 2 4 1 102 1 18 0 46 2 6 9 10 3 0 3 1284 180 4 7 5 -17-本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) 五、發明説明(15) 晶阍.1-3是矽,而晶圓4-6 的熱氧化物晶圓。這加Μ實施 用於的晶圓和氧化物晶圓兩者 表1的每一種基板晶阆也在反 R F雷漿氨(Ν Η 3 )退火約略1 2 0 5,000橒準立方匣米/分鏞的 物台的旋轉速率約略是100旋 討論,NH3 RF雷漿改進了沉積 R Ρ莆漿窜極/蓮蓬頭構造, W沉稹氮化鈦(Τ丨Ν )層於基板 (Η :>)兩棟替代氨氣(Ν Η 3 ) 。T i _结果和沉積參數列於下面第 煸號使用漸次提高的沉積溫度 第2表之沉穑參數 TiCU (標準立方厘米/分鐘) Ηρ(標準立方厘米/分鏺) Ν=>(檷準立方匣米/分鐘) R F雷源(瓦特) 反應宰限力(托耳) 載物台旋轉速率(旋轉/分鐘) 某板溫庠(ΤΜ 沉稽時間 A7 B7 是表面上具有二氧化矽薄層 Μ保證,本發明的製程可使 之CVD應用的廣泛範圍中。 應器40中以250瓦特施Κ 秒,Κ 5托耳壓力Ν Η 3之 氣體流動速率。退火期間載 轉/分鐘。如下文進一步所 之Τ ί Ν膜的膜品質。 根據本發明之原理,可使用 上,使用氮氣(Κ2)和氫氣 Ν之“和“低溫沉積的各種 2、3、4和5表中,漸增的表 (請先閱讀背面之注意事項再填寫本頁) 装- 經濟部中央標準局員工消費合作社印製 10 500 500 250 Θ 450千赫玆 1 100 400 1 8 0 (秒) -18 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) A7 B7 五、發明説明(16 ) 第2表 結果以及另外的參數 丨晶圓 編號 TiN層 厚度(埃) 沈積速率 (埃/分鐘) 層阻抗 (微關一厘米) 載物台酿 (°C) 1 8 2 5 2 7 5 1,5 30 470 2 1,02 3 3 4 1 26,864 480 3 1,2 2 1 40 7 4;1 1 8 488 4 1.26 2 42 1 3,1 08 470 5 1,227 409 8 5 5 470 l· 1,2 2 4 408 4,478 46 0 7 1,1 4 1 3 8 0 3,9 8 2 460 8 1,348 4 4 9 4,6 5 8 4 6 0 9 1,40 0 48 7 3,449 4 6 0 10 1,10 6 3 8 9 4,501 46 0 (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 第2表的晶圓1和2是矽,而其餘的晶圓3 - 1 0是熱氧化 物。晶圓6-]0於NH3 5,000標準立方厘米/分鐘的氣體速 率下接受2 50瓦特RF電漿退火120秒,在3托耳的内部壓 力下(晶國6在5托耳下進行),Μ及]00旋轉/分鐘的載 物台旋轉速率下。 第3表敘述使用4 5 0 t基板溫度,但維持與第2表沉積 作業中所使用之相同氣體和沉積參數,的沉積作業结果。 晶阓1和2是矽,而晶_3-8是熱氧化物。Μ第3表之晶 阓6-8於5 000標準立方匣米/分鐘、5托耳和100旋轉/ -19- 本紙伕尺度適用中國國家標準(CNS ) Α4規格(210Χ 297公釐〉 Μ 294827 Β7 五、發明説明(17 ) 分祷旋.轉速率和250瓦特功率水準下,接受]20秒RF電漿 一 氨退火,结果如下。 第3表 結果以及另外的參數 晶圓 TiN層 沈*^率 層阻抗 載物台Μ 編號 厚度(埃) (以分鐘) (微歐姆一厘米) (°C) 1 996 3 3 2 6 40 5 18 2 1,06 9 3 3 6 6 07 5 19 3 1,06 4 3 5 5 6 6 6 5 2 1 4 1,488 49 6 8 15 524 5 1,5 6 2 5 2 1 8 2 1 5 2 1 6 1,444 48 1 7,1 2 1 5 2 2 7 1,3 8 1 4 5 4 5,8 12 5 2 4 18 1,3 0 6 43 5 6,3 6 3 5 2 3 低漶T丨N沉積K 5 0 0 10之基板溫度加Μ重複且结果依照 下面的第4表列出。晶圓1是矽且晶圓2-7是熱氧化物。 I! - 1—..... - _1 - 1 ....... - : - 士民 - -II ........ - . -- II ·-- W 、-° (請先聞讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 -20-本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) A7 B7 五、發明説明(18 ) 經濟部中央標準局員工消費合作社印製 第4表 I 結果以及另外的參數 晶圓 TiN層 沈_率 層瞧 載物台雛 編號 厚度(埃) (埃/分鐘) (微歐姆一厘米) (°C) 1 990 3 3 0 5 78 5 79 2 1,086 3 6 2 6 87 5 9 0 3 1,0 3 4 3 4 5 700 5 9 7 4 1,09 2 3 6 4 786 5 9 5 5 1,004 3 3 5 1.8 9 2 5 9 1 6 1,0 0 1 334 1,8 4 0 593 7 1,004 3 3 5 1,886 5 9 4 第4表中的晶圓1-4不加Μ退火,而晶圓5-7使用類似 的RF爾漿ΝΗ3退火製程和參照第3表之沉積作業所使用的 參啦加W,退火。 同樣地使用600 Τ的基板溫度,將本發明的CVD製程用 於沉精TiN ,結果顯示於下面的第5表中,晶圓1和2為 矽a晶圖3- 8為熱氧化物。 (請先閱讀背面之注意事項再填寫本頁) -21 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) A7 B7 五、發明説明(19 ) 第5表 結果以及另外的參數 晶圓 TiN層 沈積速率 層阻抗 載物台Μ 編號 厚度(埃) (埃/分鐘) (微歐姆一厘米) (°C) 1 6 5 7 2 19 3 9 1 6 5 0 2 822 2 7 4 2 54 6 5 0 3 740 2 4 7 43 2 6 5 0 4 76 8 2 6 3 5 4 3 6 5 0 5 76 7 2 5 6 47 1 6 5 0 6 76 5 2 5 5 949 6 5 0 7 773 2 5 8 9 73 6 5 0 8 9 10 3 0 3 2,7 1 0 6 5 0 (請先54讀背面之注意事項再填寫本頁) 装. 、ya 經濟部中央標準局員工消費合作社印製 再次地,類似於表3和4的退火步驟,將RF電漿NH3退 火實施於第5表的基板晶圓6-8上,除了在1托耳的壓力 而非5托耳之外。因此,使用本發明低溫C V D製程的T i N 沉穑可在各種低於傳統熱CVD需要之溫度的溫度下完成。 雖然氮化钛可用本發明沉積,但僅沉積純钛層也可能是 須要的。例如,可能將鈦層沉積於矽晶圓上,其然後與鈦 反懕與鈦反應Μ形成矽化鈦(TiSU)膜。為了這個目的, 本發明也可用於沉積钛層。 下而的第6表說明在650 f之熱氧化物晶圓上產生含約 略84%鈦之沉積膜的沉積作業结果和參數。對這種低溫化 -2 2 — 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) \ A7 B7 五、發明説明(20 舉氣相.沉積法而言,這是一涸優異的結果。表6的沉積作 業根據下列的沉積參數施行,使用圖2的RF蓮蓬頭/電極 構造。 第β表.之沉積—參_數„ · TiC14 (標準立方匣米/分鏡) 檁準立方厘米/分鐘) R F甯湄(瓦特) 反應宰昭力(托耳Ί 載物台旋轉速率(旋轉/分鐘) 沉積時間(秒) 基板漶度(t ) 第6表 10 5 00 250 @ 450千赫茲 1 100 2700 650 結顆及另外的參數 晶圓 Ti層 沈織率 mmiii 載物台Μ 編號 厚度(埃) (埃/分鐘) (微_-厘米) re) 1 1,9 8 3 4 4 9 2 9 6 5 1 (請先闖讀背面之注意事項再填寫本頁) 装. 訂 經濟部中央標準局員工消費合作社印製 第6表的基板晶圓不加Μ退火。 額外的ΤΪ-爾沉積作業根據下面的第7表參數實施,下 列結果顯示於第7表中: 第7_ __表+之..._沉..猜„參JSL_ Tic丨4 (榑準立方匣米/分鐘) ίο Ha (標準立方厘米/分鐘) 500 -23- 本纸張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) A7 B7 五、發明説明(21 ) RF甯循.(瓦特) 反應室颳力(托耳) 載物台旋轉速率(旋轉/分鐘) 沉積時間(秒) 萆板溫度(t ) 載物台潙度(f ) 第7表 2 5 0 @ 4 5 0千赫玆 0.85 100 1 2 0 (晶圓7 1 8 0秒) 565 650 經濟部中央標準局員工消費合作社印製 結果以及另外的參數 晶圓 編號 Ti層 厚度(埃) 沈_率 (埃/分鐘) 層酿 (微厘米) 1 134.8 6 7.4 2,1 1 6 . 1 2 466.2 2 3 3.1 1.767 . 8 3 209.2 1 0 4 * 6 761.8 4 100.8 5 0.4 — 5 194.04 9 7.0 — 6 154.98 77.5 — 7 115.92 3 8.6 1,00 1 .4 8 114.7 5 7.3 371.6 9 15 2.5 7 6.2 3 2 1.6 10 3 9.06 19.5 — 11 4 1.6 2 0.6 — 12 50.4 2 5.2 — 由於含肽綱之化學氣相沉積法超過物理性沉積技術的 24 - 衣紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 装- 、—=& -11 經濟部中央標準局負工消費合作社印製 10 500 500 250 @ 450千赫玆 1 100 450 520 A7 B7 五、發明説明(22) 俩益處.是改良的梯吠覆蓋和膜平整性,所Μ將根據本發明 沉穑之膜曆的幾種加Κ測試以量測平整性和梯狀覆蓋。測 試平整件和梯狀覆蓋的層根據第8表的.參數加Μ沉積,結 果顯示於下面的第8表中。根據下面參數沉積之膜層的膜 平整性和梯狀覆蓋非常好。 平整性和„.梯JOL置象1_ 第8表之沉_屋.也_業„i TiCH標準立方匣米分鐘) Η“揮準立方匣米/分鐘) 槽準立方厣米/分鐘) R F雷源(瓦特) 反應宰颳力(托耳) 載物台旋轉速率(旋轉/分鐘 基板溫度() 載物台溫度(t ) 第8表 結果以及另外的參數 晶圓 TiN層 沈積速率 層阻抗 載物台溫度 編號 厚度(埃) (埃/分鐘) (微_一厘米) (°C) 1 586 3 6 2 — 5 2 0 2 2,423 3 0 4 — 5 2 0 沒有一次在表8中使用並測試梯狀覆蓋的晶圓Μ ΝΗ3的 R F雷漿退火。 -25 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) \ • -----------— I —---士民------丁 、va '(請先閱讀背面之注意事項再填寫本頁) A7 B7 五、發明説明(23 )
如上所述,氮化鈦(T i N )暦可依據本發明之原理加Μ沉 積而不使用氨氣(HH3)。反之,使用[12和^2氣體的混合物 。使用TiCl4 、?<2和112的氮化钛低溫沉積是須要的,因為 它降低反應室内由Ti’CU和NH3之化學反應所形成的污染 。更特定言之,TMCU與NH3在120 下的溫度反應K 形成黃色的粉狀加合物,且為了防止加合物形成,加熱反 應宰壁辛最少150 在過去是需要的。由於規在使用 T i C U 、N 2和Η 2化學作用替代H Η 3 ,在低溫沉積氮化鈦層 是可能的,所Κ不再需要移除沉積的加合物或者加熱反應 宰器带,因此大大地降低了 CVD系統的成本。 根據第9表的沉積參數,使用具有未加熱器壁的反應室 和1/1的氣體混合物沉積氮化钛層於幾片熱氧化物基板 之}:.。在_沉積之後,觀察反應室,未發現黃色加合物的 譖據。沒有一片第9表的晶圓M RF HH3退火加以退火。 H表加合物試驗之參黻 T i C 1 Λ (標犖立方厘米/分鐘) 10 500 5 00 經濟部中央標準局員工消費合作社印製 標準立方厘米/分鐘) Ha(榑準立方厘米/分鐘) R P雷源(瓦特) 反1«宰颳力(托耳) 截物台旋轉速率(旋轉/分鐘) 某板溫度( 沉穗時間(秒) 載物台襯度(T ) ---------裝-- (請先闡讀背面之注意事項再填寫本頁) 250自450千赫茲 1 100 450 95 約略520 -26 - 本纸張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) A7 B7 五、發明説明(A ) 第9表 結果以及另外的參數 晶圓 TiN層 沈積速率 層雖 載物台溫度 編號 厚度(埃) (以分鐘) (微厘米) ΓΟ 1 94 5 8 2,1 6 4 5 2 5 2 13 2 8 3 2,1 1 8 5 2 3 3 12 7 8 0 1,3 77 5 2 0 4 14 3 9 0 6 6 0 5 2 0 5 14 3 9 0 764 5 20 6 16 0 10 1 9 0 5 5 2 3 7 16 2 10 2 7 3 8 5 2 1 8 16 2 10 2 8 30 5 2 0 9 19 5 12 3 6 8 9 5 19 10 204 12 9 70 2 5 2 3 進行進一步的沉積作業,於其中雷漿和反應物氣體流量 K及內部沉猜颳力加K調整。例如’顯示於表中的沉積 作業使用較髙的Η 2流動速率和從1托耳至5托耳的漸增沉 稽聒力。此外,對某钱沉積作業ΜΗ2與氬氣混合。 n^n tm Is n^i mu 士 (請先閭讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 表„.1立之.參.欺.
TiCi4 (標準立方厘米/分鐘) 10 標準立方匣米/分鐘) 2,000(晶圓1-4) 1,500(晶圓 5-9) 氬氣(榑準升/分鐘) 0.5 (晶圓5-9) -27- 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) 五、發明説明(25 A7 B7 RF甯循.(瓦特) 反應宰聒力(托耳) 載物台旋轉瑰率(旋轉/分鐘) 某板溫度(m 沉楢時間(秒) 載物台溫度(υ ) 表― 250 @ 450千赫茲 5 100 565 300 (晶圓 9 600 ) 約略650 結果以及另外的參數 晶圓 Ti層 沈^^率 層酿 編號 厚度(埃) (埃/分鐘) (微厘米) 1 94 5 8 2,1 6 4 2 1 3 2 8 3 2,2 1 8 3 12 7 80 1,377 4 143 9 0 6 6 0 5 143 9 0 76 4 6 16 0 10 1 9 0 5 7 16 2 10 2 73 8 8 16 2 10 2 8 3 0 9 19 5 12 3 6 8 9 -- I - - I n 士 HI ml n 一 >. 、-° (請先M讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 在表10中,晶價M-4將[12流量增加至2,000標準立方厘 米/分铸且晶阆5-9增加至U500標準立方厘米/分鐘。 將沉積职力增加至5托耳。對晶圓5-9而言,使用0.5標 準升 / 分挿(standard liters per minute ,下文簡稱 28- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 294827 A7 B7 250 Θ 450千赫茲 5 100 300 (晶圓9-12 600秒) 565 650 五、發明説明(& ) slm)流阜的氬氣而·ΜΗ2作為稀釋劑。在表10中,晶圓卜2 和5-fi是矽,而晶阆3-4和7-9是熱氧化物。 表1 1顯示出Μ增加之Η 2流量和增加之沉積壓力進行的另 外作業。 第1Λ.表....之.沉.盤..參
TiCU (標準立方匣米/分鐘) 1〇 Η“榑準立方匣米/分鐘) 1500 氬氣(標準升/分鐘) 0.5 RF雷源(瓦特) 反應室嗶力(托耳) 載物台旋轉速率(旋轉/分鐘) 沉積時間(秒) 蓽板溫摩(ΤΜ 載物台溫度(Τ ) ---------批衣------ΪΤ-------,Α. (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 -29- 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X 297公釐) " 發明説明(27 ) Α7 Β7 第表 結果以及另外的參數 晶圓 Ti層 沈積速率 層阻抗 編號 厚度(埃) (埃/分鐘) (微_—«) 1 67,4 2.1 1 6 . 1 2 2 3 3 .1 1,767.8 3 209.2 104.6 761.8 4 5 0.4 — 5 194.02 9 7.0 — 6 77.5 ---- 7 15.92 3 8.6 1.00 1 . 4 8 5 7.3 3 7 1-6 9 76.2 3 2 1.6 10 39.06 19.5 — 11 4 1.6 20.6 — I12 5 0.4 2 5.2 — (請先聞讀背面之注意事項再填寫本頁) 、ya Τ 經濟部中央標準局員工消費合作社印製 氬物夫 漿 從1托耳至5托耳的沉積懕力變化產生更為穗定 爾漿。另外,含有小流量氬氣添加之增加的氫流 爾漿流動的穩定性K及電漿強度。〇-〗〇標準升/ 氣流最是較佳的。晶圓1-2是矽,而晶圓3— ίο是 。晶圓11和12是硼磷-矽酸鹽玻璃,可自加利福 利蒙特的薄膜公司(Thin Films, Inc. of Free η lifornia)取得。沒有一片表10或者11的晶圓Μ 退火加Κ退火。
稱高的化州 電 對提鐘氧亞 t’ 3 和量分熱尼 C N Λ -30- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X2^7公釐) 經濟部中央標準局員工消費合作社印製 5 1,500 0 . 3 250 @ 450千赫茲 5 100 450 450 A7 B7 五、發明説明(28 ) 表12顧示出在450 υ之載物台溫度的另外沉積作業。 第..1.2 表.之.,.沉..積_._參_ _數__
TiCU (榑準立方匣米/分鐘) 榑準立方厘米/分鏡) 氬氣(標準升/分鐘) R F爾源(瓦特) 反應宰聒力(托耳) 載物台旋轉速率(旋轉/分鐘) 某板溫度(P ) 載物台溜度(T ) 第12表 結果以及另外的參數 晶圓 編號 TiN層 厚度(埃) 沈麵率 (以分鐘) 層阻抗 (微_一厘米) 1 9 9 0 3 3 0 5 78 2 1,086 3 6 2 6 8 7 3 1,0 3 4 3 4 5 7 0 0 4 1,0 9 2 3 6 4 7 8 6 5 1,0 0 4 3 3 5 1,8 9 2 6 1,00 1 3 3 4 1,840 7 1,004 3 3 5 1,8 8 6 晶圃1-4是矽,晶圓5是熱氧化物而晶圓6和7是含有 $S、矽和铜的铝合金。表12的作業β和7敘述使用本發明 -31 - 本纸張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) ----------批衣------II------2 (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(四) 沉積含钛_於鋁上的發展能力。表12的沉積作業使用比表 一 11之作業更低的反應物氣體流量,即5標準立方厘米/分 铸的T丨C U 。 表13的沉積作業在進一步降低的TiCU流動速率下進行 。表13的所有晶圓都是熱氧化物。沒有一片表12或13的晶 _ K N H 3 R卩退火加Μ退火。 第—13表—之11 參1
TiCU(標準立方厘米/分鐘) 晶圓卜2,4檷準立 方厘米/分鐘; 3 - 4,3標準立方厘 米/分鐘; 5-6,2標準立方厘 米/分鐘;及 晶圓7為1標準立方 厘米/分鐘; 標準立方匣米/分鐘) 〗,5 00 RF窜源(瓦特) 250 Θ 450千赫茲 反應宰昭力(托耳) 5 載物台旋轉速率(旋轉/分鐘) 1〇〇 沉積時間(秒) 300 (晶圖1和2分別為180及240) 蓽板溫度(450 載物台溫度(ΤΜ 450 -32- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ---------^------1T-------..A (請先"讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 294827 ΑΊ Β7 五、發明説明(3〇) 第13表 結果以及另外的參數 晶圓 Ti層 沈積速率 層阻抗 載物台Μ 編號 厚度(埃) (以分鐘) (微歐姆一厘米) (°C) 1 990 3 3 0 578 579 2 1,086 3 6 2 6 78 5 9 0 3 1,0 3 4 345 700 597 4 1,09 2 3 6 4 786 5 9 5 5 1,004 3 3 5 1,892 5 9 1 6 1,00 1 3 34 1,840 5 9 3 7 1,004 .3 3 5 1,886 5 9 4 根據本發明,將多重層沉積於基板上。使用先前說明之 輩覉鎢、鈦、氮化鈦、或矽化钛沉積的程序以沉積第一層 於基板上,接著是不同的第二喔。第二層也會根據先前說 明之稈序加以沉積。最理想而言,另外的層可加Μ沉積。 當有肋益時,氨退火會加Μ使用。 一種整合的接觸金饜化製程可藉由首先KPECVD沉積钛 屏於矽表而上而加以使用。這將形成矽化鈦層。钛沉積之 後,陁行氨雷漿退火Μ提供氮化的矽化钛上靨。最後,氮 化鈦膊可再次地在相同的反應室中藉PECVD予Μ沉積。最 後,接著氡化钛沉積之後,鋁或鎢金臑可加Μ濺鍍沉積。 然而,瑄個最终沉積會需要使用濺鍍沉積技術的個別反應 宰。任何所典梨地使用的濺鍍沉積室可用於本發明。濺鍍 -33- 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) V —111--11 — -------士民-----—τ______A 、-0 (請先H讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作杜印製 A7 B7 五、發明説明(31 ) 沉稽法.對熟諸此枝蕤者而言是為人熟知的且,本質上,不 — 形成本發明的一部份。 本發明也可用於為鋁接觸形成保護層。當氮化鈦沉積於 铝金靨化_上時,在界面處形成氮化鋁。這是一種絕緣體 巨因此阳礙了從一層金國化層至另一層的電流流動。氮化 钛膊需要作為形成鎢通孔栓的附著層。為了克眼這個問題 ,使用先前說明之PECVI)製程將钛層沉積於先前沉積之鋁 曆上。然後使钛蹰受到電槳增強的氨退火,亦如先前所討 論。最後,較厚的氮化钛層可使用本發明的PECVD製程加 W沉積。因此,沉稽的钛層將保護鋁層,防止因與氮化鈦 反應而起的氮化鋁形成。再次,這可全部在一個反懕器中 做到,其處先前會需要兩個濺鏞室。因此這提供了單一反 _窜CVD多屏金_化曆製程。 再者,本發明可用於施加氮化钛膜於鈦膜之上。钛膜根 據先前說明之PECV1)方法可沉積於任何基板之上。接著使 钛受到雷漿氨退火,如先前所討論,以形成氮化钛附著曆 。然後Μ本發明之PECVi)方法沉積氮化钛。當沉積氮化钛 _於氮化的钛賴時,分成兩個步驟進行可能較好。在起始 步驟中,钛可在四氛化鈦缺乏下加以沉積,即,20標準立 方匣米/分铸的四氣化鈦流動速率和約500標準立方厘米 /分铸的氨流動速率而以5標準升/分鏟的氮氣作為稀釋 劑。在約100至5 00埃的氮化钛薄屬已沉積之後,四氯化钦 的流動埤率可調高進入飽和均衡,即,約80標準立方厘米 /分铸,而使氨和氮氣速率維持不變。這可沉積至須要的 -34- ---------.裝-- (請先ΪΖ讀背面之注意事項再填寫本頁) ,ιτ 本纸張尺度適用中國國家榡準(CNS ) A4規格(210X 297公釐)
A7 B7 五、發明説明(32 ) 厚度目..平檠件會是約]00%。 雖然本發明已藉由本文中的具體實拖例說明加以敘述, 日雖然具髑官施例已相當詳細地加Μ說明,但是本發明的 範畴不應受限於這些细節。另外的優點和條正對熟諸此技 鲧者而言,將容易地顯琨。例如,本發明的低溫CVD技術 可用Μ沉積其他除了本文廣為詳细地討論之含鈦膜Μ外的 賴。而A,Η 2和Ν 2 Κ外的氣體活性化基也可用Μ降低沉積 溜度。本發明在廣義方面因此不受限於特定的细節、代表 的裝置和方法、和所顯示及說明的解說實例。因此,可能 產生與這些細節的背離而不偏離申請人們之通盤發明槪念 的精神或範囀。 經濟部中央標準局員工消費合作杜印製 (請先ΪΤ讀背面之注意事項再填寫本頁) -35- 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X 297公釐)

Claims (1)

  1. 294827 A8 B8 C8 D8 六、申請專利範圍 1. 一種沉積氮化钛膜於基板上的方法,包括: (請先閱讀背面之注意事項再填寫本頁) #反應室中藉由產生氣體混合物之電漿而形成該钛層 於該基板的該表面上,該氣體混合物包含四鹵化钛和氫 氣*於其中該電漿產生於該表面約25毫米之内; 在該反應室中藉由從選自包括氨和氮氣之氣體,在該 钛暦25毫米之內形成電漿而氮化該钛層,因此形成氮化 紋層。 2. 根據申請專利範圍第1項之方法,於其中該基板表面是 鋁。 3. 根據申請專利範圍第1項之方法·於其中該基板表面是 4. 根據申請專利範圍第1項之方法更包括在該反應器中藉 由自第二氣體混合物產生電漿而沉積氮化鈦層於該氮化 鈦層上,該第二氣體混合物包括四鹵化钛和選自包含氮 氣及氨的氣體,於其中該甯漿產生於該第一氮化钛層 25厘米之内。 5. 根據申請專利範圍第4項之方法,於其中該基板表面是 鋁。 6. 根據申請專利範圍第4項之方法,於其中該基板表面是 钛° 經濟部中央標準局員工消費合作社印製 7. —種沉積氮化钛膜於鈦表面之上的方法,包括使該钛表 面遭受第=電漿•於其中該電漿產生自選自包含氨和氮 氣的氣體且於其中該電漿產生於該钛表面25厘米之內; 自氣體混合物形成第一罨漿於該鈦表面25毫米之内, -36- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 經濟部中央標準局貞工消費合作社印製 294827 A8 B8 C8 ___ D8 六、申請專利範圍 於其中該氣髑混合物包括四鹵化钛和選自包含氨和氮氣 -的氣體。 « · 根據申請專利範圍第7項之方法,於其中該第一氣體混 合物包含少於約10%的四鹵化鈦,於其中沉積約100-5 00埃的氮化钛;且於其中改變氣體混合物μ設定大於 10%高達約20%的四鹵化钛濃度。 9.—種形成氮化钛膜於矽表面上的方法,包括形成包含四 _化钛和氣氣之第一氣體混合物的第一電漿,於其中該 第一窜獎產生於矽表面25牽米之内,因此沉積钛膜於該 矽表而之上; 輻由產生第二氣鵂的第二電漿而氮化該已沉積的膜, 於其中該第二氣體選自包括氛和氮氣且於其中該第二氣 體的第二雷漿產生於該已沉積之膜25毫米之内;及 藉由產生第三氣體混合物的第三電漿而沉積氮化钛瞑 層於該已氮化的沉積膜上,該第三氣體混合物包括四鹵 化钛和選自包含氨及氮氣的氣體。 I. 0.根據申請專利範圍第9項之方法,於其中該四鹵化鈦是 四氛化钛。 II. 根據申請專利範圍第9項之方法,於其中該第二氣體是 氡。 12. 根據申讅專利範圍第9項之方法,其中該第一、第二和 第三雷漿的每一種產生於該表面20毫米之內。 13. 根據申請專利範_第9項之方法,其中該第一、第二和 第三雷漿賴由在位於該表而25毫米内之金圈蓮逐顗上產 生無堞雷頻率位能而加以產生。 _37_ 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) ' -- ---------^------1Τ------..VI (請先G讀背面之注意事項再填寫本頁)
TW084102740A 1994-06-03 1995-03-21 TW294827B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/253,978 US5975912A (en) 1994-06-03 1994-06-03 Low temperature plasma-enhanced formation of integrated circuits

Publications (1)

Publication Number Publication Date
TW294827B true TW294827B (zh) 1997-01-01

Family

ID=22962443

Family Applications (1)

Application Number Title Priority Date Filing Date
TW084102740A TW294827B (zh) 1994-06-03 1995-03-21

Country Status (9)

Country Link
US (2) US5975912A (zh)
EP (1) EP0763146B1 (zh)
JP (1) JP3404536B2 (zh)
KR (1) KR100355914B1 (zh)
AU (1) AU2238595A (zh)
CA (1) CA2191458A1 (zh)
DE (1) DE69506865T2 (zh)
TW (1) TW294827B (zh)
WO (1) WO1995033865A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI791029B (zh) * 2017-07-13 2023-02-01 美商應用材料股份有限公司 沉積半導體膜的方法

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6291343B1 (en) 1994-11-14 2001-09-18 Applied Materials, Inc. Plasma annealing of substrates to improve adhesion
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
JP3374322B2 (ja) * 1996-10-01 2003-02-04 東京エレクトロン株式会社 チタン膜及びチタンナイトライド膜の連続成膜方法
US6537621B1 (en) 1996-10-01 2003-03-25 Tokyo Electron Limited Method of forming a titanium film and a barrier film on a surface of a substrate through lamination
US5989652A (en) * 1997-01-31 1999-11-23 Tokyo Electron Limited Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications
US6093645A (en) * 1997-02-10 2000-07-25 Tokyo Electron Limited Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation
JP3636866B2 (ja) * 1997-07-16 2005-04-06 東京エレクトロン株式会社 CVD−Ti膜の成膜方法
US6140230A (en) 1998-02-19 2000-10-31 Micron Technology, Inc. Methods of forming metal nitride and silicide structures
KR100331545B1 (ko) 1998-07-22 2002-04-06 윤종용 다단계 화학 기상 증착 방법에 의한 다층 질화티타늄막 형성방법및 이를 이용한 반도체 소자의 제조방법
US6107150A (en) * 1998-09-04 2000-08-22 Advanced Micro Devices, Inc. Method of making high performance transistors using channel modulated implant for ultra thin oxide formation
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
JP3175721B2 (ja) * 1999-02-05 2001-06-11 日本電気株式会社 半導体装置の製造方法
US6221174B1 (en) * 1999-02-11 2001-04-24 Applied Materials, Inc. Method of performing titanium/titanium nitride integration
US6316353B1 (en) * 1999-02-18 2001-11-13 Micron Technology, Inc. Method of forming conductive connections
US6245674B1 (en) * 1999-03-01 2001-06-12 Micron Technology, Inc. Method of forming a metal silicide comprising contact over a substrate
KR100428521B1 (ko) 1999-04-20 2004-04-29 도쿄 엘렉트론 가부시키가이샤 IC 제조에서의 PECVD-Ti 및 CVD-TiN 막의 단일 챔버 처리 방법
US6548402B2 (en) 1999-06-11 2003-04-15 Applied Materials, Inc. Method of depositing a thick titanium nitride film
US6555183B2 (en) * 1999-06-11 2003-04-29 Applied Materials, Inc. Plasma treatment of a titanium nitride film formed by chemical vapor deposition
JP2001210606A (ja) 2000-01-24 2001-08-03 Oki Electric Ind Co Ltd 半導体装置の製造方法
US6436819B1 (en) * 2000-02-01 2002-08-20 Applied Materials, Inc. Nitrogen treatment of a metal nitride/metal stack
US6436820B1 (en) * 2000-02-03 2002-08-20 Applied Materials, Inc Method for the CVD deposition of a low residual halogen content multi-layered titanium nitride film having a combined thickness greater than 1000 Å
US6573181B1 (en) * 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
JP2003092271A (ja) * 2001-07-13 2003-03-28 Seiko Epson Corp 半導体装置及びその製造方法
JP4128383B2 (ja) * 2002-03-27 2008-07-30 東京エレクトロン株式会社 処理装置及び処理方法
JP3574651B2 (ja) * 2002-12-05 2004-10-06 東京エレクトロン株式会社 成膜方法および成膜装置
KR100536797B1 (ko) * 2002-12-17 2005-12-14 동부아남반도체 주식회사 화학 기상 증착 장치
DE10343761A1 (de) * 2003-09-22 2005-04-14 Mtu Aero Engines Gmbh Verschleißschutzschicht, Bauteil mit einer derartigen Verschleißschutzschicht sowie Herstellverfahren
DE102004007984A1 (de) * 2004-02-18 2005-09-01 Aixtron Ag CVD-Reaktor mit Fotodioden-Array
US7229911B2 (en) 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
KR100636037B1 (ko) * 2004-11-19 2006-10-18 삼성전자주식회사 티타늄 질화막 형성 방법 및 이를 수행하기 위한 장치
KR100636036B1 (ko) * 2004-11-19 2006-10-18 삼성전자주식회사 티타늄 질화막 형성 방법 및 이를 수행하기 위한 장치
JP5032056B2 (ja) * 2005-07-25 2012-09-26 株式会社東芝 不揮発性半導体メモリ装置の製造方法
US20080050932A1 (en) * 2006-08-23 2008-02-28 Applied Materials, Inc. Overall defect reduction for PECVD films
JP5371238B2 (ja) * 2007-12-20 2013-12-18 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US8895107B2 (en) 2008-11-06 2014-11-25 Veeco Instruments Inc. Chemical vapor deposition with elevated temperature gas injection
US20100123206A1 (en) * 2008-11-18 2010-05-20 Thunderbird Technologies, Inc. Methods of fabricating field effect transistors including titanium nitride gates over partially nitrided oxide and devices so fabricated
US20100267191A1 (en) * 2009-04-20 2010-10-21 Applied Materials, Inc. Plasma enhanced thermal evaporator
US20140248733A1 (en) * 2011-10-07 2014-09-04 Sharp Kabushiki Kaisha, Method of manufacturing photoelectric conversion device
US9330936B2 (en) 2013-11-09 2016-05-03 Tokyo Electron Limited Method for depositing metal layers on germanium-containing films using metal chloride precursors
US10978448B2 (en) * 2016-01-22 2021-04-13 Texas Instruments Incorporated Integrated fluxgate device
KR102643607B1 (ko) * 2017-12-26 2024-03-06 솔브레인 주식회사 박막 형성용 조성물, 이를 이용한 박막 및 그 제조방법
KR102645319B1 (ko) * 2017-12-26 2024-03-11 솔브레인 주식회사 박막 형성용 조성물, 이를 이용한 박막 및 그 제조방법
US11421318B2 (en) * 2018-05-04 2022-08-23 Applied Materials, Inc. Methods and apparatus for high reflectivity aluminum layers
US11823910B2 (en) * 2020-07-31 2023-11-21 Tokyo Electron Limited Systems and methods for improving planarity using selective atomic layer etching (ALE)
US11664229B2 (en) * 2020-09-24 2023-05-30 Applied Materials, Inc. Nitride capping of titanium material to improve barrier properties

Family Cites Families (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3656995A (en) * 1969-05-02 1972-04-18 Texas Instruments Inc Chemical vapor deposition coatings on titanium
US4006073A (en) * 1975-04-03 1977-02-01 The United States Of America As Represented By The United States Energy Research And Development Administration Thin film deposition by electric and magnetic crossed-field diode sputtering
US4138306A (en) * 1976-08-31 1979-02-06 Tokyo Shibaura Electric Co., Ltd. Apparatus for the treatment of semiconductors
JPS53112066A (en) * 1977-03-11 1978-09-30 Fujitsu Ltd Plasma treatment apparatus
JPS5518403A (en) * 1978-07-25 1980-02-08 Toshiba Corp Formation of organic thin film
US4410758A (en) * 1979-03-29 1983-10-18 Solar Voltaic, Inc. Photovoltaic products and processes
US4282267A (en) * 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
JPS5660447A (en) * 1979-10-23 1981-05-25 Toshiba Corp Forming method of organic photoconductive film
JPS5691437A (en) * 1979-12-26 1981-07-24 Nippon Hoso Kyokai <Nhk> Preparation of metallized element
US4718976A (en) * 1982-03-31 1988-01-12 Fujitsu Limited Process and apparatus for plasma treatment
US4504518A (en) * 1982-09-24 1985-03-12 Energy Conversion Devices, Inc. Method of making amorphous semiconductor alloys and devices using microwave energy
US4524718A (en) * 1982-11-22 1985-06-25 Gordon Roy G Reactor for continuous coating of glass
JPS59159167A (ja) * 1983-03-01 1984-09-08 Zenko Hirose アモルフアスシリコン膜の形成方法
DE3429899A1 (de) * 1983-08-16 1985-03-07 Canon K.K., Tokio/Tokyo Verfahren zur bildung eines abscheidungsfilms
US4557943A (en) * 1983-10-31 1985-12-10 Advanced Semiconductor Materials America, Inc. Metal-silicide deposition using plasma-enhanced chemical vapor deposition
JPS60221566A (ja) * 1984-04-18 1985-11-06 Agency Of Ind Science & Technol 薄膜形成装置
US4678679A (en) * 1984-06-25 1987-07-07 Energy Conversion Devices, Inc. Continuous deposition of activated process gases
JPS6126774A (ja) * 1984-07-16 1986-02-06 Canon Inc 非晶質シリコン膜形成装置
US4759947A (en) * 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
DE3437120A1 (de) * 1984-10-10 1986-04-10 Licentia Patent-Verwaltungs-Gmbh, 6000 Frankfurt Verfahren zum herstellen von halbleiterschichten auf halbleiterkoerpern oder zur eindiffusion von stoerstellen im halbleiterkoerper
US4749589A (en) * 1984-12-13 1988-06-07 Stc Plc Method of surface treatment
US4717584A (en) * 1985-02-07 1988-01-05 Matsushita Electric Industrial Co., Ltd. Method of manufacturing a magnetic thin film
US4717585A (en) * 1985-02-09 1988-01-05 Canon Kabushiki Kaisha Process for forming deposited film
US4716048A (en) * 1985-02-12 1987-12-29 Canon Kabushiki Kaisha Process for forming deposited film
US5178904A (en) 1985-02-16 1993-01-12 Canon Kabushiki Kaisha Process for forming deposited film from a group II through group VI metal hydrocarbon compound
US4728528A (en) * 1985-02-18 1988-03-01 Canon Kabushiki Kaisha Process for forming deposited film
US4772486A (en) * 1985-02-18 1988-09-20 Canon Kabushiki Kaisha Process for forming a deposited film
JPS61189626A (ja) * 1985-02-18 1986-08-23 Canon Inc 堆積膜形成法
US4726963A (en) * 1985-02-19 1988-02-23 Canon Kabushiki Kaisha Process for forming deposited film
US4778692A (en) * 1985-02-20 1988-10-18 Canon Kabushiki Kaisha Process for forming deposited film
US4784874A (en) * 1985-02-20 1988-11-15 Canon Kabushiki Kaisha Process for forming deposited film
US4818563A (en) * 1985-02-21 1989-04-04 Canon Kabushiki Kaisha Process for forming deposited film
JPS61223756A (ja) * 1985-03-28 1986-10-04 Canon Inc 複写装置
US4853251A (en) * 1985-02-22 1989-08-01 Canon Kabushiki Kaisha Process for forming deposited film including carbon as a constituent element
US4801468A (en) * 1985-02-25 1989-01-31 Canon Kabushiki Kaisha Process for forming deposited film
JP2537175B2 (ja) * 1985-03-27 1996-09-25 キヤノン株式会社 機能性堆積膜の製造装置
JPH07101751B2 (ja) * 1985-03-28 1995-11-01 キヤノン株式会社 光起電力素子の製造方法
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
NL8602356A (nl) 1985-10-07 1987-05-04 Epsilon Ltd Partnership Inrichting en werkwijze voor een axiaal symmetrische reactor voor het chemische uit damp neerslaan.
JPH0645890B2 (ja) * 1985-12-18 1994-06-15 キヤノン株式会社 堆積膜形成法
JPH084071B2 (ja) * 1985-12-28 1996-01-17 キヤノン株式会社 堆積膜形成法
JPS62161951A (ja) * 1986-01-08 1987-07-17 Seiko Instr & Electronics Ltd 装飾部品の表面処理方法
CH671407A5 (zh) 1986-06-13 1989-08-31 Balzers Hochvakuum
US4886683A (en) * 1986-06-20 1989-12-12 Raytheon Company Low temperature metalorganic chemical vapor depostion growth of group II-VI semiconductor materials
US4800105A (en) 1986-07-22 1989-01-24 Nihon Shinku Gijutsu Kabushiki Kaisha Method of forming a thin film by chemical vapor deposition
US5126169A (en) * 1986-08-28 1992-06-30 Canon Kabushiki Kaisha Process for forming a deposited film from two mutually reactive active species
US4954397A (en) * 1986-10-27 1990-09-04 Canon Kabushiki Kaisha Light receiving member having a divided-functionally structured light receiving layer having CGL and CTL for use in electrophotography
DE3742110C2 (de) * 1986-12-12 1996-02-22 Canon Kk Verfahren zur Bildung funktioneller aufgedampfter Filme durch ein chemisches Mikrowellen-Plasma-Aufdampfverfahren
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
EP0275965B1 (en) * 1987-01-19 1995-05-31 Hitachi, Ltd. Plasma operation apparatus
KR900008505B1 (ko) * 1987-02-24 1990-11-24 세미콘덕터 에너지 라보라터리 캄파니 리미티드 탄소 석출을 위한 마이크로파 강화 cvd 방법
JPS63233564A (ja) * 1987-03-23 1988-09-29 Canon Inc 接合型トランジスタの製造法
US4992839A (en) * 1987-03-23 1991-02-12 Canon Kabushiki Kaisha Field effect thin film transistor having a semiconductor layer formed from a polycrystal silicon film containing hydrogen atom and halogen atom and process for the preparation of the same
US4946514A (en) * 1987-03-27 1990-08-07 Canon Kabushiki Kaisha Thin film photoelectromotive force element having multi-thin films stacked semiconductor layer
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
AU622617B2 (en) * 1987-07-21 1992-04-16 Canon Kabushiki Kaisha Photovoltaic element with a semiconductor layer comprising non-single crystal material containing at least Zn, Se and in an amount of 1 to 40 atomic per cent
JPS6424468A (en) * 1987-07-21 1989-01-26 Canon Kk Functional deposited film
JPS6436086A (en) * 1987-07-31 1989-02-07 Canon Kk Functional deposition film
US4888062A (en) * 1987-08-31 1989-12-19 Canon Kabushiki Kaisha Pin junction photovoltaic element having I-type semiconductor layer comprising non-single crystal material containing at least Zn, Se and H in an amount of 1 to 4 atomic %
US4885067A (en) * 1987-08-31 1989-12-05 Santa Barbara Research Center In-situ generation of volatile compounds for chemical vapor deposition
JPS6461396A (en) * 1987-09-01 1989-03-08 Idemitsu Petrochemical Co Synthesis of diamond and installation therefor
US5180435A (en) 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
US4870030A (en) * 1987-09-24 1989-09-26 Research Triangle Institute, Inc. Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer
US5018479A (en) * 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
JPH0192375A (ja) * 1987-10-05 1989-04-11 Canon Inc マイクロ波プラズマcvd法による機能性堆積膜形成装置
ES2073406T3 (es) * 1987-11-20 1995-08-16 Canon Kk Elemento fotovoltaico con union pin con una capa semiconductora de tipo p o de tipo n que comprende un material que no es de cristal unico conteniendo zn, se, te, h en una cantidad de 1 a 4 atomico % y un contaminante y una capa semicondcutora de tipo i comprendiendo un material
CA1299716C (en) * 1987-11-20 1992-04-28 Katsumi Nakagawa Pin junction photovoltaic element with p or n-type semiconductor layercomprising non-single crystal material containing zn, se, h in an amount of 1 to 4 atomic % and a dopant and i-type semiconductor layer comprising non-single crystal si(h,f) material
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JPH01198481A (ja) * 1988-02-01 1989-08-10 Canon Inc マイクロ波プラズマcvd法による堆積膜形成法
US4908330A (en) * 1988-02-01 1990-03-13 Canon Kabushiki Kaisha Process for the formation of a functional deposited film containing group IV atoms or silicon atoms and group IV atoms by microwave plasma chemical vapor deposition process
JPH01198482A (ja) * 1988-02-01 1989-08-10 Canon Inc マイクロ波プラズマcvd法による堆積膜形成法
US4971832A (en) * 1988-03-02 1990-11-20 Canon Kabushiki Kaisha HR-CVD process for the formation of a functional deposited film on a substrate with application of a voltage in the range of -5 to -100 V
JP2776826B2 (ja) * 1988-04-15 1998-07-16 株式会社日立製作所 半導体装置およびその製造方法
JPH01296611A (ja) * 1988-05-25 1989-11-30 Canon Inc 半導体薄膜堆積法
JPH01298164A (ja) * 1988-05-25 1989-12-01 Canon Inc 機能性堆積膜の形成方法
US5093149A (en) * 1988-05-26 1992-03-03 Energy Conversion Devices, Inc. Method of depositing directly activated species onto a remotely located substrate
US4937094A (en) * 1988-05-26 1990-06-26 Energy Conversion Devices, Inc. Method of creating a high flux of activated species for reaction with a remotely located substrate
JPH01306565A (ja) * 1988-06-02 1989-12-11 Canon Inc 堆積膜形成方法
US4992305A (en) * 1988-06-22 1991-02-12 Georgia Tech Research Corporation Chemical vapor deposition of transistion metals
JPH0215174A (ja) * 1988-07-01 1990-01-18 Canon Inc マイクロ波プラズマcvd装置
DE68927726T2 (de) * 1988-07-20 1997-07-17 Hashimoto Chemical Ind Co Einrichtung zum Trockenätzen mit einem Generator zum Erzeugen von wasserfreiem Flusssäuregas
DE3926023A1 (de) * 1988-09-06 1990-03-15 Schott Glaswerke Cvd-beschichtungsverfahren zur herstellung von schichten und vorrichtung zur durchfuehrung des verfahrens
KR940003787B1 (ko) 1988-09-14 1994-05-03 후지쓰 가부시끼가이샤 박막 형성장치 및 방법
GB8823668D0 (en) * 1988-10-08 1988-11-16 Tecvac Ltd Surface treatment of metals & alloys
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
JP2717583B2 (ja) * 1988-11-04 1998-02-18 キヤノン株式会社 積層型光起電力素子
US5178905A (en) 1988-11-24 1993-01-12 Canon Kabushiki Kaisha Process for the formation of a functional deposited film by hydrogen radical-assisted cvd method utilizing hydrogen gas plasma in sheet-like state
JPH02148715A (ja) * 1988-11-29 1990-06-07 Canon Inc 半導体デバイスの連続形成装置
US5087542A (en) * 1988-12-27 1992-02-11 Canon Kabushiki Kaisha Electrophotographic image-forming method wherein an amorphous silicon light receiving member with a latent image support layer and a developed image support layer and fine particle insulating toner are used
US5007971A (en) * 1989-01-21 1991-04-16 Canon Kabushiki Kaisha Pin heterojunction photovoltaic elements with polycrystal BP(H,F) semiconductor film
US5002618A (en) * 1989-01-21 1991-03-26 Canon Kabushiki Kaisha Pin heterojunction photovoltaic elements with polycrystal BAs(H,F) semiconductor film
JP2829653B2 (ja) * 1989-01-21 1998-11-25 キヤノン株式会社 光起電力素子
US5002617A (en) * 1989-01-21 1991-03-26 Canon Kabushiki Kaisha Pin heterojunction photovoltaic elements with polycrystal AlAs(H,F) semiconductor film
JPH02192771A (ja) * 1989-01-21 1990-07-30 Canon Inc 光起電力素子
US4888088A (en) * 1989-03-06 1989-12-19 Tegal Corporation Ignitor for a microwave sustained plasma
JPH02258689A (ja) 1989-03-31 1990-10-19 Canon Inc 結晶質薄膜の形成方法
US5093150A (en) * 1989-04-20 1992-03-03 Alps Electric Co., Ltd. Synthesis method by plasma chemical vapor deposition
US4987856A (en) * 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5130170A (en) * 1989-06-28 1992-07-14 Canon Kabushiki Kaisha Microwave pcvd method for continuously forming a large area functional deposited film using a curved moving substrate web with microwave energy with a directivity in one direction perpendicular to the direction of microwave propagation
US5037666A (en) * 1989-08-03 1991-08-06 Uha Mikakuto Precision Engineering Research Institute Co., Ltd. High-speed film forming method by microwave plasma chemical vapor deposition (CVD) under high pressure
JPH0394069A (ja) 1989-09-05 1991-04-18 Mitsubishi Electric Corp 薄膜形成装置
US5139825A (en) * 1989-11-30 1992-08-18 President And Fellows Of Harvard College Process for chemical vapor deposition of transition metal nitrides
US5220181A (en) 1989-12-11 1993-06-15 Canon Kabushiki Kaisha Photovoltaic element of junction type with an organic semiconductor layer formed of a polysilane compound
JPH088243B2 (ja) 1989-12-13 1996-01-29 三菱電機株式会社 表面クリーニング装置及びその方法
KR0184279B1 (ko) * 1990-01-29 1999-04-15 미다 가쓰시게 금속 또는 금속실리사이드막의 형성방법
US4977106A (en) * 1990-05-01 1990-12-11 Texas Instruments Incorporated Tin chemical vapor deposition using TiCl4 and SiH4
FR2664294B1 (fr) 1990-07-06 1992-10-23 Plasmametal Procede de metallisation d'une surface.
US5085885A (en) * 1990-09-10 1992-02-04 University Of Delaware Plasma-induced, in-situ generation, transport and use or collection of reactive precursors
US5052339A (en) * 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
KR100228259B1 (ko) 1990-10-24 1999-11-01 고지마 마따오 박막의 형성방법 및 반도체장치
JP2939355B2 (ja) 1991-04-22 1999-08-25 東京エレクトロン株式会社 プラズマ処理装置
US5260236A (en) 1991-06-07 1993-11-09 Intel Corporation UV transparent oxynitride deposition in single wafer PECVD system
US5173327A (en) * 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
US5279857A (en) 1991-08-16 1994-01-18 Materials Research Corporation Process for forming low resistivity titanium nitride films
JP2989063B2 (ja) 1991-12-12 1999-12-13 キヤノン株式会社 薄膜形成装置および薄膜形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5356476A (en) 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5434110A (en) 1992-06-15 1995-07-18 Materials Research Corporation Methods of chemical vapor deposition (CVD) of tungsten films on patterned wafer substrates
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5416045A (en) 1993-02-18 1995-05-16 Micron Technology, Inc. Method for chemical vapor depositing a titanium nitride layer on a semiconductor wafer and method of annealing tin films
US5246881A (en) 1993-04-14 1993-09-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5396404A (en) 1993-09-20 1995-03-07 Delco Electronics Corp. Heat sinking assembly for electrical components
US5378501A (en) 1993-10-05 1995-01-03 Foster; Robert F. Method for chemical vapor deposition of titanium nitride films at low temperatures
US5420072A (en) * 1994-02-04 1995-05-30 Motorola, Inc. Method for forming a conductive interconnect in an integrated circuit

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI791029B (zh) * 2017-07-13 2023-02-01 美商應用材料股份有限公司 沉積半導體膜的方法

Also Published As

Publication number Publication date
US6221770B1 (en) 2001-04-24
KR100355914B1 (ko) 2003-01-08
DE69506865D1 (de) 1999-02-04
EP0763146B1 (en) 1998-12-23
WO1995033865A1 (en) 1995-12-14
AU2238595A (en) 1996-01-04
EP0763146A1 (en) 1997-03-19
JP3404536B2 (ja) 2003-05-12
CA2191458A1 (en) 1995-12-14
JPH10501100A (ja) 1998-01-27
US5975912A (en) 1999-11-02
KR970703443A (ko) 1997-07-03
DE69506865T2 (de) 1999-05-27

Similar Documents

Publication Publication Date Title
TW294827B (zh)
TW463242B (en) Method of depositing a stack including titanium and titanium nitride films on a wafer surface in a single chamber
EP1475457B1 (en) Metal barrier film production apparatus, metal barrier film production method, metal film production method, and metal film production apparatus
JP2721023B2 (ja) 堆積膜形成法
EP0414267B1 (en) Process for deposition of a tungsten layer on a semiconductor wafer
TW396579B (en) Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and insitu plasma nitrudation
TW253064B (zh)
TW200536020A (en) A method of forming a tantalum-containing gate electrode structure
JPH09205070A (ja) プラズマcvd方法、およびこれにより形成された金属膜を有する半導体装置
TW306937B (zh)
US6479897B2 (en) Semiconductor device having fluorine-added carbon dielectric film and method of fabricating the same
JP4079591B2 (ja) 金属被膜の化学蒸着法
US5789028A (en) Method for eliminating peeling at end of semiconductor substrate in metal organic chemical vapor deposition of titanium nitride
TW531798B (en) Barrier metal layer and method of forming the same
Kang et al. Dry etching of copper film with hexafluoroacetylacetone via oxidation process
TW417223B (en) Apparatus and method for improved deposition of conformal liner films and plugs in high aspect ratio contacts
Aoki et al. Preparation and characterization of copper films deposited in hydrogen remote plasma by copper (II) acetylacetonate
JP4032487B2 (ja) 金属窒化物膜の化学的気相成長方法およびこれを用いた電子装置の製造方法
JPH07111261A (ja) 成膜装置及び成膜方法
JP4959122B2 (ja) バナジウム含有膜の形成方法
WO1997047783A1 (en) Methodology and apparatus for in-situ doping of aluminum coatings
JP2001270789A (ja) 窒化アルミニウム上への炭化珪素膜の形成方法、被膜構造体および炭化珪素膜
Schulz et al. Selective tungsten CVD on sputtered tungsten for via fill
TW418504B (en) Method of reducing pin holes in a silicon nitride passivation layer

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees