TW306937B - - Google Patents

Download PDF

Info

Publication number
TW306937B
TW306937B TW84105691A TW84105691A TW306937B TW 306937 B TW306937 B TW 306937B TW 84105691 A TW84105691 A TW 84105691A TW 84105691 A TW84105691 A TW 84105691A TW 306937 B TW306937 B TW 306937B
Authority
TW
Taiwan
Prior art keywords
gas
substrate
shower head
patent application
deposition
Prior art date
Application number
TW84105691A
Other languages
English (en)
Original Assignee
Materials Research Corp
Commissariat Energie Atomique
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Materials Research Corp, Commissariat Energie Atomique filed Critical Materials Research Corp
Application granted granted Critical
Publication of TW306937B publication Critical patent/TW306937B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Fluid Mechanics (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Description

A7 306937 B7 五、發明説明(1 ) ϋ.Μ-Μ__Β_ 本發明一般而言係闞於電漿加強之化學蒸氣沉積( P E C V D >,Μ在基材h塗敷一個薄膜塗層,且更詳言之,係 關於在基材表面t,於低的有效沉積溫度下所進行之 PECVD 。又更詳言之,本發明係闥於使用低溫CVD之含软 薄膜之沉積。 骚星ϋ— 於積體電路(I (:)之形成h,經常將含有金鼷與類金颺 元素之薄膜•沉積在基材表面上•例如半導體晶片上。將 薄膜沉積· Μ在窜路中及在ί(:之各棰装置之間,提供等電 性與歐姆性接觸。例如,可將所想要之薄膜,塗敷至接觸 之外露表面,或纆由半導體晶片上之孔•使用通過此晶片 上絕緣層之薄膜· Μ提供導電性材料之柱塞,以達到横越 此絕緣層製造相互連接之目的。 關於沉積金靨薄膜之-項習知方法*係為化學蒸氣沉積 (C V I)),其中係使用各種沉積或反應物氣體間之化學反應 ,使薄膜沉積在基材之表面上。於CVD中·係將反應物氣 體泵進反應室内之基材附近,且此氣體隨後會在基材表面 處反應,而造成一或多棰反應副產物,其會在基材表面上 形成薄膜。將沉積後留下之任何副產物,移_此室。雖然 CVD為一種用以沉積薄膜之有用技術,但許多傅統CVD程 序基本上均為熱程序,且需要超遇100〇υ之溫度,Μ獲得 必要之反應。此一沉積溫度經常是太高的,Μ致於在I C製 造上是不實用的,此係由於高溫對於各種其他方面及構成 本紙張尺度適用中國國家橾準(CNS ) A4規格(2I0X 297公釐) ---- ---装------、訂------i (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 A7 3〇6δ37 ____B7 五、發明説明(2 ) 1C之霣裝置層具有影響所致。 特別是· 1C姐件之某些方面,會因暴露於正常情況下輿 傳統熱CVD程序有藺聯之高溫而降趿。例如,在1C之裝置 層次下•有半導體摻雜劑之淺擴散•其會在1C内形成電裝 置之連接。此摻雜爾通常首先在擴敗步驟期間,使用熱進 行擴敗,因而,當1C在C VI)期間受到高溫作用時,摻雜劑 將會繼續擴敝。此種進一步擴敝是不期望的,因其會造成 裝置之連接偏移,因而改變所形成之1C電特性。因此,對 某些If:装置而言*係避免使基材暴鼸在高於800t:之處理 溫度下,且對其他更具溫度敏感性之裝置而言,其上限溫 度可低達 650'C。 再者,若於金鼸相互連接或線路已塗敷至1C後,進行熱 CVD ,刖此種溫度限制可能變得更為嚴重。例如,許多 1 C係利用鋁作為相互連接金牖。但是,當其受到高處理溫 度作用時,各種不期望之孔陳興突起會在鋁中發生。因此 * 一旦相互連接之鋁已被沉横在1C上時,其可暴露之最高 溜度為約500TC,且較佳上限溫度為400 T:。因此,正如 可瞭解者,一般期望在CVI)程序期間,儘可能保持低沉積 潘度。 因此•基材必須暴露之上限灌度,會阻止使用一些傳統 熱CVD程序,此等程序在其他情況下,於製造1C上是極有 用的。此種有用程序之-項良好實洌•為钛之化學蒸氣沉 稹。钛典型上係用Μ在1C裝置之矽接觸之間提供歡姆接觸 及金靨相互連接。鈦可從TiBr4 、HCU或TiU,使用 —5 — 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公煃) ----- ---裝------訂------線 (請先閱讀背面之注意事項界4寫本頁) 經濟部中央標準局員工消費合作杜印製 經濟部中央標準局員工消費合作社印製 A7 _____B7_ 五、發明説明(3 ) CVD方法,例如單分子熱解或氫堪原,進行沉積。但是· W於此等熱程序所必須之瀑度,係超過1000 ΐ:,且此一沉 槙溫度係太高Μ致於不實用於1C製造上。因此,钛與含妹 薄膜之沉積,於積體電路之形成上,圼現一項問題。 有低溫物理技術*可用Μ將钛沉積在對溫度敏感之基材 上。濺射為一種此技術,其係涉及使用一種曆材料禰駟與 一種鐮子化電漿。為濺射沉積一薄_,使此標靶Μ霣方式 造成偏壓,且來自*漿之離子係被吸引至檷靶,Μβ擊此 標靶及逐出檷靶材料粒子。於是,此等粒子本身會在基材 上,以累積方式沉積而成薄_。例如,在將各種接觸或經 由開孔被切成基材曆次之後,可將鈦濺射在矽基材上。然 後·可將此基材加熱至約800 Μ允許矽與呔形成合金 ,及形成矽化呔(TiSU)層。於鈦靨沉積後*將遇量钛自 基材之上方表面蝕離,而在各接觸黏或孔洞之底部處留下 TiSU 。然後將金靨相互連接,直接沉稹在TiSU上。 雖然物理濺射係在較低溫度下,提供钛薄膜之沉稹,但 濺射程序有各種缺點。«射作業通常會產生極不良陏次覆 蓋率。階次覆蓋率係定義為在一基材晶片上,於接觸點底 部上之薄膜厚度,對此接觸點之側面或基材頂部表面上之 薄膜厚度之比例。因此,為在一接鼸點或孔洞之底部,濺 射沉稹預定最之钛,必須在基材之上方表面或接觸點之側 面上,沉稹較大量經濺射之钛》例如,為在接觴點之底部 *使用濺射沉積200 A薄膜,則必須在基材之上方表面或 接觸點之俩面上,沉積600 A至1 000 A薄膜層。由於遇量 -6 - 本紙張尺度適用中國國家橾準(CNS ) A4規格(210X297公t ) ----- ---批衣------iT------# (請先閱讀背面之注意事項再^-寫本頁) 經濟部中央標隼局員工消費合作社印裝 θ〇β937 at - 五、發明説明(4 ) 钛必須被蝕離,故當沉積含呔之層時,濺射是浪費的且昂 貴的。 再者,當接觸或孔洞之方向比增加時,使用濺射技術之 接觸之階次覆蓋率會降低。一偭接觸之方向比,係定義為 接觸深度對接«寬度之比例。因此,必須將一個較厚濺射 薄模,沉積在狹窄且深(高方向比)之接觸之頂部或側面 上,以在該接觭之底部獲得特定薄κ厚度’此係與淺且寬 接觸(低方向比)所必須者作比較。換言之’對於I c中之 較小裝置尺寸而言•其係相應於高方向比之接觸輿孔洞’ 則濺射是更無效且浪費的。於濺射沉積期間’在較小裝置 t之降低的階次覆蓋率,會造成增加必須沉積之钛量,因 而增加經塗敷及經蝕離之钛量,增加钛沉積時間,及增加 為移除過量钛所必須之触刻時間。因此,當〗c裝置幾何形 狀持續縮小且方向比增加時,則射進行之含钛層沉積 •變得極昂資。 另一方面,使用CV丨)程序,Μ沉稱含鈦薄膜曆*可使用 幾乎100»;階次覆蓋率達成。意即,在接觸點底部之薄謓厚 度*大致等於其頂部表面上之厚度,畿乎不管被充«之接 觸點或孔洞之方向比。但是*如上文所討論者* W於此種 CVD程序所必須之瀑度係太高*且會使1C之其他方面降级 。因此,一般期望在低於800 ^且較佳係低於650*0之湛 度下·達成钛CVD 。再者,通常期望在1C製造上,對於用 Μ沉積薄_之任何CVD程序,降低其沉積溫度。 已在CVD程序t用以降低反應溫度之一項研究途徑,是 本紙張尺度適用中國國家橾準(CNS ) A4規格(210X297公缝) ---- ---I------"------^ (請先鬩讀背面之注意事項声t{:"本頁) B7 經濟部中央標準局,負工消費合作社印製 明説明(5 ) 將〜或多種反應物氣體鐮子化。此一技術通常稱為電漿加 強之化學蒸氣沉積(PECVD)。雖然使用此一研究途徑,已 $能稍微降低沉積瀣度*但經鎌子化電漿粒子之高黏附係 數*會使薄_之階次覆S率降级。意即,反應物氣體之離 子為高度反應性,且具有接觸與黏附在基材中之孔洞或接 #點之壁上之傾向。此等離子粒子不會向下潛移至接觸點 &底部表面,此處係期望塗曆,而非不一致地塗覆該接觴 &之供面。逋會造成增加之材料使用、沉積時間及蝕刻時 間。因此,對於降低傳統高CVD溫度與達成良好階次覆蓋 率及薄顏一致性而言,使用離子化反應物氣體之PECVD , 尚非一種完全合遘之解決方式。 此外,當使用CVD程序K塗敷薄縝時,一般期望均勻地 沉横此薄膜。為如此做,例如,塗敷一個餽(W)之均勻薄 _ •則必須將反應物氣體之均勻供料,横越基材表面供應 ’且應將已耗用之氣«及反應副產物,移雛被塗佈之表面 °闞於此點•先前技藝(:V丨)程序又再一次進行得不怎麽成 功。詳言之,在已知CVD程序中,於反應氣體流動中之ft 流*會抑制此塗佈程序之效率與均匀性,並使反應室内污 染物之沉積與潛移作用惡化。於aicvD程序中,係採用六 氟化鎢(WFe)作為反應物氣體。六氟化鎢是極昂貴的,且 因此,當反應物氣體利用效率很低時,正如在先前技· CVD程序中之情況,則整個處理成本係顯著地增加。因此 ’仍需要一種CVD程序,其具有經改良之氣體流動及降低 之氣腰亂流,K更有效且更均勻地供應反應氣體,並從被 __- 8 - 本紙張尺度it财 111]¾:縣(CNS) Α4·_ (21GX 297.公f --I -I i -- I —I! n ----- In - -i _ Hi---X _ _ _ - _ I. . .. A-^、-t 麥 (請先閱讀背面之注意事項"<寫本頁) 經濟部中央標準局員工消費合作社印製 3 咐 37 Α7 Β7 五、發明説明(6) 塗佈之基材表面上移除反應副產物。 因此,可在較低有效溫度下逹成之CVD程序•係為期望 的。進一步期望有一種能夠提供良好階次覆蓋率之低溫沉 憒。更進-步期望有一種PECVD程序,其會產生均勻薄膜 厚度及反應物氣體之有效利用性。因此*本發明係著重於 此等目的*及目前可利用之各種CVD與PECVD程序之各項 缺點。再者,本發明係特別著重於與使用CVD沉樓钛與含 钛薄膜有關聯之困難、 18L摘.述_ 本發明之CVD裝置與方法,係克腹或排除與許多目前可 利用之熱CVD與PECVI)裝置與方法有醑聯之高溫及氣體流 動缺點。詳言之,當與傳統熱CVD程序比較時,本發明係 在實質上較低潙度下•達成含钛薄_之沉積。再者,於如 此進行時,本發明並未危害到所形成薄膜層之一致性•且 可有效利用經活化及反應物氣«•同時滅少基材表面上之 氣體亂流。 本發明之低溫沉稹,係Μ兩種替代方法達成。第一種方 法係利用上游、遠距產生電漿。此霣漿係藉旋轉式感受器 ,向下泵送至基材,並在其埋行至基材時消失,以致使主 要經活化之氣體基圈存在。此氣«基團會與未被激發之反 應物氣體合併,而賴CVD技術在基材上沉積薄_層。此旋 轉式感受器之泵送,會使氣體粒子回流輿碰撞降至最低, 而產生有用百分比之基團。 第二種方法係利用-.·種RF簇射頭設計,其會產生一種極 一 9 — 本紙張尺度適用中國國家標準(CNS ) Α4规格(210X297公煃) m .m I !- -- - - - - - - ....... —^^1 In ml I n^i n^i ^^1- o? i A (請先閱讀背面之注意事項寫本頁) A7 B7 經濟部中央標準局員工消費合作扑印製 五、發明説明(7 ) 靠近基材表面之濃播霣漿。所有此等氣麵·意即電漿輿反 應物氣體,均通* RF簇射頭電極並被激發。由於感受器係 充作另一僩電極,故此RF簇射頭輿感受器係形成一種平行 板電極姐態。使用此RF罨極方法,於基材上*在化學蒸氣 沉積中所使用之《漿氣體,係含有對表面反應貢獻能量之 離子與基團之混合物。 更詳言之,本發明之-種CVD方法,係利用一種電漿來 源,Μ在基材晶Η之上游,產生一棰含有氣體之各種經激 發粒子之氣體電漿,包括帶電荷離子及經激發之電中性基 團· Μ及自由電子。此罨漿氣»之經激發粒子,及主要是 經激發之基團粒子,在其有機會合併而形成中性分子之前 •係被帶到其表面上。經激發基團係Μ化學方式與一或多 種反應物氣艚反應,而在基材上形成薄膜。經檄發之基團 會對表面反應供應能量,以致使CVD可根據本發明之原理 ,於實質上低於傅铳CVD方法所髂之溫度下使用。 為防止與使用雕子化粒子之傳統PECVD有鼷明(之粒子黏 附作用及降低之暦一致性,本發明之上游方法係在基材上 主要利用電中性、活化基團,其會產生一致、均句薄_。 但是,此種經活化氣體基團之壽命是很短的,因其會尋找 而再結合成低能量、安定分子結構。如h述,本發明係提 供經活化氣體基團之有效使用,其方式是在其中顬著數目 基團能夠再结合而形成最初安定氣體分子之前,將基團帶 至基材表面。為有效_送此等基團,本發明係利用一種旋 _式感受器,其會支撐及旋轉此基材,及在基材方向上建 —1 〇 — 本紙張尺度適用¥國國家榡率(CNS ) A4規格(2丨0X 297公嫠) (請先閲讀背面之注意事項-?4寫本頁) -裝. -*0 線 ΚΊ B7 經濟部中央標隼局員工消費合作社印製 五、發明説明(8) 立一個向下泵送作用。此旋轉式感受器會泵送此基團至基 材表面上。 將一或多棰反應物氣钃引進高於基材表面之沉棟區域, Μ使輿纆活化之氣麵基團混合。旋轉式感受器之向下泵送 作用,會同時抽取基圏與反應物氣體之混合物,朝向基材 表面。於基材表面上,基團與反應性氣體之混合物,會以 實筲上均勻層狀流動型式,從基材之中央輻射狀朝外流動 *且經激發之基團會在表面反應中輿反懕物氣《粒子反應 *這會造成薄層被沉稹在基材表面上。 經活化之基圈會對表面反應供應能最•於是降低所需之 能量*例如熱能,其係為在基材表面上發生化學反應所必 須的。因此,與»由傳统CVD程序所需之溫度相較*此沉 棟係在實質上較低溫度下發生。例如,使用本發明之含钛 層之沉積,可在600Τ:或較低溫度下達成*而對一些傳統 熱CVD程序而言刖為lOOOf。 «由旋轉式感受器所建立之獮特泵送作用與暦狀氣M* 動,係確保在基材表面上之有用基團密度。例如,藉由使 用500至50,000 see·(禰準立方厘米每分鐘)間之氣* 流動,0至1,00 0 γ·ρβ之感受器旋轉速率,於0.5與10托 間之反應室壓力,及在1至20 seem間之反懕物氣體流速 ,則本發明已在低於650 之溫度下,從CVD技術產生薄 膜。上游電漿可使用RF信號或微波信號造成激發。因此· 當此電漿在高達2. 5 4 GHz且低達13.56 KHz之頻率下激發 時,已發現本發明會產生期望結果。 一 11 一 本紙張尺度適用中國國家榡嗥(CNS ) A4規格(210X297公釐) ---- ---裝------訂------線 (請先閱讀背面之注意事項异砍"本頁) 經濟部中央標隼局員工消費合作社印袋 A7 B7 五、發明説明(9 ) 藉旋轉式感受器所建立之層狀型式,會使得在基材表面 上之氣體粒子回流及随後之基團重組降至最低,且因此, 有更多經活化之基團•可在基材表面上供低溫CVD程序使 用。此外,在本發明之方法中•增加感受器之旋轉速率, 會增加基材表面上之沉積速率。由於活化基團與藉由旋轉 式感受器泵送作用所產生之暦狀流»之獮特姐合,故本發 明沉稹速率之增加會超遢單獮由於增加泵送作用所造成在 基材表面上於分子反應物上之蝤加所達成之情況。意即· 增加感受器之旋轉速率•會達成超逢僅在較高速率下抽取 反應物氣體朝向基材所達成之情況:其進一步使得基圈之 重姐降至最低,因而在基材表面上提供更多可利用之基團 。此種在基團轤送至基材表面上之加強作用,係為PECVD 程序上之一項重要進步。其允許在上游或距基材很堪處所 形成之大多數基團,被帶至基材表面上,以致其係在表面 沉積反應中發生,而無大量基團重組損失。此種加強作用 及釀後在表面反應上增加能量,因而,允許此反應在甚至 更低之沉積溫度下發生。 對本發明之RF電極電漿產生方法而言,電漿氣體係被鑰 送至鄹近基材表面,利用一種氣«分散用之簇射頭進行, 此簇射頭係使用RF能量造成僱壓,Μ充作一個電極。一種 支撐基材之感受器,係充作另一僩平行電極。此RF簇射頭 /電極會產生一棰極靠近基材表面之濃縮電漿,同時連接 至該簇射頭之氣體输送圆柱髓係確保均勻氣體流至罨漿。 電漿之接近基材,係確保充裕密度之活化基團與離子,供 ______—12 — 本紙張尺度適用中國國家標準(C:NS ) Α4規格(210X 297公釐) ^^1 ^—^1 · 1 *nl ^^/ l nn —^ϋ In In i m ^^^1 n ml 、-& 髮 (請先閱讀背面之注意事項#4¾本頁) A7 306937 _ B7 五、發明説明(10 ) 表面反應使用。意即•氣體基團與氣體雕子係合併使用於 RF簇射頭/電極方法中。利用此簇射頭/電極,則在所產 生之爾漿與基材間•低於1英吋之間隔有可能產生所期望 之CVD薄_。再者*此RF簇射頭/甯極方法,會使®漿保 持集中在簇射頭下方,且靠近基材· Μ搮供有效沉積。此 RF簇射頭係在13.56 MHz至低達450 KHz之RF頻率下使用 Ο 雖然本發明可使用多種不同鼋漿氣體與反應物氣體進行 •但已發規本發明特別可用Μ將含钛薄膜,例如鈍钛( Ti)、氣化钛(ΤΙΗ)及/或矽化钛(TiSi2)薄膜*沉積於 基材上*使用含有氫輿氮及/或解離之四氣化钛(TiCU )及氛(NH3>之基團與離子之霉漿進行。可將一種稀釋劑 ,例如氬氣,與電漿氣艚混合。除了 H2、》<2及HH3 Μ外之 不同電漿氣體,亦可根據本發明之原理’用以供應基團與 離子至根據本發明之表面反應。 在一項特殊具體實施例中,已發琨本發明可用W將钛薄 膜沉積在基材上之鋁曆上方。根據本發明之沉積溫度係足 夠低,Μ致鋁層於沉積期間不會因再涑動而受傷害。 於另一項特殊具體貢施例中•巳發規本發明可用Μ在一 種具有氧化物(氧化矽)層場之基材上產生钛之選擇性沉 積,該氧化物層係具有孔洞進人下方矽造型°於某些 情況下,已發現鈦僅沉積在孔洞中之矽喔上’而非顯著沉 積在該氧化物埸上。 根據本發明之各種硬體具體實施例,電獎可使用來自各 — 13 — 本紙張尺度適用中國國家橾準(CNS ) A4规格(210X297公釐) ---- --士h _ _ _ _ _ n τ ---I ,--- 良 .-0 务 (請先閲讀背面之注意事項々A寫本頁) 經濟部中央標準局員工消費合作社印製 經濟部中央標準局員工消費合作社印製 A7 B7 _ . -------....... .— 五、發明説明(11) 種能量來源之能量產生,包括微波與射頻(RF)來源。一 種硬《具髑*施例,係利用一種簇射頭/電極,其係使用 RF能量造成僱壓,以產生電漿。一種可能的上游電漿具體 實豳例,係利用一種市購可得之電漿來源,其具有環繞著 電槳區域之RF線圈。又另-種具體實豳例,係利用一種上 游微波電漿來源*其係使用微波能量* Μ逭距激發電漿。 然後將此遠距電漿沿著-支管子泵送,於此處形成活化基 _。於離開此管子並進入沉積室後,藉旋轉式感受器使基 團與反應物氣雅混合,並抽取至基材表面。 本發明及其特殊優點與特激,琨在於下文詳述並參考附 圃。 I 里 1^- 圖1為使用微波能量實際進行本發明方法所使用之上游 電漿加強沉積室之一項具體實施例之側面及部份横截面_ α _1Α為使用微波能量之上游電漿加強沉稹室之一種替代 具體實腌例園。 園2為使用RF簇射頭/電極賁際進行本發明方法所使用 之沉積室之一項具«實施例之俩面及部份横截面·。 _ 2Α為圏2構造之更詳细圈。 圏2Β為醒2橋造之替代具體實豳例。 圔3為使用RF能量之上游電漿加強沉積室之第二種具體 實施例之侧面與部份横截面國。 園4 Α與4Β個別為使用與未使用本發明上游活化基團進行 一 1 4 一 H民張尺度遙用中國國家標準(CNS ) A4規格(2Ι0Χ297公釐) I I.'_ I- - -I. 1^1 —^1 ^^1 .^ϋ I. . . n^i ^^1 I ! (請先閱讀背面之注意事項声彡i"本頁) 經濟部中央標準局員工消費合作社印製 荦34 1 0 W號專利申謓案 由ir說明書修正頁u 年4月)A7 B7五、發明説明() 沉積所必須之活化能量之A r r h e η丨u s函數圖。 圈5為俩別使用與未使用上游活化基團下,作為旋轉速 垄增加之函數之沉積速率增加圖。 _ 6為一顯微照Η,顯示在覆蓋於矽基材上方之氧化砂 暉中所成型之孔洞上*钛薄瞑之選擇性沉積。 5 代表反應器; 10 代表反應室罩框; 12 代表反應空間; 14 代表石英管; 1 5 代表Q0°彎管; 16 代表再部份; 1 8 代表小的直區段; 1ί) 代表出口端; 20 代表旋轉感受器; 21 代表機抽; 2 2 代表支撐基材; 2 3 代表基材表面; 2 4 代表微波能量來源; 2 6 代表微波導波管; 27 代表微玻能鼉; 2 8 代表通過區域; 2 9 代衷氡流; 3 0 代表垂直可調整簇射頭; 3 2 代表棑氣孔; (請先閱讀背面之注意事項再填寫本頁) -裝· "口
U I 線 -15- 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ 297公釐) 經濟部中央標準局員工消費合作社印製 396¾1含號專利由請案 由文說明書瞎ΐ百U 6年4月)A7 Β7 五、發明説明( 40 代 表 反 應 器 ; 42 代 表 沈 積 室 罩 框 4 3 代 表 罩 框 蓋 > 44 代 表 反 idag 應 空 間 4fi 代 表 旋 轉 式 感 受 H2 · W , 4 8 代 表 基 材 » 4 9 代 表 基 材 表 面 50 代 表 圓 柱 姐 裝 > 5 1 .代 表 圓 柱 體 > 5 2 代 表 m 射 頭 } 5 3 代 表 下 方 表 面 > 54 代 表 氣 體 注 入 環 * 5 6 代 表 多 個 Tfff 環 孔 t 57 代 表 RF 雷 塬 5 8 代 表 進 料 管 媒 組 裝; 60 代 表 m 緣 環 62 代 表 多 Μ 分 散 孔 1 6 4 代 表 管 線. > 代 表 氣 體 Μ 合 物 流線 68 代 表 氣 體 注 入 環 1 100 代 表 反 嗯 器 102 代 表 反 應 室 罩 框 f 1 0 4 代 表 應 空 間 » 10fi 代 表 垂 直 石 英 官 » —\ 5 ; (請先閱讀背面之注意事項再填寫本頁) -裝. 訂 k丨 線 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X 297公釐) 經濟部中央標準局員工消費合作社印袋 荦Μ 1 Ο 5 6 9 1號毐利由請察 Α7 由IT說明喜修7Η百t 8 6年4月) n B7五、發明説明() I 0 8代表微波導波結構; II 0代表水平區段; I 1 2代表微波來源; II 4代表斜角導波區段; 1 1 5代表覆蓋板; Π β代表垂直導波區段; 1 1 8代表板; 120代表岀□端; 1 2 2代表氣體分散蔟射頭; 1 2 4代表石英絕緣瑁; 1 28代表反.應物氣體《環或分散環; 1 3 0代表來源管線; U 2代表旋轉式感受器; 1 3 4代表基材; 1 3 8代表排氣孔; 1 42代表R卩蔟射頭/霄極装置; 144代表RF埭芯柱; 146代表上方表面; 1 4 8代表R F滾; 149代表煜接點; 1 5 0代表管件之附加長度; 1 5 2代表扃部凸淥; 1 5 4和1 5 β代表陶瓷隔離管; 1 5 8代表氡聘分配器蓋; (請先閱讀背面之注意事項再填寫本頁) 丨裝. --° 線 -15 b - 本紙張尺度適用中國國家標準(CN’S ) A4規格(210X 297公釐) 由寸說明書修正百(8年4月 A7 B7 五、發明説明( 經濟部中央標準局員工消費合作社印製 W0代表陶瓷密封板; 1 6 2代表兩涸不锈鋼夾; 1 (U代表彈簧墊圈/镙釘组装·, 186代表不锈鋼套圈; 邝8代表0型環; 1 7 0代表陶瓷密封體; 1 7 2代表Π型環; 1 7 4代表向下延伸之環形凸緣; 1 7 6代表下端; 1 7 8代表中央空間; 1 8 0代表冷卻夾套; 182和183代表0型環機軸密封; 1 8 4和1 8 5代表T E F L Ο Η壓縮配件; 1 8 6代表T E P L Ο Ν管件; 1 8 8代表帽蓋; U) 0代表馆充管; 1 9 2代表螺釘; 19 4和19 (5代表兩個聯销陶瓷隔離套筒; 1 9 8代表螺钉; 2 0 0代表羿屏繭之R F供暉壤; 2 0 2和2 0 4代表II H F連接器; 20fi代表規管電埭; 2 0 8代表不绣輯機軸套瑁; 2 1 0代表接地之金鼷屏蔽; I 5 c 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) -------ί丨裝------訂-----^ _線 (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作杜印製 荦Μ 1 Ο 5 6 91號專利申請案 由文說明書媒正頁U β年4月)Α7 Β7五、發明説明() 2 1 2代表聚合聘帽蓋; 2 2 0代表C V D装置; 2 2 2代表R F蔟射頭/霉極; 2 2 4代表R F進料線組装; 2 2 β代表圓柱姐装; 2 2 8代表萋材; 230代表感受器; 2 3 2代表謹框Μ ; 234代表R Ρ供應組装; 2 3β代表加熱管組裝; 237代表泠卻屯素; 2 3 8代表石英圓柱體; 239代表氣體分配器蓋; 2 40代表階梯吠孔; 2 4 1代表密封姐装; 2 4 2代表上端; 2 4 3和2 4 4代表0型環; 24R代表下端; 2 4«代表頊形凹口; 250代寿周_邊淥; 2 5 2代表芯柱; 2 5 4代表8 F埭管件; 2 5 5代表焊接ΙΑ ; 2 5 Β代衷S — R F埭; -------ί丨裝------訂-----^ .線 (請先閱讀背面之注意事項再填寫本頁) -1 Β rj - 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) 經濟部中央標準局員工消費合作杜印製 第Μ 1 Ο 5 fi 91號專利由請案 中节說明書修正百(8 β年4月)A7 B7 五、發明説明() 2 5 8代表耷摺; 2 6 0代表環形凹口 ; 2 R 2和2 R 4代表氣體®環或環; 2 6 ft代表稍微之苛琦; 2 6 8代表大致圓形之陶瓷盤; 2 7 0和2 7 ]代表支撐離套茼; 2 7 2代表絕緣層; 2 80代表沈積室; 2 8 2代表霄漿夾源; 2 84代表S框; 2 S R代表霄漿產生區域; 2 8 7和2 8 8代表氣體输人管線; 2 8 9和2 9 0代表氣體環; 2 9 2代表R F塊圏; 2 ί) 4代表R F夾源; 2 9 R代表沈》區域; 2 9 8代表垂直可調整之氣體蔟射頭; 300代表基材;及 3 0 2代寿旋餺式感受器。 發明註诚 太發明係包括利用活化氣體基團及/或活化氣鵂基團與 離子,W達成低溫C V D之方法逛装置。活化離子與基團之 適切使用,及所造成之低溫C V D方法,需要在基材表面上 具有有效密度之a團及/或離子。根據本發明係使用一種 (請先閱讀背面之注意事項再填寫本頁) -裝- 訂 線 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210_X 297公釐) 0 5β91號專利由講案 霄修正頁(86年4月) Α7 Β7 五、發明説明( 旋轉式 氣辑基 基材上 及荷霄 氣枝術 ,將薄 霄雄活 較佳 材表面 氡蹋滾 情況降 發生表 ,霄漿 ,因而 感受器 團向下 之表面 離子會 中*於 臟沉積 化,故 情況是 h,Κ 動,會 低,W 商反應 可在極 加強活 ,其使得 抽取至基 反應,Μ 對表面反 實質上低 在基材表 需要較少 ,在上游 參與低溫 使活化基 致有用密 及隨後形 靠近基材 化離子與 基材在沉 材表面。 沉積薄_ 應貢獻能 於使用熱 面上。而 熱能即可 雷漿產生 表面反應 團之碰撺 度之基團 成薄瞑。 處產生, 基團之有 橫室内部 基團與反 。此等活 量,Μ致 CVD技術 且,由於 完成表面 中,主要 。藉感受 及_後重 係被輸送 使用R F蔟 如進一步 效使用。 旋轉, 應物氣 並使活化 體係参與 化、電中性基團 種化學蒸 之溫度下 基團係被 使在 所可能 離子與 反應。 基團係 器所建 姐成安 至基材 射頭/ 於下文 本發明 存在於基 立之層状 定分子之 表面* Κ 電極方法 中討論者 係產生一 -------/ I裝------訂-----f 線 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 1 5f 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇Χ;!9·7公釐) 麵濟部中央標隼局員工消費合作、杜印製 A7 —____!Z_ 五、發明説明(13 ) 種CVD技術*在與傳統熱〔:V丨)技術比較下,其可在極低溫 度下達成,因而使其可*用於痛要低沉稹溫度之樓鱧電路 製造上。再者,本發明之方法係達成經改良之階次覆蓋率 及薄膜一致性,優於濺射沉積技術及其他CVD技術。本發 明可賴低溫C V D ,用Μ沉横各種不同薄但是,其特別 可用Μ在低溫下沉積含钛薄膜,例如氮化钛(TiN),及尤 其是純钛金鼸。 鬮1顯示上游電漿來源之一項具體實豳例’使用一種旋 轉式感受器Μ霣際進行本發明之上游電漿加強CVD 。圔1 之具髑實豳例,係利用一棰微波電漿來源以產生上游反應 物氣體電漿,自其中抽取必須之活化基團。反應器5係包 括一傾園繞著反應空間12之反應室罩框10。此罩框10可Μ 控制方式經抽空真至進行本發明所要之内部沉積壓力。欲 被激發之電漿氣體,例如,《氣(Η2> 、氮氣(Ν2)及/ 或氨(H Η 3 ),係經過石英管1 4被引進空間1 2 »罨漿管1 4為 L型,並具有長部份16,此部份係大致水平延伸,直到其 抵達90°彎管15為止。於90°彎管15後,一個小的直區段 18係垂直向下延伸,並具有-個在空間12中開放之出口端 19。翬框10亦含有一個旋轉感受器20,其係在聯結至馬達 (未示出)之機軸21丨:旋轉,Κ致使其旋轉速度可以調整 。感受器20係在反應空間]〇中支撐基材22。一個溫度控制 裝置(未示出)係聯结至感受器20·其係用以加熱基材 22至所期望之溫度。一種包括旋轉式感受器,用Μ進行本 發明方法之麵當反應器實例為旋轉盤反應器,可得自材料 —〗6 — 本紙張尺度適用中國國家橾準1 CNS ) Α4规格(210Χ297公釐) 裝 訂 n 線 (請毛閲讀背面之注意事項#A寫本頁) A7 306937 B7 五、發明説明(14 ) 研究公司(MRC) (Phoenix Arizona )。 一倨微波能量來源24係經過微波導波管26,聯結至電獎 管14。此導波管26會傅播微波能量27,從來源24至管14 * Μ在管14内部界定出激發區域28。電漿氣體係於末蝙13引 進管14,並沿著管14之長度蓮行,通過區域28,其中微波 能量22係被氣體吸收,以使氣體激發而形成電漿。於管 14中所產生之電漿係含有各種活化粒子,包括離子與活化 、電中性基團。例如,若將氫氣(Η 2)引進管1 4中,則會 產生含有自由電子(e — > 、氫離子(fT>及電中性、經活 化氫基團(Η-)之氫電漿,而氮氣(Ν2)則產生電子、氣 離子(Ν _ >及活化基圏(fT )。氨氣(Ν Η 3 )亦可用Μ產生 氫Η*與氮Ν*之基團。但是,正如下文更詳佃討論者,ΝΗ3 會與一呰反應物氣體(例如TiCU反應),而形成一種不 想要之加成物。因此,較佳係使鈍“及/或N2激發•並用 Μ達成低溫C V D 。 利用氫氣(Η2>作為電漿氣體,則電漿之產生會造成基 團Η * Μ及離子化之產生,如下述: 2H+ + 2e_ (離子化作用)(反應式1) 當經激發之氣體電漿沿著管34之水平區段埋行時,重姐作 用係根據下列反應2進行,此時電漿會消失,並經遇氫離 子與自由電子之姐合而產生另外之氫基團Η *。 ~ 1 7 — 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ 297公釐) ---------^------1Τ------^ (請先閱讀背面之注意事項-(SA寫本頁) 經滴部中央標準局員工消費合作杜印製 經濟部中央標準局員工消費合作社印製 A7 B7 — _ —……------------------------------ 五、發明説明(15) H- * e- ^ H* (重姐) (反應式2) 當時間進展時*可能根據反應式3發生第二次重姐。第 二次重組會產生不活性、安定氫氣體分子,其不會對表面 反應貢獻反廯能量。因此,在其重姐之前,使活化基團_ 送至基材22之表面23,是很重要的。 H* + 彳H2 (反應式3) 氩基團H*及竃漿之任何其他其餘氣體粒子,係環繞管 14之90°彎管15理行,並藉由慼受器20之旋轉,沿著垂直 區段1 8向下抽取,及經過出口 1 9離開而進入反應空間1 2 u 旋轉式感受器20,會在基材22之方向]:.,產生一種向下泵 送作用。此泵送作用會產生一種層狀流動之氣艚,覆蓄在 晶Η表面23上方,如箭頭29所示。 較佳情況是,感受器20係經操作以達成相配之氣體流動 狀態。在一種相配之氣黼流動中,«Q-Ι所指示之向下方 向上之氣《流動速率,係等於藉由Q-2所指示之水平方向 上之氣體流動速率。當此兩種氣體流動速率相等時,即發 生相配流動。相配流動之其他討論事項,係揭示於待審之 Φ諫案中,欏埋為”一種在低溫下進行氮化钛薄膜之化學 蒸氣沉積之方法,’,序號08/1 3 1,900 .1 993年10月5日提 出申謫,此申請菜係併於本文供參考。 對於一棰根據本發明原理之有效CVD反應而言,一般期 一 1 8 ~ 本紙張尺度賴巾關家縣(CNS) Α4規格(21GX297公着) ---------^------iT------0 (請先閱讀背面之注意事項声A寫本頁) 經濟部中央標隼局員工消費合作杜印製 A7 B7 五、發明説明(16 ) 望抵達基材22之電漿氣體,含有大1Ϊ分比之基_,且較佳 為8 0 或更多活化基團,以姐成計。此一高基團姐成物* 需要在最小重姐下,將電漿氣體向下抽取至基材22。基團 之最大利用性,係藉由旋_式感受器20所建立之層狀流動 達成。已經由實驗測定出感受器20之層吠流動型式*會使 得氣體反應物之回流降至最低,旦特別是使得活化氣體基 團在基材表面2 3上之同流降至最低。於是,經極小化之回 流,會使得活化基團之氣相醱撞降至最低*及因此•降低 基團重組而形成安定分子之速率〇意即,根據上文反應 式3重姐成Η2之鼉會降低。結果,有較大密度之有用活化 基團可在基材表面2 3 t供利用,Μ對化學表面反應供應能 鼉,及降低薄嶼之化學蒸氣沉横中所需之熱能。於是,本 發明係有效地降低沉積溫度。 當氣體基團被引進空間1 2中時,即引進反應物氣體,例 如經由圖1中所示之垂直可調整簇射頭30。例如,為沉横 含钛薄鎮,故引進一棰四鹵化钛氣體•例如四氯化鈦( TiCl4)、四溴化鈦(TiB「4>或四碘化钛(TiU> ,且較佳 為TiCU 。對於一純呔層而言*可將H2激發成電漿,且可 將TiCU引進反應空間12中。然後,在空間12中,通常在 感受器20與基材22上方•發生H*與TiCU之混合物。感受 器20之泵送作用,會使混合物K層狀流動方式,向下抽取 至基材表面23,且纆活化之與TiCl4將會在表面23處反 應,而在基材22上沉積薄膜。氫基團將會根據反應式4 ,對表面反應供應能量。 -19 — 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公嫠) ---------批衣------1T------^ (請先閱請背面之注意事項声彡4寫本頁) 經濟部中央標隼局員工消費合作社印製 A7 B7 五、發明説明(17 ) 4H* + TiCU -> Ti + 4HC1 (反應式 4) 此反應將會在基材表面23上產生呔(Ti)之薄膜,且薩 酸(HC1)可經由缠當排氣口 32而移除。賴活化基團對反應 式4之反應所貢獻之能量•將會在降低之沉積溫度下達成 CVD薄膜。 雖然上文所述之本發明實例*可在基材22上產生純呔層 ,但亦可根據本發明之原理*沉積含钛或含其他所要元素 之各種其他材料層。例如可沉積氮化鈦(TiN) *其方式是 將氫(H2)與氮引進電漿產生管14,Μ產生H*輿 『基團。再者,可將氨氣(ΝΗ3)激發,並解離成含Ηβ輿 Ν5*基團之電漿。與氱氣電漿粒子之重姐類似,Ν*基團最後 將合併成氮分子(Ν2),除非迅速向下抽取至基材之表面 23。另一項實例是,亦可根據本發明之原理,沉積矽化紋 (T i S i 2 )。於此一情況中,可將矽烷氣體(S i fU)與含钦 氣體(例如TiCU)—起引進反應空間12中。此外•可使用 _1之裝置及所述之方法•沉稹婉(V> 。闞於產生氮化故 與矽化钛之化學反應實例,係個別示於下列反應式5輿6 中 〇
TiCU + N* +4H* TiN + 4HC1 (反懕式 5)
TiCU ♦ 2SiH4 +4H* TiSi2 ♦ 4HC1 ♦ 4H2 (反應式 —20 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) ---------装------'玎-------0 (請先閱讀背面之注意夢項^¾寫本頁} 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(18 ) 6) _1之微波電漿沉積裝置,係用Μ沉積一曆«,且進行 數項試驗,Μ測定此方法之遴用性》將已激發之電漿於匾 域2 8附近點火,並向下游運行·經遇管14進入反應空間 1 2。當此電漿沿著石英管1 4埋行時》其係在微波激發區域 28之下游消失,此表示已發生激發電漿粒子之重姐*例如 根據上文反懕式2 ,而產生其他氫基團。此氫基團随後係 藉旋轉式感受器20,向下抽取至基材表面23。同時•經遇 氣孔29,引進六氟化鎢(WFe)。一種沉積反懕係根據下列 反應式7進行,Μ在基材22 1:沉積一層鎢。 WFe + 6Η* 分 W + 6HF (反應式 7) 為證實氫基圈真正抵達基材表面23,且有肋於CVD程序 •故進行活化能比較。詳言之,係度量鎢沉積速率,作為 基材溫度之函數。闞閉微波電源旦無罨漿,Μ及打開微波 電源Μ產生電漿與氫基團,進行此項度量。所度量之數據 •係Μ對數Arrhenius函數,繪團於圈4Α與4Β中,意即以 lr>(k)對1/T作匾,其中k為反應速率常數且T為絕對溫 度。關於涸別由圖4A與4B所示之無電漿與電漿沉積之程序 及沉積參數,如r : Η 2 速率=2,0 0 0 s c c m WFe 速率=225 s(*cm -21 - 本紙張尺度適用中國國家樣隼(CNS)A4規格(210x29フ公t) ---------批衣------ir------0 (請先閱讀背面之注意事項声彡人巧本頁) 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(19 ) 壓力=4托 感受器之旋轉速率=30 RPM 微波電源=900瓦特 從此等簧驗,及所形成之Arrhenius函數 > 計算其活化 能Eβ。對熱程序而言,意即,使用闞閉之微波電源· Εβ = 6 7. 1千焦耳/舆耳-°K 。但是•當打開微波電源K產 生電漿時|闞於此沉積程序所必須之活性能,僅為 Εβ = 63.2千焦耳/奠耳-°Κ 。在此電漿與_電漿沉積程序 間,於活化能Εβ上之降低,係表示經活化之氫基團正抵達 基材表面,並根據本發明之原理,參與表面反應。當利用 活化基團所必須之降低的活化能,會造成闞於CVD程序所 必須之沉積溫度t之降低。如上所討論者,較低沉積溫度 係為需要沉積溫度低於 650T:之溫度敏感性罨路之積體電 路製造t所期望的。 餽之沉積速率*亦Μ感受器旋轉速率或基材旋轉速率之 函數作匾。圈5係說明關於熱程序之沉積速率*係如所預 期之方式,嫌著增加旋轉速率而增加。此係由於Μ下事實 所致,分子反應物係在較高速率下被泵送至旋轉中之基材 表面。但是,對於本發明之t.游基團輔助之程序而言*當 旋轉速率增加時,沉積速率會更急驟地增加。意即•有一 倨超過藉旋轉基材所造成之反應物基本泵送之作用,其會 產生增加之沉積速率。使用本發明之上游電漿方法,已測 定出賴由旋轉式感受器所提供之層狀氣體流動型式,會使 得氣栢碰撞降至最低•及因而降低所必須的活化氫基團 -22- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公蝰) 裝 : 訂 線 (請先閱讀背面之注意事項声彡,寫本頁) 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(2〇 ) 重姐而形成氫分子H2之速率。於本發明之上游方法中, 基團之有效鑰送至基材表面,係為在電漿加強之CVD上之 一項重要進步。大部份活化基團係被帶至基材表面· Μ進 行表面沉積反應。因此,不僅活化基團確實會貢獻能量, 並降低其沉積溫度,而且賴由感受器20之曆狀氣體流動而 被綸送至基材之高密度基團,會進一步降低沉積溫度至低 於熱CVD技術之不實用高溫度。 園U顬示一種替代之C V D構造,其係利用一種上游微波 來源Μ產生活化氣體基團。反應器100係包括一髑園繞著 反應空間104之反應室罩框102 。與_1之反應器5 —樣 ,此罩框可Μ控制方式抽輿空至所要之内部沉積壓力。將 電漿氣體引進一支垂直石英管106中。一偁微波等波結構 108 ,係聯结至石英管106 。等波結構108係包括一儷水 平區段110 ,其包括一個微波來源112 。一倨斜角導波匾 段114係將水平區段110連接至垂直導波區段116 。石英 管106係延伸經遇該斜角區段114中之開孔(未示出}, 並延伸經過區段114及垂直區段116 ,於此處延伸經過罩 框102之上方覆蓋板115 。石英管106係延伸經遇板118 ,並終止於位在氣體分散簇射頭1 2 2 t.方之出口端1 2 0 。 簇射頭122係連接至-個石英絕緣環124 ,其係連接此簇 射頭122至反應器軍框10 2之蓋子U5 。亦配置於簇射頭 1 22上方且鄹近石英管1 06之出口端1 20者,為一個反應 物氣體晕環或分散環128 >其具有多個用Κ分散反應物氣 體之開孔。一涸來源管線130係連接至環128 ,Μ將反應 —2 3 一 本紙張尺度適用中國國家橾準(CNS ) Α4規格(210X25*7公釐) 批衣 : 訂 务 (請先閱讀背面之注意事項-"Α寫本頁) 經濟部中央標準局員工消費合作杜印製 A7 __________B7_ 五、發明説明(21 ) 物氣體(例如T i C U )鑰送至環1 2 8 ° 於導波區段]10内之微波來源112 ’可為一種磁控管或 在微波頻率下會產生能量之任何其他應當來源。例如’可 將-種同軸等波拾波器(未示出)連接至水平等波區段 11 0之一端,K產生必須之撖波能最。 _1A之上游微波電漿來源與反廯器〗〇〇 ,係以稍微類似 _ 1中反懕器5之方式進行操作。意即’將一種電漿氣體 ,例如氫氣、氮氣及/或氨氣’引進石英管〗中,並沿 著石英管106運行,且經遇微波等波结幢〗〇8 * Μ致使氣 體在管106之區段或區域内被激發成電漿。一個旋轉式感 受器1 3 2係支撐基材1 3 4 ,位於簇射頭丨2 2與暈環1 2 8之 下方。與圓1之旋轉式感受器類似,感受器132係聯结至 —個溫度控制裝置(未示出),其會將基材134加熱至所 要之溫度。再者,感受器132係藉櫬軸134聯結至馬達( 未示出),以致可按需要設定感受器132之旋轉。此旋轉 式感受器,係泵送來自石英管〗06之末蝙120之活化基團 •及來自瑁128之反應物氣_,經遇簇射頭122 · Μ在基 材134上反應及沉稹薄膜層。較佳情況是,抵達基材134 之大部份活化電漿粒子係為活化基團,其會對表面反應貢 獻能量,Μ達成低溫CVD 。其餘未被利用之氣體•係經邊 排氣孔1 3 8排氣。 «1$旋轉式感受器與上游電漿來源合併之層狀氣體流動 *會產生期望之基團密度•但鈦之低溫CVD方法,亦已使 用—種經僱壓之氣_分散簇射頭作為RFS極而達成,以產 一 2 4 一 本紙張尺度適用中國國家榡準(CNS ) Α4規格(2ωχ 297公缝〉 裝 : : 訂 I 务 (請先閱讀背面之注意事項再冬寫本頁) A7 3〇6S37 ~~~~~~----_ 五、發明説明(22) 生靠近此基材之離子與基團之電漿,M致使雕子與基圈有 助於低溜表面反應。因此,圔2顯示一種C V D反應器之較 佳具體貢施例,根據本發明之原理,使用活化基圑與離子 達成低溫沉積。參考園2 ,反應器40係包括一俩沉積室單 框42及簞框蓋43,界定出一個反應空間44。罩框42亦顧繞 著一個旋轉式感受器46,其係將基材48支撐在空間44中。 與圈1之反應器類似,反應器40可被選揮性地抽氣至各種 不同内壓,而感受器4 6係個別地_結至可諝整之热與旋轉 控剌器,Μ在不同溫度與速度下加熱及旋轉基材48。 從罩框42頂部向下延伸者,為圓柱姐装50*其係連接至 —個簇射頭52。簇射頭5 2係懸掛在基材48上方。將欲被激 發成電漿之氣體,纆過氣體注人瑁54,引進圓柱姐裝50中 •經遇多個環孔56。環54係藉由管線55,連接至電漿氣體 供應處。簇射頭52係藉由進料管線姐装58而聯結至RF電源 *該姐裝58係延伸經過圃柱姐裝50至簇射頭52。圓柱姐装 係包括一僩圆柱體51及絕緣瑁60,此絕緣環係將圚柱體 51與簇射頭52隔開,其理由於下文討論。於反應器40之一 項具體實施例中,圓柱體51係以電方式接地。RF能量會使 簇射頭/電極52形成餳壓,Κ致其係充作一個電極並具有 -個相闞聯之RF場。簇射頭/電極52較佳為約0.25英时厚 •並含有大約300-60 0個分散孔62。經過電漿氣體注入環 54所引進之氣體,會在圓柱體51中向下潦動由經僱壓 之簇射頭/電極52所建立之RF場,會使氣體激發,Μ致霣 漿係在簇射頭/電極52之下方表面53之下方產生。較佳情 _____—25 — 本紙張尺度遠用中國國家榡率(CNS ) Α4規格(210Χ297公釐) 裝 訂 線 (請先閱讀背面之注意事項再\寫本頁) 經濟部中央標隼局員工消費合作社印掣 經濟部中央標準扃員工消費合作社印裝 A7 B7 五、發明説明(23 ) 況是*簇射頭分散孔62之尺寸係稍小於傅統氣體簇射頭之 氣體分敝孔,Μ防止在孔62中產生電漿,否則其會造成在 孔中沉積*及_後囊擊基材48。再者,此簇射頭52之較小 孔62,會防止在簇射頭52上方*於圆柱體51内側形成電猜 ,因而使霣漿集中在簇射頭/電極52下方且靠近基材48。 此簇射頭62,在一較佳具體實施例中*係定尺寸為約 1/32英吋寬。圓柱«51較佳係具有如簇射頭/電極52之相 同直徑· Μ將《漿與反應物氣體擴展在整個簇射頭52上方 〇 將反應物氣體(例如TiCU)經過璁f>6引進,該環66大致 與環5 4同心,並藉管線(5 4連接至反應物氣體來源。來自注 入器環54與66之氣體流動,當此氣«邏行至簇射頭/電極 52時,會在圚柱體51之長度方向内展闋。利用旋轉式感受 器46、園柱體5丨、及簇射頭./霣極52,對於通過簇射顗 52之進入的電漿氣體之速度形態而言,較佳係在其抵達該 旋_中之基材48之前,已被完全展開。簇射頭/電極52與 基材48·係間隔0.25至4英时之間,以確保電漿係靠近基 材48°較佳情況是,此間隔係在1英吋以下,且在一項較 佳具體實施例中為約20亳米。當氣體通遇簇射頭/罨極 52時’横越此蔟射頭/電極52之壓力降落,係從氣_之速 度形11上變為平坦。意即•此氣體在簇射頭/電極52之中 心® •具有與環繞其周圍處相同速度之傾向。這是在基材 表面49 h均勻沉積薄膜所期望的。電漿氣體通過簇射頭/ ® 5 2 ’並於邮近簇射頭/電極5 2之底部側面5 3,被激發 ___________一 2 6 ~~ 本紙張尺度適用中國國家橾车(CNS )八4規格(21〇χ297公釐) ---------种衣--------IT------0 (請先閲讀背面之注意事項本頁) A7 B7 經濟部中央標隼局員工消費合作社印製 ,94、五、發明説明() 成鼋獎。如上述,已發琨RF電漿可使用低達450 KHz及高 達13.56 MHz之RF能量_行瀲發’且本乎並非特別 是對頻率敏感的。 若感受器46係使用園2之沉積_造進行旋轉’財』此旋轉 式感受器46之泵送作用’係發生往簾射頭/電極52之下方 。於如圖2中所示之本發明具_實腌例中’ 518特地使用極 靠近基材48之簇射頭/電極52*會產生濃霉獎’其中很大 密度之有用氣體基團與離子,係貼近基材表面49。使用圓 2之RF簇射頭/霉極構造’已發規在旋轉此感受器46超過 大約100 rpm時,似乎並未獲得顯著之加強作用。但是· 亦已發現在0 rp·之旋轉速率下,雖然並未急»地影響其 沉積速率,但會降低反應物與電獎氣體流動之均句性’及 随後之沉積。一般而言’闞於利用R*7族射頭/電極之沉積 構造,可使用〇興2,000 rp·間之基材旋轉速率。 正如進一步於下文所說明者,已證實大約100 rp之感 受器旋轉速率,足供沉横使用。錐然在上游電獎產生方法 中,較佳係僅利用基團’但在使用RF餐射頭/電極52之沉 積期間,則基團與醵子均存在。意即,離子與基團均會對 表面反應供應能最。雖然通常不期望僅使用離子’此係由 於其具有黏附至接觸與孔洞表面及產生不一致薄膜之傾向 ,但基材48之一些_ f «擊是有利的*因其會對基材48之 表面49 h之成長中薄膜靨供應額外能量。但是,基材48之 太多離子轟擊,可能會傷害基材48之横體電路裝置•且可 能會導致不良薄膜一致性。因此,沉積參數與簇射頭間限 -2 7- 本紙張尺度適用中國國家揉準(CNS ) Λ4规格(210X 297公釐 (請先閱讀背面之注意事項再\寫本頁) -裝. 訂 線 經濟部中央標準局員工消費合作社印掣 όϋβ〇37 at Β7 五、發明説明(25 ) 係按本文中所述加以選擇’ Μ達成基團與離子之有用混合 物。如上文所討論者,對_2之構造而言,其間隔係在1 英吋以下,且較佳為約20奄米。 將反應物氣體(例如Ti(:U>經過另-個氣_瑁66*引進 圓柱《51中。此反應物氣體係沿著圓柱«51之長度方向而 向下運行•且當其通ft簇射頭52之開孔62時,亦被藉由族 射頭/ ¾極52所建立之RF場所激發。反應物氣體係伴嫌著 經激發電漿之基團輿纖子,趣行至基材48之表面。此基團 、鐮子及激發之反應物氣體粒子*係在基材48之表面處反 應,Μ在基材48上沉稹薄_,例如含呔薄_。 由於簇射頭/電極52與基材48之緊密間隔,且併用圖柱 體51 ·故從簇射頭52發出之氣臞混合物流線65*係靠近基 材48,Μ提供有效沉稹,並降低迂邂在基材48旁之氣體混 合物量 <> 意即•氣體之邊界靨極小,其係定義為氣體流線 65下方之體積或空間,相對於感受器46其係停滯的或不移 _ °因此,大百分比之基團、離子及反應物氣體粒子係使 用於表面反應中,且因此,CVD程序之效率及沉積速率會 增加。 使用充作RF電極之蔟射頭/電極52,於基材48上產生更 漿•因此加強基團與濉子密度在基材48上之均勻性 ’及經沉橫薄膜之均勻性。於圈2 ' 2 Α及2 Β之R F簇射頭/ 電極構造中,當旋轉速率與進人之電漿及反應物氣體潦動 相E配時’意即相配氣體流動,則其沉積速率抵達最大值 °因此’一般期望當感受器旋轉時,抵達相配流動。 —2 8 — 本紙張尺度適用中關家鱗(CNS ) A4規格(2]QX 297公釐 (請先閱讀背面之注意事項乒\寫本頁) 裝 、1Τ 經濟部中央標孪局員工消費合作社印製 Α7 Β7 i、發明説明(26 ) 園2A係揭示一種類似圔2構造之RF簇射頭/罨極構造, 惟較為詳畑。於_2與2 A之間,儘可能利用類似參考數字 。_2A之構造係類似待審之美圔專利申講菜序號 08/166,745中所掲示之結構,其揭示内容完全併於本文供 參考。 於圏2A中,係Μ切蹰方式顧示一部份CVD沉積室罩框 42,於其上裝載RF簇射頭/電極裝置142 ,此裝置係用Μ 進行本發明之低溜沉稹。熟諸此藝者應明瞭的是,欲描述 之某些特激可能Μ於本發明之一或多項,但非全部之具雅 賞拖例。於國2Α中,簇射顗/霉極52係包括一僩裝載於其 上之R F線芯柱1 4 4 。正如將更詳綑討論者*此R F線芯柱 144為構成RF進料線組裝58之數倨姐件之一。此RF進料線 姐裝58亦充作一僩加熱管·以傅等热适難簇射頭/電極 52,其亦於下文進一步討論。較佳情況是,線芯柱144係 Μ同心方式機製在内,且係與簇射頭/轚極52之上方表面 146為一鏊體,Μ增加RF信號傳等及熱傳導效率》RP線 148係包括線芯柱144及經焊接於其上之管件150之附加 長度,以達成所要的RF線148之總長度。焊接點係表示於 149 。較佳情況是,簇射頭/電極52與整體線芯柱144係 由鎳- 200所製成,而RF線管件150則由一種高度傅導性材 料所製成*例如6061 -Τ6鋁。但是,熟諳此藝者應明瞭的 是·其他材料可供RF線150使用*例如練200 。於一項具 體實施例中,RF線148係由經鐲塗佈之鋁所製成,Κ防止 RF電漿在本發明之霉漿加強之CVD反應期間*於該圓柱姐 —2 9 一 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) ---------批衣------,玎------m (請先閱讀背面之注意事項再\寫本頁) 經濟部中央標準局員工消費合作社印製 A7 —___!Z__ 五、發明説明(27 ) 裝50之圓柱體51内形成。較佳情況是,此簇射頭/電極為 約0 . 2 5英时厚。 簇射顗極52係Μ氣體分散孔62之型式穿孔,K在 CVD處理期間均匀地分佈反應物與爾漿氣體。如圏2Α中所 示·直立之RF線芯柱1 44係罠有一倨周圃肩部凸緣152 · 鄰近且平行於簇射頭/電極52。凸緣152係間隔配置於蔟 射頭/電極上方表面146之上方•並允許氣體分散孔型式 延伸至肩部凸緣152之下方,使得氣體流動干播率降 至最低。再者,此凸緣152有肋於RF能量沿著線148傳導 至簇射頭/電極52,幫助冷卻蔟射頭/電極52*及提供對 於隔鑣管154 、156之機械支撐。此簇射頭電極構造之一 種替代具體實腌例,係免除凸緣152 ,如麵2Β中所示。 圓2Α之RF簇射頭/電極裝置142 ,進一步包括僩別為第 一個與第二個陶瓷隔離管154 、156 ·其係與RF線148同 心*且環繞至少一部份R F嫌1 4 8 。如所示,陶瓷隔雕管 154 、156係藉周圍0部凸緣152所支撐。管154 、156 較佳係由氧化鋁(99.7Χ AU03>所形成,其易於市瞒得自 例如C00rs陶瓷公司(Golden· Colorado)。此等隔難管 1 54 、156之一項功能•係為防止RF電漿在CVD處理期間 於RF線148附近形成,其方式是使RF線148與圓柱姐装 50中之電漿及反應物氣體隔離。正如可以明瞭的,一般係 期望防止任何電漿在圓柱組裝50内形成,以使菫漿集中在 簾射頭/電極52之下方。因此,隔艨管154 、156係用Μ 防止此一雷漿在圓柱姐裝50之内部形成。此外,及如下文 ______一 3 0 — 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐)' ---------t------.1T------0 (請先閱讀背面之注意事項寫本頁) 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(28) 所更完整描述者,隔離管1.54 、156有肋於防止在RF線 148通遇氣體分配器蓋158之位置處,於氣體分配器藎 158 (其係處於接地甯位)與RF線148之間形成罨短路。 氣體分配器蓋〗58係藉許多鏍釘〗50 ,裝載於罩框42上。 如圈2A中所示,氣體注入環或晕環,例如環54、66 (M透 視顯示> •係位於稍低於氣體分配器Μ 158之處,並供應 CVD反應及電漿氣體至臑柱姐裝50之内部。氣髑注射環 54、66可為兩姐用Μ引進數種反應物氣《之多個同心環。 --個密封係防止在RP線148逋過氣體分配器蓋〗58之位 置處造成輿空滲漏。此係賴由一俩機軸密封及一個凸緣密 封達成。如圈2Α中所示,一儸陶瓷密封板160係藉兩個不 綉_夾162向下壓。夾子162係»禪簧墊圈/嫘钉姐裝 164造成對分配器蓋158僑斜,Μ在密封組件上獲得預定 向下力,Μ確保瑭當封合· Κ調節密封姐件中之容許堆積 ’及吸收由於可能在CVD處理期間所發生之熱臃脹所致之 尺寸改變。密封板1 6 0會向下壓縮一個不绣鋼套圏,其依 次會向下壓縮一個位在陶瓷密封體170中之0型瑁168 。 藉夾子162所施加在密封板16 0上之向Τ力,亦會迫使密 封體170向下靠著氣體分配器蓋】58 ,其會壓纗位於密封 體170與氣髑分配器蓋158間之0型環172 。應注意的是 ’密封體170具有一假向下延伸之環形凸緣174 ·其係環 繞著RF線148 ,於其通過氣體分配器蓋158之整個長度上 。環形凸緣174之下端176 ,係向下延伸至其碰到陶瓷隔 離管1 54之點。如所示,外側陶瓷隔霱管1 56係比隔雕管 __________— 3 Ί — 本紙張尺度逋用中國國家標準(CNS ) Α4規格(2丨0Χ 297公釐) ---------^-------ΐτ------^ (請先鬩讀背面之注意事項再i-;<i本頁) A7 3()6937 ---_ 五、發明説明(29) 154延伸得更為向上,Μ致在氣體分配器蓋158與RP線 148之間,無直接線路。這在使用RF線148以推動簇射頭 /電極52時,會防止產生電弧。 RF線148亦具有加熱管結構之功能。闞於加熱管結構· 此種裝置為本身已知者,且在本發明中,此加熱管結構係 用Κ將熱從蔟射頭/電極52帶走,此热係藉由來自經加熱 感受器46之輻射能,Κ及_由豳加至簇射頭/電極之RF能 最所產生。RF線148之中央空間178 ,係具有一種毛氈或 其他遘當毛细管芯吸材料内期(未示出)。空間178係於 其中使用一種液體(例如丙酮)•在液_本身之蒸氣壓下 進行密封,該液體係進入該毛细管材料之孔隙,潤濕RF線 148之所有内部表面。藉在沿著RF繚長度上之任何點腌加 熱*則在該點上之液體會沸嫌並進入氣態。當其發生時, 於芯吸材料中之液體會捕獲氣化之潛熱•於是處在較高壓 力下之蒸氣,會在密封管内部移動至較冷位置,其會在此 處凝結並再進入内期中。因此•蒸氣會捨棄其氣化之潛熱 ’並將熱從該加熱管結構之”輸入”端移動至其”输出”端 。-個一般參考架構是,可將熱在約500 mph之速率下, 沿著加熱管移動。 參考圔2A中所利用之特殊構造*加热管結構之入”鳙 係為附加至簇射頭/窜極52之端_出”端係為園2A中所 示之上端,其具有一僩繞著它而密封之液艚冷卻夾套180 。密封係藉〇型環櫬袖密封182與183達成。冷卻夾套 180較佳為一棰聚合體材料•並具有TE[?L0N壓縮配件184 —3 2 — 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公缝) (請也閱讀背面之注意事項再〜寫本頁) &'?濟部中央標準局員工消費合作杜印聚 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(3Q) 與185 ,此配件係使TEFLON管件186連接至冷卻夾套180 。一種遘當冷卻液體,例如水,係流經管件186與冷卻夾 套180 ,Μ將热從評線148帶走。這允許該冷卻液體與 RF線148直接接觸,Κ獲得熱從線148之有效傅等。此外 ,使用此種構造,則(:V D反應器室從來不會有招致内部冷 卻劑滲漏之可能性,也不會因RF攜帶之液體而對金靨管件 有任何腐蝕作用。如所述,通過TEFLON管件186並將熱從 RF線148帶走之液體可為水*惟可使用多種流體,依欲被 傳等逭離線148之热而定。RF線148亦包括一個帽蓋188 •其係被焊接在應當位置上,並具有一儸填充管190 ,以 將所要之流體填入内部空間178中。一棰逋當市_可得之 加熱管,可得自 Ther_ocore公司(Lancaster, PA.)。 如圖2A中所示,係利用一種鋁製圖柱髑51,K改變簇射 頭/電極基材間隔。簇射頭/鬣極52係藉螺訂192固定至 圓柱體51,該螺盯較佳係由一種於RF霄漿存在下不會腐蝕 之材料所製成。一種此種材料為Hastelloy C-22,其為 H a n e s _際公司(Κ 〇 k 〇 m ο , 1 N .)之商檷名稱。由此種材料 所製成之薄當螺訂,可得自p Unac le製造公司(Tempe, AZ .) 石英環60係以電方式隔離簇射頭/電極52輿鋁製圖 柱體5 1。一種供環6 〇用之適當品質石英,為Q u a r t z T08-E ’ 其可得自 Hereaus Amersil (Teeipe, Arizona ) n處於接地電位之螺釘192 ,係賴兩僩聯鎖陶瓷隔離套简 194與196 ,而與簇射頭/電極52隔鐮。對隔離環6〇使用 是因為其對於熱衡擊之顯著抵抗性。這可能是很重 一 3 3 一 本紙張尺度適用中國國家榡準(CNS ) a料見格(210X297公釐) 裝 Ί 線 (請先聞讀背面之注意事項再〜寫本頁) 經濟部中央標隼局員工消費合作社印製 A7 B7______ 五、發明説明( 要的,因為在石英瓖60下方之RF簇射頭/電極52,變得受 加熱至較高溫度,且比石英環60上方之鋁製圓柱《151更快 速,因而在環60中引致熱衝擊及應力。螺釘198 ,其可由 與螺釘192相同之材料製成,係用以將鋁製圓柱體51附加 至箪框42上。如1:文所討論者,可使用各種長度之圓柱體 51,Μ改變簇射頭/電棰至基材之間隔。圊柱體51之長度 較佳係烴選擇,Μ將簇射頭/電極52置於感受器46之1英 时内。 RF能量係_由包括芯柱144與管150之RF進料線姐装 5δ ·傳等至簇射頭/電極52。隔_管1 54 、1 56必須經電 隔離,及防止管件150與金_罩框42之任何零件(包括分 配器蓋158 )間之形成電弧。再者,此裝置係包括一届環 繞管件150之密封,其位置係在該管件通過分配器蓋158 之處,如上文所述及圔2 Α中所示。 RP能量係纆遇一僩烴屛敲之RF供應電纗200所供應,該 電續係連接至R F竃源5 7 (未示於圈2 A中 >,並在一端1:具 有一個UHP連接器。連接器202係搭配另一傾UHF連接器 204 ,後者依次纆由12規管電線206之長度* _结至一倨 裝載在RF線148上鏞之不绣_機軸套瑁208 。使用此種配 置,對於RF罨流之流動,有最小電阻。RF線148中經暴露 於機轴套環208上方之Η段,係賴一個聚合體帽蓋212 · 與接地之金靨屏蔽210隔艫。咸信此裝置能夠在450 KHz 至13.56 MHz下,_送250-300瓦特之RF動力。 圖2B顧示一届用以進行本發明之RF簇射頭/電極構造之 •^0··» ^ P·— 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) ---------扣衣------.玎------.^ (請先閱讀背面之注意事項再〜寫本頁) 經濟部中央標皁局員工消費合作社印製 A7 B7 五、發明説明(32 ) 替代具體實施例。圖2B之CVD装置220 ,係W類似國2與 2A中所示装置之方式掸作。囂即,RF簇射頭/電極222係 藉RF進料線姐装224形成偏鼴,同時電漿與反應物氣體係 經過一儼阓柱姐裝226 ,泵送至感受器230上之基材228 。但是,圖2B之具_實施例係免除_2與2A之金靨圚柱體 51及絕緣瑁60,同時當簇射頭222經鴒壓成為電極時,會 防止在接近RF線之圓柱組裝226内部形成電弧,並防止在 圓柱姐装226内形成不期望之電漿。圈2B之具體實施例係 利用一儸單框,例如一種類似圔2之罩框42,其包括一僩 羅框蓋2 3 2 ,及包括一偁RF供應組裝234 * —倨具有冷卻 夾套237與相«聯的溁«供應線之加熱管姐装236 ,及一 «具有密封姐装241之氣體分配器蓋239 *全部大致類似 國2之個別姐件。但是,園柱姐装226並未包括如画2中 所示之金麵«柱體51及絕緣環60。而是,一種由絕緣材料 (例如石英)所製成之圓柱體238 ,環繞著RF進料線姐装 224 〇 圚柱驩238較佳係調製自一種高品質石英,例如Quartz T08-E ,其可得自如上述之Hereaus Aiersil 。石英圚柱 體238係藉一届由傅導金黼(例如Nicke卜200)所製成之 辣簇射頭/霉極222所支撐•無需使用園2輿2A具嬲實施 例中所使用之嫘釘或其他固定器。詳言之,在罩框蓋232 内形成一個階梯狀孔240 ,W容納圃柱體238之上端242 。〇型瑁243 、244係置於階梯孔240與圓柱體238間之 界面庵,以在此界面上形成一個密封。於圖柱體238之下 -35 - 本紙張尺度適用中國國家標準(CNS )八4規格(210X2<)7公t > ---------批衣------ir------it (請先閲讀背面之注意事項再〜寫本頁) A7 30693'? _El 五、發明説明(33 ) 端246 *在圚柱« 238形成一個環形凹口 248 ,以容納簾 射頭/電極222之周園邊緣250 。圓柱H 238之凹口 248 ,係置於簇射頭/電極2 2 2之周園邊緣2 5 0上。簇射頭/ 電極2 2 2係包括一僩芯柱2 5 2 ,其係連接至R F線管件2 5 4 ,例如賴由在2 5 5處之焊接,而形成單一 R P線2 5 6 。R F線 256係Μ摩擦方式保持著,並於其頂端藉套環258支撐, 此套環係類似圖2Α之套瓖208 3此RF線,係依次,支撐簾 射頭/電極222高於感受器230 。簇射頭/電極222 ,係 依次,賴由在凹口 248處鄰近圚柱體238並使其保持在孔 240中,而使圓柱雅238支撐在圓柱姐装226中。於簇射 頭/罨極周_邊緣250與圚柱體凹口 248間之界面,係賴 一個壓縮之0型環258密封,其係在攔板248與一個在簾 射頭/電極222之周圍邊緣250中所形成之類似相應環形 凹口 26 0之間被壓縮。與圓2與2Α之具髓實施例類似,多 個氣體*環或環262 264 ,係將必須之霉漿與反應物氣 體引進圓柱體238中 圔2Β之具體實豳例,不必使用金靨螺釘,即可使圆柱體 238連接至3框蓋232 ,並使簇射頭/電搔222連接至圓 柱體238 。此會進一步降低圓柱_ 2 38内部形成電弧之可 能性,因為降低金靨貼近經傾壓之RF簇射頭/電極222 。 再者,其不必在簇射頭周_邊緣250處使用陶瓷隔離套筒 〇 因此,此RF簇射頭/電極222亦已被修改。簇射頭/霉 極222係包括一個無凸緣之芯柱252 。替代地,於芯柱 _________—36 — 本紙張尺度適用中國國家橾率(CNS ) Α4規格(210X 297公釐) ---------^------,訂------^ (請先閱讀背面之注意事項吞〜寫本頁) 經濟部中央標隼局員工消費合作杜印製 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明说明(34 ) 252附近形成一個稍微之背脊266 ,且如圖2A中所示,背 脊266係支撐一個大致圓形之》瓷盤268 ’其係製自一種 陶瓷材料,例如氧化鋁(99.7X AU03)’類似HI2A中所示 之W瓷隔練套茼154 、156 陶瓷盤268係藉背脊266支 撐•且依次,支撐隔離套筒270 、271 隔離套筒270 、
271較佳亦由一種_瓷絕緣材料所製成,此材料係類似供 _2A之套简154 、156所使用者。與已在上文中討綸用K 進行本發明之具《實施例一樣,簇射頭/電極2 2 2之孔洞 ,較佳為約1/32 (0.0313)英时直徑· Μ防止電漿在圓柱 體238内部形成,並將電漿大致限制在簇射頭/電極222 之下方,及在感受器2 30之上方。_ 2Β之具體實施例•係 利用石英圃柱《 238 ,並免除鄰近簇射頭/電極222之金 鼸連接嫘釘,其有肋於防止電漿在圓柱體238内形成,及 防止在RP線256與簇射頭/電極222及任何瓖繞之金屬間 形成電弧。一絕緣層272可置於氣«分配器蓋239之頂上 • Μ防止被操作者接觸,因為此氣«分配蓋2 39在操作期 間變得非常熱。 已利用 2與2Α之RP電極/簇射頭構造,進行許多沉積 操作,Μ證實本發明之可用性。詳言之,於約400Ρ之溫 度下,將一靨氮化呔沉積在基材晶片上。此係實霣上低於 一般為進行热CVD程序所需之基材灌度,其可能超遇 1000 t很多。例如,採用下文所列示之參數,使用氨氣( Ν Η 3 )與氮氣(Ν 2 ),沉積一層氮化呔,其结果示於表1中 。本發明之構造係利用500與5,000 sccb間之電漿氣體流 一 3 7 — 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ 297公釐) ---------装------ΐτ------^ (請先閱讀背面之注意事項再々寫本頁) A7 B7 五、 發明説明( 35 動(對NH3而言為50至500 see·),而反應物氣體流動 例如TiC“ ,則期望在0.5與10 SCCB之間。反應空間 44應被抽氣在0. 5至10托之間。 T i C 1 4 ( S c c b ) ΝΗβ (see·) Hz (seen) RF窜源(瓦特) 反應室壓力(托) 感受器旋轉速率(rp·) 基材溫度(tn 10 500 500 250 0 450 KHz 1 10 0 400 结果及其他沉稹參數 磨醒麻(A ) 沉穑谀垄(A /分鏞 經濟部中央標隼局員工消費合作社印製 沉《除間(耖)_____________
感 Hifi」3LXIL 11 800 400 1 20
414 471 457 晶片卜3為矽,然而晶片4-6為在表面上具有二氧化砂 38 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公缝) ---------批衣------ΐτ------0 (請先閲讀背面之注意事項再t寫本頁) A7 S069S7 B7 五、發明説明(36) 經濟部中央標隼局員工消費合作杜印製 薄 層 之 熱 氧 化 物 晶 片 〇 進行 此項 作 業 , Μ確 信本 發 明 之 程 序 可 利 用 在 闞 於 矽 晶 Η 與氧 化物 晶 片 之 廣範画CVD 應 用 上 〇 每 . 個 表 1 之 基 材 晶 Η , 亦在 圖 2 之装置中姶予RF電漿 氨 ( N Η 3 >退火 » 於250 瓦特下進行約1 2 0秒 ,於 5 托 之 壓 力 下 , 使 用 5 , 000 S C cm 氣體 _度 之 HH 3 。於 退火 期 間 t 感 受器之旋轉速率為約100 rp BI ° 此 HH 3 RF電漿會改 良 所 沉 積 T i Ν 薄 m 之 品 質 如 進一 步於 下 文 所 討論 者。 根 據 本 發 明 之 原 理 » 此RF電漿電極/簇射頭構造 > 可 用 Μ 在 基 材 上 沉 積 氮 化 钛 (Τ ί H )薄膜 • 使 用氮 氣(h2) 與 氫 氣 ( η2 ) 替 代 氨 氣 ( ΚΗ3)- 闢於 T i N 之 112與 低 溫 沉 積 之 各 種 薄 m 結 果 與 沉 稹 參 數* 係示 於 表 m 號2 '3 N 4 及 5 中 f 增 加 表 數 百 係 增 加 沉積 溫度 〇 u. 1 直 Ml 1 2__ Ti Cl 4 ( s C c a ) 10 Hz (s C C 丨) 500 h2 (s C C B1 ) 500 RF電源 ( 瓦 特 ) 250 0 450 KHz 反 應 室 壓 力 ( 托 ) 1 感 受 器 旋 轉 速 率 (r P in) 100 基 材 溜 度 ( V ) 400 沉 積 時 間 ( 秒 ) 180 私衣 訂 ,線 (請先閱讀背面之注意事項再々寫本頁) —3 9 — 本紙張尺度適用中國國家標準(CNS ) A4規格(2丨0X297公釐) A7 A7 經濟部中央標準局員工消費合作社印製 _ 五、發明説明(37) 表in 晶片絪號 結果及其他 鎌——-- 1 2 3 4 5 6 7 8 9 10 TiHJS 厚 度(A) 825 1023 1221 1262 1227 1224 1141 1348 1400 1106 沉掼速率 (A/分 19) - 275 341、 407 421 409 408 :80、 449 437 389 層霄阻率. (« Ω-公分)丨 1530 26864 4118 3108 855 4478 3982 4658 3449 4501/ 感受器溫 度(Ό) 470 480 4S3 470 470 460 460 460 460 460 表編號2之晶片1與2為矽,而其餘晶片3-10為熱氧化 物。晶片6-10係於5.000 seen之ΝΗ3氣體速率下,於3托 之内壓下(晶Η6係在5托下進行> ,及1〇〇 r>p·之感受 器旋轉速率下,接受250瓦特退火*歷經120秒。 表編號3係銳明利用基材灌度450C之沉積搡作結果, 但其餘則輿表纒號2之沉積搡作中所使用者相同之氣《與 沉稹參數。晶片1與2為矽,而晶片3-8為热氧化物。其 结果如下,其中表編號3之晶片6-8 ,係於5000 scc·、 5托及100 rpe旋轉速率下,使用250瓦特之爾源程度, 接受120秒RF電漿氨退火。 一 4 0 一 本紙張反度適用中國國家樣率(CNS)八4規格(210X297公着) ---------装------订------^ (請先聞讀背面之注意事項再办寫本頁) A7 B7 五、發明説明(38 ) 晶片堳號 结果及其他 #数…—. 1 2 3 4 5 6 7 8 TiH厢厚 度(A) 996 1009 1064 1488 .1562 1444 1 1381 [306 沉積速率 (入/分撞) 332 336 1 355 496 · 521 481 454 435 層電阻率 (u Ω-公分) 640 607 666 S15 821 7121 5812 6363 r 感受器溫 度m . 518 519 521 524 521 522 524 523 重覆此低溫TiN沉積•使用 50 0 C下之基材溫度*且其 結果係根據下表編號4列表。晶片1為矽,且晶片2-7為 熱氧化物。 ---------^------ΪΤ------# (請先閱讀背面之注意事項年填寫本頁) 經濟部中央標準局員工消費合作社印製 —4 1 — 本纸張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) A7 A7 經濟部中央標準局員工消費合作社印製 B7 五、發明説明(39 ) 表Ji號4 晶片编號 结果及其他 1 2 3 4 5 6 7 TiHJM 厚 度(A) ;990 1086 1034 1092 1004 1001 1004 沉S速率 (A/分埴) 330 362 345 364- 335 334 335 層堪阻率. Ω-公分) -578 687 700 786 IS92 1840 18S6 慼受器溫 度(Ό) 579 590 597 595 591 593' 594 於表編號4中之晶Η未經退火、然而晶片5-7係使用參 考表煽號3中沉積搡作所用之類似RF甯漿ΝΗ3退火程序及 參數,進行退火。 同樣地使用600 t之基材溫度,使用本發明之CVD程序 ,以沉樓TiN ,其結果示於下文表纒號5中,其中晶HI 輿2為矽且晶Η 3 - 8為熱氧化物。 -42 - 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) ---------^------、订------i (請先閱讀背面之注意事項寫本頁) 3()6^37 五、發明説明(4Q ) A7 B7 晶片垢號 结果及其他 參數 1 2 3 4 5 6 7 8 TiHia 厚 度(A) 657 822 740 768 767 765 τη 910 沉積速率 (A/分鍍) 219 274 247 263 256 255 258 303 層霣阻率 Ω-公分) 391 254 432 543 471 • 949 973 2710, 感受器溫 度m 650 650 650 ’ 650 650 650 650· 650 (請先閱讀背而之注意事項再填寫本頁) 經濟部中央標隼局員工消費合作社印製 又一次*RF電漿NH3退火係在表編號5之基材晶H6-8 上進行•類似表3與4之退火步ίΐ,惟係在1托而非5托 之壓力下進行。因此,使用本發明之低溫CVD程序之ΤίΝ 沉積,可在低於傳統熱CVD所必須溜度之各種溫度下達成 〇 雖然氮化钛可使用本發明進行沉積,但亦可能期望僅沉 積純鈦層。例如*可將鈦·層沉積在矽晶片t,然後與呔反 應而形成矽化钛(Τ ί S U)薄膜。關於此點,本.發明亦可用 以沉積钛層。 -43 - 本紙張尺度適用中國國家標準(CNS ) Α4規格(210 X Μ7公釐) 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(41 ) 下表編號6係提出一項沉積操作之結果與參數•其係造 成在650 1下之熱氧化物晶Η上沉橫約84J!钛之薄膜。對 於此種低溫化學蒸氣沉積而言•這是一項優良結果。表6 之沉積操作,係根據下列沉稹參數,使用圈2之RF簇射頭 /電極構造進行。
IL 於 mi-lfiLJLUL
TiCU(scc·) 10 Η 2 (seen) 500 RF電源(瓦特) 250 θ 450 KHz 反應室壓力(托) 1 慼受器旋轉速率(rp·) 100 沉横時間(秒) 2 700 基材溫度(ΐ: ) 565 表編號6 晶片編號 一—一.一—一一―— 結果及其他參數 1 T i暦匿麻(A ) 1983 沉穑谏案(A /分鐮) 4.4 層》阳案(u Ω -公分) ^12.9. 感受器i度(H丄.....— R5 1 一 4 4 一 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X297公釐) ---------1------ir------# (請先閱讀背面之注意事項Wt填寫本頁) A7 7 β 五、發明説明(42 表編號6之基材晶片未經退火。其他Ti-層沉積搡作•係根據下文表編號7參數進行下列结果示於表編號7中: m牖號·ΐ之沉積參黻 T i C I 4 ( s c c m ) Η 2 ( s c c m ) R F電源(瓦特) 反應室壓力(托) 感受器旋縛速率(rpis) 沉積時間(秒) 基材溫度(υ) 感受器溫度(t:) 10 500 250 § 450 KHz 0.85 100120 (晶 H7 為 180 秒) 565 650 經濟部中央樣準局員工消費合作社印製 晶片緬狨 .结果及其他 '麥數:、 1 2 3 4 5 士7 8 9 10 11 12 τϋ厚 度(Α) 134.8 466.2 、209/2 100.8 194.W 154.98 115.92 114.7 152.5 39.06 41.6 50> 沉積速率 (Α/分埴) 67.4 233.1 101.6 50.4 97.0 77.5 38.6 57.3 76.2 19.5 20.6 15.2 »霣阻率 (« Ω-公分) 2116.I 1767,8 761.8 1001.4 371.6 321.6 45 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ 297公釐) . 辦衣 線 (請先閱讀背面之注意事項再磧寫本頁) 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(43) 表 7 之 晶 片 1-3 及 7- 9 為 矽, 而 其 餘 晶 片 為 熱 氧 化 物 〇 表 編 號 7 之 晶 片 均 未 接 受 NH 3之R F霉漿退火 〇 由 於 含 钛 薄 m 之 化 學 蒸 氣 沉積 之 利 益 > 係 為 經 改 良 之 階 次 覆 蓋 率 及 薄 膜 -.一 致 性 » 優 於物 理 沉 横 技 術 t 故 將 根 據 本 發 明 所 沉 積 之 數 個 薄 膜 層 進 行測 試 • Μ 度 量 —.L 致 性 與 階 次 覆 蓋 率 〇 供 „* 致 性 與 階 次 覆 蓋率 測 試 之 層 » 係 根 據 表 編 號 8 之 參 數 進 行 沉 積 » 其 結 果 示於 下 表 編 號 8 中 〇 根 據 下 列 參 數 所 沉 積 之 薄 m 層 » 其 薄 _ 一 致 性 與 階 次 覆 蓋 率 均 極 良 好 0 mm — 董擻並之沉ι蓥直 TiCl 4 ( S C c η ) 10 H2 (S C C ) 500 n2 (S C C ) 500 RF電源 ( 瓦 特 ) 250 8 450 KHz 反 應 室 壓 力 ( 托 ) 1 感 受 器 旋 轉 速 率 (r P ) 100 基 材 溫 度 ( 1C ) 450 感 受 器 溫 度 ( X: ) 520 ---------^------,玎------0 (請先聞讀背面之注意事項再填寫本頁) 一 4 6 — 本紙張尺度適用中國國家標準(CNS ) A4規格(2丨0X 297公嫠) 306^37 at Β7 五、發明説明(44) 表煸號8 晶片煸號 结果及其他鐾齡 1 2 Τ ί Ν層厚用1 ( A > 586 2423 沉穑涑率(A /分缠} .Ί6 2 __1M — 歷雷阳率(η Ω -公分> —盤廬器溫AJJQ-I_________________ —一―m —________ (請先閱讀背而之注意事項再瑣寫本頁) 經濟部中央標準局員工消費合作社印製 於表8中所使用及用K測試階次覆Μ率之晶Η ,均未使 用ΗΗ3之RF鼋漿進行退火。 如上文所說明者,可根據本發明之原理•沉積氮化钛( TiN)曆,無需使用氨氣體(ΝΗ3>。替代地,使用“與1氣 驩之混合物。使用TiCl4 、(<2及^2_行氮化鈦之低溫沉積 ,係為期望的,因其會降低在反應室中由TiCU與NH3之 化學反應所形成之污染物。更詳言之,TiCU與NH3在低 於ΐ2〇υ之溫度下反應,而形成一種黃色粉末狀加成物* 及防止此加成物形成•於遇去必須將反應室壁加熱至至少 15〇υ。由於現在已可能使用TiCU 、及Η2化學替代 NHa ,於低溫下沉積氮化紋層,故不再必須移除經沉積之 加成物,或加熱反應室壁,因而大為降低CVD系統之成本 〇 根據表煽號9之沉積參數·使用具有未經加熱壁之反應 室及Η2/Ν2之氣體混合物,將氮化肽層沉積在數個熱氧化 一 4 7 一 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ 297公釐)
A B 經濟部中央標隼局員工消費合作社印製 五、發明説明(45) 物基材上。於薄膜沉積後•檢査反應室,其中未發現黃色 加成物之證據。表編號9之晶片,均未使用RP NH3進行退 火。 μ於表遍之加成物試驗m T i C14(s c c b) 10 Η 2(see·) 500
Ha (see·) 500 RF電源(瓦特) 250 ϋ 450 KHz 反應室壓力(托) 1 感受器旋轉速率(rpm) 100 基材溫度(υ ) 450 沉積時間(秒) 95 感受器溫度(m 約 520 晶片坦號 秸果及其他 金數 1 2 3 4 5 6 7 8 9 10 Tin®厚 ^ 度(A) 94 132 r 127 M3 143 160 162- 162 195 204¾ 沉稹速率 (A/分瞳) 58 83 1 80 90 90 101 102 102 123 129 層堪阻率 (w Ω -公分) 2164 2218 1377 660 764 905 738 830 689 702 •S受器溫 度re) 525 523 520 520 520 523 521 520 519 523 —4 8 一 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) 裝 訂 务 (請先閱讀背而之注意事項乔填寫本頁) 606937 A7 B7 五、發明説明(46 ) 利用匾2之構造,進行進一步沉積操作,其中電漿與反 應物氣體流動,K及反應空間44之内部沉積壓力係經調整 。例如,於圖1 0中所示之沉積操作,係使用較高流動速率 之•及增加之沉積懕力從1托至5托。再者,對一些沉 積揲作而言,係將氬氣與混合。 鼴轰丄Q之盡_數„. T i C 1 4 ( s c c m ) Hz (seem) 氛氣(s 1 > R F雷源(瓦特) 反應室壓力(托) 感受器旋轉速率(r· P m ) 沉積時間(秒) 基材溫度(它) 10 5 , 000 (晶片〗-4); 3 . 7 5 0 (晶 Η [> - 9 > ().5 (晶片 5 - 9 ) 250 Θ 450 KHz 100 300(對晶片9為600) 565 慼受器溫度( 复Ml丄么 約 650 . 批衣 Ί of' (請先閱讀背而之注意事項4楨'?5本110 經濟部中央標準局8工消費合作杜印製 晶片编號 结果及其他 參數 1 . 2 3 4 5 6 7 8 9 ΤίΗ® 厚 度(Α) 798 1076 43.4 89.5 912.2 1082 656.5 577:1 1302 沉m速率 (A/分鐘) 159.0 215.0 9.1 17.9 182.5 216.5 Π1.3 115.4 Π0.2 阻率 U Ω-公分) 53,84 32.60 216.1 377.1 39.23 25.7 212.7 211.3 170.1 4 9 - 本紙張尺度適用中國國家標隼(CNS ) Λ4規格(210χ·:^7公i ) A7 B7 五、發明説明(47 ) 於表10中,H2之流動,對晶片卜4而言係增加至5,000 see· *而對晶片5-9而言則增加至3,750 seen。沉積壓力 係增加至5托。對晶片5-9而言,係與H2—起使用每分鐮 0.5檷準升之氬氣流動,作為稀釋劑。於表10中,晶片 1-2與5-6為矽*而晶片3-4及7-9為熱氧化物。 表11顯示使用增加H2流動及增加沉積壓力所進行之其他 操作。 臚於表煸號11之沉積參數 T i C14(s c c η) 10
Hz(seem) 3,750 親氣(s1b) 0.5 RF 電源(瓦特) 250Ι 4 50ΚΗζ 反應室壓力(托) 5 感受器旋轉速率(rpn) 100 沉稹時間(秒) 300 (晶片9-12為600秒) 基材溫度(t ) 565 感受器溫度(Ό ) 650 ---------批衣------1Γ------i (請先閱讀背面之注意Ϋ項再磧寫本頁) 經濟部中央標準局員工消費合作杜印製 一 5 0 一 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) A7 經濟部中央標準局負工消費合作社印製 五、發明説明(48 ) 晶片aa ^ 结果及其他 .1 2 3 4 5 6 7 8 9 10 11 12 TiN/S® 度(A) S39.6 抑 5103 ^58.6 4662 3&5jS 347.8 m3 792-5 ^18.8 749.7 TVM 沉稹速率 (A/分逋) 177,9 - 79.9 、10U 91.7 9}2 77.1 60.6 Ω.7 79J ί>1.9 '75.0 Ί\Λ 層鼋阻率 (u S2 -公分) 54.CB 15.71 ZTU Ί7ΑΛ 31.0 mi M5.1 微1 314.1 2ua_5 於沉積壓力上從1托改變至5托*會產生一種更安定且句 稱之電漿。此外,譴著添加少量氬氣潢勖而增加之氳氣流 動,會增加電漿流動之安定性,K及電漿強度。0-10 sU之氬氣流動係為較佳的。晶片1-2為矽,而晶片3-10 為熱氧化物。晶Η與】2為硼磷-矽酸鼸玻璃,可得自薄 膜公司(Free鼸ont, California)。表10或11之晶片,均 未使用NH3電漿退火鏞進行退火。 晶片11輿12具有氧化物場(氧化矽)頂層,Μ矽接觸造 型(意即,經過該氧化物場至下曆矽曆之孔洞)。在以上 述方式處理後,於晶片編號〗1中發現邇擇性沉檷。_6顬 示在矽接臁(孔洞)底部之沉積•但在氧化物埸上無沉積 。選揮性沉積已被重複且使用經•確認之參數«立灌ί明。可 -51 - 本紙張尺度適用中國國家標隼(CNS ) A4说格(210X 297公趁) ^ 、1Γ0 (請先閱讀背面之-;i意亨項^JA^本頁) Μ Β7 五、發明説明(49 ) 使用一種選擇性沉積程序*替代多個處理步驟,Μ形成孔 洞。選擇性沉積可能是對矽與氧化矽之不同結晶成核時間 之結果所致•結晶成核作用係迅速在矽上發生,但僅在約 3 0秒鐘後於氧化矽上發生》雖然經應用於晶片1 1之程序* 其操作時間係比氧化矽之Ε常30秒結晶成核時間更長,但 結晶成核作用顯然不會發生在氧化矽上*可能是由於電獎 中之不安定性所致。高處理壓力對於產生選擇性效果,似 乎是很重要的。 表12顯示在450*0之感受器溫度下之其他沉積操作。
Μ於表纏112之沉植蓥JL
TiCU(scci) 5
Hz (seem) 3,750 氬氣(s 1 ) 0 . 3 RF轚源(瓦特) 250 e 450 KHz 反應室壓力(托) 5 感受器旋轉速率(γρβ) 100 沉積時間(秒) 180 基材溫度(t) 約 400Τ1 感受器溫度(I) 450 批衣 訂 (請先閱讀背面之注意事項再瑣寫本頁) 經濟部中央標隼局員工消費合作社印製 -52- 本紙張尺度適用中國國家標率(CNS ) Α4規格(210Χ 297公釐) 經濟邹中央標準局員工消費合作社印製 306937 Α7 Β7 五、發明説明(50 ) 晶片ffl铖 结桌及其.俾 參数 1 2 3 4 5 6 7 TiH® 厚 度(A) 242 \ 222 210 241 168 136^ 150 沉m速率 (A/分埴) 80.7 74.0 70.0 80.3 56.0 453 50.α 層笛阻率 (U Ω -公分) 66.0 554.0 494.0 714.0 484.0 0.1 0.1 晶片1-4為矽,晶H5為热氧化物,而晶片6與7為含有 鋁矽與銅之鋁合金。表12之操作6輿7係銳明使用本發明在 鋁上沉積含钛薄膜之可用性。表12之沉稹搡作*與表11之 搡作相較,係使用較低反應物氣«潦動,意即5 SCCB之 TiC W 。 於鋁與钛層間之良好黏著性,係藉由使鋁層之腐»性降 至最低而獲得。腐蝕作用大部份係由於鋁曆於沉稹期間暴 露至四氣化鈦(TiCl4)所釋出之籯縑子(Cl_)下所造成之 结果。鞴由降低四氯化鈦之流速.朗鋁層之腐《作用會被 降低,且黏著性會經改良。經降低之四氯化钛流動,亦會 使沉積速率降低,而允許經解鑪之钛原子增加時間,Μ放 置在下方鋁層中之安定位置上。此種增加之時間是特別必 一 5 3 一 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) ---------^------ir------0 (請先閱讀背面之注意事項再續巧本頁) A7 B7
TiCU(scc 五、發明説明(51) 須的,此係由於在降低之處理溫度下之低熱能及降低之呔 原子熱理動所致。 表13之沉積搡作,係在進一步降低之TiCl<*流速下進行 。表13之所有晶Η均為熱氧化物。.表〗2或之晶片,均未 使用RF退火劑進行退火。
ML於表煸諕13之沉穑H 晶片 1-2, 4 see羅;3-4, 3 see·; 5-6, 2 see·;及晶片 t 7在1 scce下 Η 2 (see·) 3,750 RF電源(瓦特) 250 0 450 KHz 反應室壓力(托) 5 感受器旋轉速率(r pb ) 100 沉積時間(秒) 300 (晶片1與2僩別在180與 240 下) 基材溫度(C ) 約4 0 0 t: (請先閱讀f而之注意事項再填寫本頁) 裝- 訂 經濟部中央標隼局員工消費合作社印製 ®受器.梅度(V ) 450 疫 晶片«賊 结果及其他 參數 1 2 ,3 4 5 6 7 TiH« 厚 度(A) ·» + - 89 132 158 149 158 166 107 沉《速率 (A/分踱) 30 33 32 32 32' 33 21 WiC阻率 飞“ Ω-公分) 259 239 199 199 190 208 482 54 本紙張尺度適用中家網^ ( CNS ) M規格(2丨GX 297公着) 經濟部中央標準局員工消費合作杜印製 A7 ----_B7____ 五 ' 發明説明(52 ) ^^籲作洁1之_封^1_ 钛薄謓已利用上述參數與装置,藉質量增加及藉波分散 14 X-射繚螢光(WDXRF)所度量,於範麵在30 A /分鐘之速 $下進行沉積。已發琨沉積速率係直接正比於沉積溫度及 TiCU分臛。當沉積溫度從550 1D降至4501時,薄膜電 @率係從120增加至150 w Ω -公分》於55〇υ下烴沉積 在热成長氣化物上之肽薄_,係藉Rutherford反向散射光 _ (RBS)分析•纆發規係為元素呔。可藕RBS澜得之唯一 _霣為氧。進行Auger電子光譜學(AES)深度剖面,Μ確 認低程度污染。AES剖面顯示整體氣化物含量為0.IX。氣 化物亦賴VDXRP度量,其顯示整麵濃度為0. 45Χ 。 薄膜亦在550Τ:下沉積於未去釉之矽基材上。薄RBS分 桁此等薄_,發現其在沉稹程序期間已形成一種矽化物。 未進行後沉積退火。經原位矽化之钛·其化學計最為 Τ ί S i 2 ,但測得〇 . 5Χ氱化物。AES深度剖面確認此原位矽 化物之化學計量,以及整體氛含量為0 · 5¾。AES剖面顯示 低程度氧在矽化物中|怛在矽/TiSU界面上無氧吸收峰 之證據。逋表示原姶氧化物巳被CVD-Ti程序移除。 將呔薄_於5501C下沉積於經造型之碥磷-矽酸Μ玻璃 (BPSG)上· Μ観察薄_ 一致性。所有接觸黏均為1微米 至0.35微米(方向比從〗.0改變至2.9 )。發現此等钛潯 膜對所有方向比均為一致的。沉稹至高1 500 Α之薄鎮厚度 •並薄掃描式電子顯微鏞(SEH)觀察横截面。於接觸黏開 孔處,無懸垂物形成之證據。懸垂物形成為例如濺射之沉 -55- 本紙張尺度適用中國國家標準(CNS ) A4规格(210X 297公釐} 裝------訂------線 (請先閲讀背而之注意事項再填巧本頁) 經濟部中央標準局員工消費合作杜印製 3u6i)37 at B7 五、發明説明(53 ) 稹程序可見及之一項基本問鼴。此項問®巳針對傅統及準 直濺射經«良好考證*且此CVD-Ti程序之一致本性表示一 項優於濺射技術之簠大優點。 使用CVD-Ti與濺射-Ti所獲得霉性質之比較,係使用上 述霉試驗結構進行。接觸罨阻度量,係使用具有接觸尺寸 從0.35微米改變至0.60微米之Kelvin結構進行。為在 0.35微米接觸黏之底部沉積100A呔》必須沉稹900 A經 濺射-Ti ,與其作比較* CVD-Ti為200 A。此CVD-Ti輿烴 濺射-Ti薄膜,對所有接觸尺寸均提供相同接觸電阻。但 是,闞於CVD-Ti接觸曆,較小接觸黏具有較高探測產率。 對0.3 5微米接觸而言*對於CVD-Ti接觸層之產率為經濺射 -Τί暦之兩倍。於產率上之改良•表示CVD-Ti程序於晶片 表面上提供更均勻且可再現之结果•並指出此程序可克腺 因接觸蝕刻與接觸淸理程序所產生之微小接觸對接觸變興 。此項麵言係由t文所報告之AES结果所支持,其顬示在 CVD-Τί與原位矽化後,在矽/ TiSi2界面處*未澜得殘留 之原始氧化物。 使用10,000偁接觸黏之回路,進行兩髑接觴層之一項更 嚴格比較。又一次,此结果係類似較大接觸點。但在 0.35微米下,CVD接觸曆會產生優越结果。CVD-Ti接觸曆 提供之接觸回路鼋阻值 > 偽低於使用經_射-T丨暦所獲得 者兩僩級次量。再者,鼷於CVD-Ti暦之探测產率係為經濺 射曆之五倍高。 對於CVD-Ti與經濺射- Τί之滲漏電流度量,係為類似的 —56 — 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) ---------批衣------,訂------0 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印繁 A7 B7 — ..---- …… 五、發明説明(54) 。這表示賴由CVD-Ti程序所提供之原位矽化,不會造成連 接傷害。此係藉SEM横截面確認,其係在完成電度董後* 於試樣上進行。其横截面確認於CVD-Ti程序期間所形成之 矽化物•在接觸點之底部處係為均勻的。 總而言之,呔薄膜已在450t:至550 t:之溫度下,格化 學蒸氣沉稹進行沉積。於此沉積程序期間,對於在矽表面 上之沉積而言,呔係完全轉化成TiSU 。沉積為一致的, 且在接觸開孔處,無呔懸垂物之證據。接觸電阻與連接壤 漏度嫌顬示*對於低方向比特微而言,CVD-Ti程序提供與 經濺射-Τί相同之轚性掂。對於較高方向比特徽而言,此 CVD-Ti程序提供優越接觸電阻與產率。於電性能上之改良 •係由於CVD-Ti之一致本性.自接觸底部移除殘留之原始 氧化物,及均匀TiSU層在接觸底部處形成。 3顯示沉稹室之另一項具體實施例,其具有一個上游 漿來源•其可用K產生必須之基團,以供上游轚漿低 溫PECVD程序使用,其係利用一種如上文所討論及所掲示 Μ於_由鬮1構造所使用之上游爾漿產生之旋轉式感受器 。詳言之,一個沉横室280係連接至RF1®漿來源282 。一 種達當來源為市購可得之RF來源,可得自Pr〇t〇tech研究 公司(Te«Pe, Ar izona) 。〇'霉漿來源282係包括一飼罩 框284 ,於其中形成·個電漿產生匾域,,欲被激發之電漿 氣體’例如H2、|<2及/或NH3 ,係個別經遘氣體鑰入管線 287 、288及氣體環289 、290引進。於匾域286中,電 獎氣Μ係藉由RF線圈292所產生之RF場所激發,該嫌圈係 -57- 本紙張尺度關中DU家縣(CNS ) Α4規格(21()χ 297公楚). ---------f------IT------線 (請先閱讀背面之注意事項再磧-(*:?本頁) 經濟部中央標準局員工消費合作社印製 Α7 -_ _ 五、發明説明(55 ) 連接至一個RF來源294 。將具有例如約1 3 . 56 MHz之RF能 最,轤送至區域286内之氣體,Μ產生含有自由電子、霉 漿氣體之_子與基之氣體電漿。當經激發之氣讎被沿著 電漿產生區域286之長度方向而向下抽取時,氣體粒子會 合併,直到較佳地留下許多基團為止。將此等基團烴遇沉 憒區域296向下抽取。將反應物氣體,例如TiCU · II — 個垂直可調整之氣髑簇射頭298 ,引進沉稱匾域2 96中’ 並將反應物氣體與活化基團,《旋轉式感受器302 *向下 抽取至基材300 ,且合併而在基材300上形成薄層。基材 3 00係按上文所討論者進行加熱,且關於上文所討論實例 之類似壓力、感受器旋轉速率及氣體潦動速率,均可與fl 3之RF電漿來源配合使用。因此,可在實質上低於使用傳 铳熱CVD程序所達成之溫度下,沉稹薄_,例如含鈦薄膜 雖然本發明已藉由其具體實施例之描述而加以說明,且 雖然此等具體實施例已Μ相當詳细之方式進行描述,但本 案申講人並非欲予限制或Κ任何方式將嫌文所附之申請專 利範_限制於此種细節上。其他優黏及修改,對於熟諸此 餐者而言係顯而易見。例如,除了在本文廣泛詳细討論之 含钛薄膜之外,本發明之低溫CVD技術,可用Μ沉積其他 薄膜。再者,Η2、1<2及/或ΝΗ3以外之氣體之活化基團, 亦可用以降低其沉積溫度。因此,本發明在其較廣義方面 而言,並未受限於所示及所描述之特殊細節、代表性装置 與方法、及說明例。因此,在未僱離本案申請人之一般發 明槪念之精神或範園下,可從此等妞節進行變更。 -58- 本紙張尺度適用中國國家榡準(CNS ) A4規格(210X 297公釐) ---------^------訂 (請先閱讀背面之注意事項再填-SKT本页)

Claims (1)

  1. 經濟部中央標準局員工消費合作社印製 Su6937 r8 C8 D8 六、申請專利範圍 1. 一種在基材之外露鋁層上化學蒸氣沉積反應中沉積鈦薄 _之方法•其包括: 將該基材與該外露鋁層,放置在一個化學蒸氣沉積反 應室之内部,此反應室包含RF能量來源及形成RF場之RF I 電極;\ 供Θ —種氣體•進入該反應室中; 導引該第-種氣體經遇該RF場,以激發該第一種氣體 而形成第一棰氣體之經活化基團與離子·鄰近該基材之 外露鋁層; 供應第二種氣體,進入該反應室中,而與第一棰氣體 基團與離子混合; 該第一棰及/或第二種氣體包含鈦原子; 來自該第一種氣體之經活化基團與離子,會在表面反 應中與第二種氣體反應· Μ造成鈦沉横在該基材之外露 鋁層h。 2 . 根據申請專利範圍第]項之方法,其中 該第一種氣體係被導引經過一種具有多個開孔之氣體 分散蔟射頭·而進人該室中| 該簇射頭係使用RF能量來源造成僑壓,Μ使得該簇射 頭成為一個具有、關聯R F場之R F電極, 經偏壓之簇射頭係配置於靠近該反應室内部之基材。 3 . 根據申請專利範圍第2項之方法,其進一步包括將該簇 射頭放置在距饑基材約〗英吋或低於〗英时處。 4 . 根據申請專利範圍第2項之方法,其進一步包括: -59- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ---------^------、1τ------i (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍 使該第一種氣體通過一個圓柱體,此圓柱體係聯接至 該基材t方之簇射頭* Μ在第一種氣體通過簇射頭之前 ,建立一個湏定之第一棰氣體流動•於是對該基材產生 基團與離子之均勺流動。 5. 根據申請專利範圍第2項之方法·其進一步包括使用該 蔟射頭與RF場,激發該第二種氣體,Μ致使氣體混合物 含有第一種氣體基團及第二種氣體之經激發氣體粒子。 6. 根搛申請專利範圍第2項之方法,其中第一棰氣體係選 自包括氫、氮、氨及其混合物。 7 . 根據申請專利範圍第2項之方法*其中係將一種稀釋劑 氣體與該第一棰氣體混合。 8. 根據申講專利範園第7項之方法,其中該稀釋劑氣體係 包括氬氣。 9 . 根據申請專利範園第1項之方法,其進一步包括: 旋轉該基材,以抽取第一種氣體基團與離子及第二種 氣體之混合物至基材表面上,以促進薄膜之均勻沉積在 該基材表面匕。 1 0 .根據申請專利範園第9項之方法,其進一步包括旋轉該 基材,其速率係足Μ在該基材上方產生第一種與第二種 氣體混合物之層狀流動| Μ降低氣體回流及經活化基團 與離子之重姐。 U.根據申請專利範圍第9項之方法•其進一步包括在0與 5 0 , 0 0 0 r· p m間之速率下,旋轉該基材 1 2 .根據申請專利範圍第〗項之方法,其進一步包括在薄膜 -60- 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X 297公釐) ---------^------,玎------^ (請先閱讀背面之注意事項再填寫本頁) 306S37 μ8 C8 D8 六、申請專利範圍 沉積期間,於200 T與800 T1間加熱該基材。 1 3 .根據申謫專利範圍第1項之方法*其進一步包括使反懕 室内之壓力保持在0 . 5輿]5托之間。 1 4 .根據申請專利範圃第]項之方法,其進一步包括在5 0與 5 0,0 0 0 s c c m間之速率下 > 供懕第一種氣體。 1 5 .根據申請專利範阐第1項之方法 > 其進一步包括在1與 2 0 s c c m間之速率下.供應第二種氣體 裝 . 味 (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印袋 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210Χ297公釐)
TW84105691A 1994-06-03 1995-06-06 TW306937B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US25336694A 1994-06-03 1994-06-03

Publications (1)

Publication Number Publication Date
TW306937B true TW306937B (zh) 1997-06-01

Family

ID=22959967

Family Applications (1)

Application Number Title Priority Date Filing Date
TW84105691A TW306937B (zh) 1994-06-03 1995-06-06

Country Status (3)

Country Link
AU (1) AU2764095A (zh)
TW (1) TW306937B (zh)
WO (1) WO1995033866A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI455243B (zh) * 2010-10-28 2014-10-01 Hitachi Int Electric Inc 基板處理設備及製造半導體裝置之方法

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1996012048A2 (en) * 1994-10-11 1996-04-25 Gelest, Inc. Conformal titanium-based films and method for their preparation
US5610106A (en) * 1995-03-10 1997-03-11 Sony Corporation Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
US6071572A (en) * 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US6093645A (en) * 1997-02-10 2000-07-25 Tokyo Electron Limited Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
EP1809788A4 (en) * 2004-09-27 2008-05-21 Gallium Entpr Pty Ltd METHOD AND APPARATUS FOR GROWING GROUP (III) METAL NITRIDE FILM AND GROUP (III) METAL FILM
AU2005289367B2 (en) * 2004-09-27 2009-12-03 Gallium Enterprises Pty Ltd Method and apparatus for growing a group (III) metal nitride film and a group (III) metal nitride film
US20080317973A1 (en) 2007-06-22 2008-12-25 White John M Diffuser support
EP2324488B1 (en) 2008-08-27 2013-02-13 Soitec Methods of fabricating semiconductor structures or devices using layers of semiconductor material having selected or controlled lattice parameters
KR101478977B1 (ko) 2009-11-18 2015-01-06 소이텍 글라스 접합층을 이용한 반도체 구조들 및 디바이스들의 제조 방법들 및 이와 같은 방법들에 의해 형성되는 반도체 구조들 및 디바이스들

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8602356A (nl) * 1985-10-07 1987-05-04 Epsilon Ltd Partnership Inrichting en werkwijze voor een axiaal symmetrische reactor voor het chemische uit damp neerslaan.
US4800105A (en) * 1986-07-22 1989-01-24 Nihon Shinku Gijutsu Kabushiki Kaisha Method of forming a thin film by chemical vapor deposition
DE4016765A1 (de) * 1990-05-25 1991-11-28 Philips Patentverwaltung Cvd-verfahren zur beschichtung ausgedehnter substrate
US5279857A (en) * 1991-08-16 1994-01-18 Materials Research Corporation Process for forming low resistivity titanium nitride films
US5308655A (en) * 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
DE4138541A1 (de) * 1991-11-23 1993-05-27 Philips Patentverwaltung Cvd-verfahren zur beschichtung ausgedehnter substrate

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI455243B (zh) * 2010-10-28 2014-10-01 Hitachi Int Electric Inc 基板處理設備及製造半導體裝置之方法

Also Published As

Publication number Publication date
AU2764095A (en) 1996-01-04
WO1995033866A1 (en) 1995-12-14

Similar Documents

Publication Publication Date Title
TWI329135B (en) Apparatus and process for plasma-enhanced atomic layer deposition
TW434690B (en) Methods for preparing ruthenium oxide films
TW306937B (zh)
TW309628B (zh)
TWI644359B (zh) 用於低溫原子層沉積膜之腔室底塗層準備方法
JP2637265B2 (ja) 窒化珪素膜の形成方法
KR100355914B1 (ko) 저온플라즈마를이용한직접회로제조방법
US7141499B2 (en) Apparatus and method for growth of a thin film
KR100355913B1 (ko) 박막제조방법및장치
TW399237B (en) Film forming method and apparatus
TWI655309B (zh) 來自金屬脒鹽前驅物與鋁前驅物的金屬鋁合金膜
JPS60200966A (ja) 複合被膜
JPS59179775A (ja) タングステン シリサイドをデポジションする装置
JP4197319B2 (ja) シリコン窒化膜の形成方法および形成装置
JPS61232613A (ja) プラズマ気相反応装置
JPH0766186A (ja) 誘電体の異方性堆積法
WO2004055234A1 (ja) 成膜方法
CN109868459B (zh) 一种半导体设备
JP4544817B2 (ja) プラズマ処理によってcvdによるタンタル及び窒化タンタルが調節された膜の付着性及び耐久性を改善する方法
KR101543272B1 (ko) 기화기를 가지는 증착장치
KR100226763B1 (ko) 화학기상증착 장치를 이용한 박막 형성방법
JPS62142780A (ja) 堆積膜形成法
US20130078455A1 (en) Metal-Aluminum Alloy Films From Metal PCAI Precursors And Aluminum Precursors
JPS58127331A (ja) プラズマ化学気相生成装置
JPH08162445A (ja) 成膜方法及び成膜装置