JP2006203210A - 半導体プラズマ処理装置及び方法 - Google Patents

半導体プラズマ処理装置及び方法 Download PDF

Info

Publication number
JP2006203210A
JP2006203210A JP2006011279A JP2006011279A JP2006203210A JP 2006203210 A JP2006203210 A JP 2006203210A JP 2006011279 A JP2006011279 A JP 2006011279A JP 2006011279 A JP2006011279 A JP 2006011279A JP 2006203210 A JP2006203210 A JP 2006203210A
Authority
JP
Japan
Prior art keywords
process chamber
gas
semiconductor
plasma source
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006011279A
Other languages
English (en)
Other versions
JP4388020B2 (ja
Inventor
Hyung-Joon Kim
炯俊 金
Ki-Yung Lee
奇英 李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semes Co Ltd
Original Assignee
Semes Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semes Co Ltd filed Critical Semes Co Ltd
Publication of JP2006203210A publication Critical patent/JP2006203210A/ja
Application granted granted Critical
Publication of JP4388020B2 publication Critical patent/JP4388020B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61MDEVICES FOR INTRODUCING MEDIA INTO, OR ONTO, THE BODY; DEVICES FOR TRANSDUCING BODY MEDIA OR FOR TAKING MEDIA FROM THE BODY; DEVICES FOR PRODUCING OR ENDING SLEEP OR STUPOR
    • A61M3/00Medical syringes, e.g. enemata; Irrigators
    • A61M3/02Enemata; Irrigators
    • A61M3/0279Cannula; Nozzles; Tips; their connection means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61MDEVICES FOR INTRODUCING MEDIA INTO, OR ONTO, THE BODY; DEVICES FOR TRANSDUCING BODY MEDIA OR FOR TAKING MEDIA FROM THE BODY; DEVICES FOR PRODUCING OR ENDING SLEEP OR STUPOR
    • A61M3/00Medical syringes, e.g. enemata; Irrigators
    • A61M3/02Enemata; Irrigators
    • A61M3/0233Enemata; Irrigators characterised by liquid supply means, e.g. from pressurised reservoirs
    • A61M3/0254Enemata; Irrigators characterised by liquid supply means, e.g. from pressurised reservoirs the liquid being pumped
    • A61M3/0262Enemata; Irrigators characterised by liquid supply means, e.g. from pressurised reservoirs the liquid being pumped manually, e.g. by squeezing a bulb
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61MDEVICES FOR INTRODUCING MEDIA INTO, OR ONTO, THE BODY; DEVICES FOR TRANSDUCING BODY MEDIA OR FOR TAKING MEDIA FROM THE BODY; DEVICES FOR PRODUCING OR ENDING SLEEP OR STUPOR
    • A61M3/00Medical syringes, e.g. enemata; Irrigators
    • A61M3/02Enemata; Irrigators
    • A61M3/0266Stands, holders or storage means for irrigation devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61MDEVICES FOR INTRODUCING MEDIA INTO, OR ONTO, THE BODY; DEVICES FOR TRANSDUCING BODY MEDIA OR FOR TAKING MEDIA FROM THE BODY; DEVICES FOR PRODUCING OR ENDING SLEEP OR STUPOR
    • A61M2205/00General characteristics of the apparatus
    • A61M2205/27General characteristics of the apparatus preventing use
    • A61M2205/273General characteristics of the apparatus preventing use preventing reuse, e.g. of disposables

Landscapes

  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Heart & Thoracic Surgery (AREA)
  • Animal Behavior & Ethology (AREA)
  • General Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Veterinary Medicine (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Hematology (AREA)
  • Biomedical Technology (AREA)
  • Anesthesiology (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

【課題】本発明の誘導結合プラズマ源の短所であるラジカル側面集中現象を補ってエッチング均一度を高めることができる半導体プラズマ処理装置に関する。
【解決手段】プロセスガスが供給されて前記プロセスガスを活性化して多量のラジカルとイオンとを生成するリモートプラズマ発生部と、前記活性化されたプロセスガスが流入される流入ポートを有するプロセスチャンバと、前記プロセスチャンバ内に位置するウェーハが安着されるサセプタと、前記プロセスチャンバに設けられて前記活性化されたプロセスガスに高周波エネルギーを提供する誘導結合プラズマ発生部とを含むことを特徴とする。本発明によると、誘導結合プラズマ源とリモートプラズマ源とを使用してエッチング反応に必要なラジカルとイオンとを豊富に生成させることによって、エッチング反応が活発になってエッチング効率を向上させることができる。
【選択図】図2

Description

本発明はプラズマ処理装置に係り、より詳細には誘導結合プラズマ源の短所であるラジカル側面集中現象を補完してエッチング均一度を向上させることができる半導体プラズマ処理装置及び方法に関する。
最近の半導体素子の高集積化、半導体ウェーハの大口径化、液晶ディスプレーの大面積化などによってエッチング処理や成膜処理を行う処理装置の需要が徐々に増加している。プラズマエッチング装置、プラズマCVD装置、プラズマアッシング装置のようなプラズマ処理装置においても、その状況は同様である。すなわち、生産量(Throughput)を向上させるためにプラズマの高度化、被処理物(半導体ウェーハ、グラス基板)の大面積化に対する対応及びクリーン化などの実現が重要課題となっている。
このようなプラズマ処理装置に使用されるプラズマ源として、高周波容量結合型プラズマ源、マイクロ波ECRプラズマ源、高周波誘導結合型プラズマ源などがある。これら各々はその特徴を生かして様々な処理プロセスごとに区分して使用されている。
これらプラズマ源のうちで高周波誘導結合型プラズマ源を具備したプラズマ処理装置は、単純なアンテナと高周波電源という簡単で安価な構成によって数mTorrの低圧下で比較的高密度のプラズマを発生させることができ、被処理物に対して平面的にコイルを配置することによって、面積が大きいプラズマを容易に発生させることができ、処理チャンバ内部が簡単なので、処理中に被処理物上に飛んで来る異物発生を減らすことができるという長所があって最近広く普及されている。
しかし、既存の高密度プラズマ源である誘導結合プラズマ源は単一プラズマ源で構成されている。すなわち、高周波(以下RF)電源装置に連結されたRFアンテナがプロセスチャンバの外部に設けられた単一型のものであり、前記RFアンテナに電力を供給すると、プロセスチャンバ内部のガスがRFアンテナに沿って形成された電磁気場の影響を受けてプラズマを形成する。この時、側面から発生した電磁気場が中心部で重畳されて中心部のプラズマのイオン密度が側面より高くなり、ラジカル分布はこれと反対の分布を有するようになる。結局、エッチング反応はラジカルの化学反応とイオンの物理力によって促進され、ラジカル分布がばらつけば、化学反応もばらついてエッチングの均一度を低下させ、ラジカルが十分ではない場合、エッチング率も減少する。
ここに本発明は上述した従来技術上の問題点を解決するために案出されたものであり、本発明の目的は、ラジカル分布を均一にしてエッチング均一度を向上させることができる半導体プラズマ処理装置及び方法を提供することにある。
本発明の他の目的は、プロセスチャンバに供給される直前に非活性のプロセスガスを活性化して生成された多量のラジカルとイオンとをプロセスチャンバに供給してエッチング率を向上させることができる半導体プラズマ処理処置及び方法を提供することにある。
上述の目的を達成するために本発明によるプラズマ処理装置は、プロセスガスが供給されてプロセスガスを活性化して多量のラジカルとイオンとを生成するリモートプラズマ発生部と、前記活性化されたプロセスガスが流入される流入ポートを有するプロセスチャンバと、前記プロセスチャンバ内に位置するウェーハが安着されるサセプタと、前記プロセスチャンバに設けられて前記活性化された工程ガスに高周波エネルギーを提供する誘導結合プラズマ発生部とを含む。
前記本発明の一実施形態において、前記誘導結合プラズマ発生部は前記プロセスチャンバの上部外壁を囲むコイルアンテナと、前記コイルアンテナにRF電力を印加するためのRF電源部とを含む。
前記本発明の一実施形態において、前記半導体プラズマ処理処置は前記プロセスチャンバの最上部に配置され、不活性ガスが供給される少なくとも一つのガス流入ポートを有し、前記不活性ガスが前記プロセスチャンバに均一に分配されるようにするガス分配プレートとをさらに含む。
前記本発明の一実施形態において、前記ガス分配プレートは前記リモートプラズマ発生部から提供される前記活性化されたプロセスガスが前記プロセスチャンバにすぐに供給されるようにする通路をさらに含む。
上述の目的を達成するために本発明による半導体プラズマ処理装置は、ウェーハが安着されるサセプタが内部に設けられるプロセスチャンバと、工程ガスが前記プロセスチャンバに供給される前に前記プロセスガスにプラズマを印加する1次プラズマ発生部と、前記1次プラズマ発生部を経て前記プロセスチャンバに提供される前記プロセスガスにプラズマを印加する2次プラズマ発生部とを含む。
前記本発明の一実施形態において、前記1次プラズマ発生部は前記プロセスガスを活性化してラジカルを生成するリモートプラズマ源である。
前記本発明の一実施形態において、前記2次プラズマ発生部は前記プロセスチャンバの上部外壁を囲むコイルアンテナと、前記コイルアンテナにRF電力を印加するためのRF電源部を含む誘導結合プラズマ源である。
前記本発明の一実施形態において、前記半導体プラズマ処理処置は前記プロセスチャンバの最上部に位置し、かつ前記プロセスガスが前記プロセスチャンバに均一に分配されるようにするガス分配プレートをさらに含む。
前記本発明の一実施形態において、前記半導体プラズマ処理処置は前記プロセスチャンバの最上部に配置され、不活性ガスが供給される少なくとも一つのガス流入ポートを有し、前記不活性ガスが前記プロセスチャンバに均一に分配されるようにするガス分配プレートをさらに含む。
前記本発明の一実施形態において、前記ガス分配プレートは前記第1プラズマ発生部から提供される前記プロセスガスが前記プロセスチャンバにすぐに供給されるようにする通路をさらに含む。
上述の目的を達成するために本発明による半導体プラズマ処理装置方法は、活性化されないプロセスガスがリモートプラズマ源に供給される段階と、前記リモートプラズマ源内で励起されて生成されたラジカルとイオンがプロセスチャンバ内に供給される段階と、活性化されない不活性ガスがプロセスチャンバ内に供給される段階と、前記プロセスチャンバ内に供給されるラジカルとイオン、そして前記不活性ガスが誘導結合プラズマ源によって活性化される段階とを含む。
前記本発明の一実施形態において、前記活性されない不活性ガスはガス分配プレートを通じて前記プロセスチャンバに均一に供給される。
前記本発明の一実施形態において、前記リモートプラズマ源から供給されるラジカルとイオンとは前記不活性ガスとは分離した経路を通じて前記プロセスチャンバ内に供給される。
本発明によるプラズマ処理装置によると、誘導結合プラズマ源とリモートプラズマ源とを使用してエッチング反応に必要なラジカルを豊富に生成させることによって、エッチング反応が活発になってエッチング効率を向上させることができる。
誘導結合プラズマ源の短所であるラジカル側面集中現象がリモートプラズマ源から供給されるラジカルによって改善して、ラジカルが多く生成されれば、エッチングが活発になってエッチング率が上昇するようになる。結果的に、エッチング処理性能及び装置稼動率の向上の効果がある。
以下では、本発明による半導体プラズマ処理装置を添付の図を参照して詳細に説明する。
本発明はここで説明される実施形態に限定されず、他の形態に実現されることができる。ここで紹介される実施形態は開示された内容が徹底して完全になるように、そして当業者に本発明の思想と特徴とを十分に伝達するために提供されるものである。図において、各々の装置は本発明の明確性のために概略的に示したものである。また、各々の装置には本明細書で詳細に説明されない各種の多様な付加装置が具備され得る。明細書の全体にわたって同一の図面符号は同一の構成要素を示す。
図1は本発明の望ましい実施形態による半導体プラズマ処理装置を示した斜視図であり、図2は本発明の望ましい実施形態による半導体プラズマ処理装置の正断面図である。図3は本発明の望ましい実施形態による半導体プラズマ処理装置の概略的な構成を示すブロック図である。
図1乃至図3に示したように、本発明の半導体プラズマ処理装置100はリモートプラズマ源と誘導結合プラズマ源によって生成されるラジカルとイオンとを利用して半導体素子製造用基板(以下基板という)の表面をエッチングまたはアッシングするための半導体製造装置である。
前記半導体プラズマ処理装置100は、その内部にプラズマ形成空間が具備されたプロセスチャンバ(process chamber)110を具備する。前記プロセスチャンバ110の内部の下側には基板Wを支持する静電チャック(electrostatic chuck)112が具備されており、この静電チャック112にはRF電源114が連結されてプロセスチャンバ110内に生成されたプラズマから抜けたイオンとラジカルがウェーハWの表面に十分に高いエネルギーを有して衝突するようにバイアス電圧を提供する。前記プロセスチャンバ110の底には真空ポンプ(図示しない)に連結される真空吸入ポート(vacuum suction port)116が形成されており、これを通じてプロセスチャンバ110の内部を真空状態にさせる。
前記プロセスチャンバ110の上部にはガス分配プレート(Gas Distribution Plate;GDP)120が設けられる。前記ガス分配プレート120は不活性(inert)ガスが供給される二つのガス流入ポート122を有する。二つのガス流入ポート122を通じて流入される不活性ガスは前記ガス分配プレートの噴射孔124等を通じて均一に前記プロセスチャンバ110に供給される。前記ガス分配プレート120は中央にリモートプラズマ源130と連結される連結ポート126を有する。前記リモートプラズマ源130で活性化されたプロセスガスは前記連結ポート126の通路126aを通じてプロセスチャンバ110の内部にすぐ供給される。
前記リモートプラズマ源130には活性化されないプロセスガス(Cl、HBr、CF)が流入される流入ポート132を有する。前記リモートプラズマ内で励起されて生成されたClラジカルとイオンは前記ガス分配プレート120の連結ポート126を通じて前記プロセスチャンバ110の中央方向へ流入される。
前記プロセスチャンバ110の上部の側壁118はRFパワーが透過されるように誘電体ウィンドウ(Dielectric Window)からなる。前記誘導結合プラズマ源140のコイルアンテナ142は前記上部側壁118の外壁を囲むように設けられる。前記コイルアンテナ142にはRF電源144が連結されてRF電流が流れるようになる。コイルアンテナ142を通じて流れるRF電流によって磁場(magnetic field)が発生され、この磁場の時間による変化でプロセスチャンバ110の内部には電場(electric field)が誘導される。この誘導電場は前記プロセスチャンバ110の内部に流入される前記不活性ガスと前記リモートプラズマ源130から供給された活性化されたプロセスガス(Clラジカルとイオン)とをイオン化させてプロセスチャンバ110内にプラズマを生成する。生成されたプラズマはウェーハWに衝突してウェーハWを所望するとおり処理、例えばエッチングするようになる。
本発明の半導体プラズマ処理装置でのエッチング工程は次のようになる。
まず、活性化されないプロセスガス(Cl、HBr、CF)は前記リモートプラズマ源130の流入ポート132を通じてリモートプラズマ源130に供給される。電力が前記リモートプラズマ源130に印加されると、前記リモートプラズマ源130内で前記プロセスガスが励起されながら塩素(以下'Cl'という)ラジカル(Radical)とイオンが生成される。このようにリモートプラズマ源130内で生成されたClラジカルとイオンは連結ポート126を通じて前記プロセスチャンバ110の内部の中央に供給される。そして、不活性ガス(O、N)は前記誘導結合プラズマ源140の上部の前記ガス分配プレート120の噴射孔124を通じてプロセスチャンバ110内に均一に供給される。このように前記プロセスチャンバ110内に供給されたClラジカルとイオン、そして酸素O、窒素Nガスから誘導結合プラズマ源140によってエッチング反応に必要なイオンが生成され、前記リモートプラズマ源から供給されたラジカルとともにエッチング反応に参加するようになる。前記リモートプラズマ源130で生成されて供給された一部のClラジカルはプロセスチャンバ110内で互いに反応してClに安定化され、この時、前記誘導結合プラズマ源140によって再び活性化されれば、Clラジカル生成効率がさらに上昇する。このように、プロセスチャンバ内にClラジカルが多く生成されれば、エッチングが活発になってエッチング率(etch rate)が上昇して、これによって、処理量(throughput)が改善される。
言い換えれば、ラジカルが前記リモートプラズマ源からプロセスチャンバの中央へ豊富に供給されれば、誘導結合プラズマ源によって生成されたプラズマとともにエッチング反応がさらに活発になって、エッチング率が向上する。
一般的に、エッチング装備に多く使用される誘導結合プラズマ源はメインエッチングガスとして使用されるClガスをラジカル化する効率が低く、Clラジカルの分布が中心よりは端で高いという短所を有する。本発明は、このような短所を補うために、誘導結合プラズマ源上部のガス注入部にリモートプラズマ源を装着して、リモートプラズマ源で発生した多量のラジカルをプロセスチャンバに供給することにその特徴がある。
本発明はClガスをラジカル化する効率が低いという誘導結合プラズマ源の短所を補うために、リモートプラズマ源を使用してエッチング工程に参加するClラジカルを多量生成するのにその特徴がある。
以上の詳細な説明は本発明を例示するものである。また、上述の内容は本発明の望ましい実施形態を示して説明することに過ぎず、本発明は多様な他の組み合わせ、変更及び環境で使用することができる。そして、本明細書に開示された発明の概念の範囲は、著わした開示内容と均等な範囲及び/または当業者の技術または知識の範囲内で変更または修正が可能である。上述の実施形態は本発明を実施することにおいて、最善の状態を説明するためのものであり、本発明のような他の発明を利用するのに当業者に知られた他の状態での実施、そして発明の具体的な適用分野及び用途で要求される多様な変更も可能である。したがって、以上の発明の詳細な説明は開示された実施状態で本発明を制限しようとする意図ではない。また請求範囲は他の実施状態も含むこととして解釈されなければならない。
本発明の望ましい実施形態による半導体プラズマ処理装置を示した斜視図である。 本発明の望ましい実施形態による半導体プラズマ処理装置の正断面図である。 本発明の望ましい実施形態による半導体プラズマ処理装置の概略的な構成を示すブロック図である。
符号の説明
110 プロセスチャンバ
120 ガス分配プレート
130 リモートプラズマ源
140 誘導結合プラズマ源

Claims (13)

  1. 半導体プラズマ処理装置において、
    プロセスガスが供給されて前記プロセスガスを活性化して多量のラジカルとイオンとを生成するリモートプラズマ源と、
    前記活性化されたプロセスガスが流入される流入ポートを有するプロセスチャンバと、
    前記プロセスチャンバ内に位置するウェーハが安着されるサセプタと、
    前記プロセスチャンバに設けられて前記活性化されたプロセスガスに高周波エネルギーを提供する誘導結合プラズマ源とを含むことを特徴とする半導体プラズマ処理装置。
  2. 前記誘導結合プラズマ源は、
    前記プロセスチャンバの上部外壁を囲むコイルアンテナと、
    前記コイルアンテナにRF電力を印加するためのRF電源部とを含むことを特徴とする請求項1に記載の半導体プラズマ処理装置。
  3. 前記半導体プラズマ処理処置は、
    前記プロセスチャンバの最上部に配置され、不活性ガスが供給される少なくとも一つのガス流入ポートを有し、前記不活性ガスが前記プロセスチャンバに均一に分配されるようにガス分配プレートをさらに含むことを特徴とする請求項1に記載の半導体プラズマ処理装置。
  4. 前記ガス分配プレートは、
    前記リモートプラズマ源から提供される前記活性化されたプロセスガスが前記プロセスチャンバにすぐ供給されるようにする通路をさらに含むことを特徴とする請求項3に記載の半導体プラズマ処理装置。
  5. 半導体プラズマ処理装置において、
    ウェーハが安着されるサセプタが内部に設けられるプロセスチャンバと、
    プロセスガスが前記プロセスチャンバに供給される前に前記プロセスガスにプラズマを印加する1次プラズマ源と、
    前記1次プラズマ源を経て前記プロセスチャンバに提供される前記プロセスガスにプラズマを印加する2次プラズマ源とを含むことを特徴とする半導体プラズマ処理装置。
  6. 前記1次プラズマ源は前記プロセスガスを活性化してラジカルを生成するリモートプラズマ源であることを特徴とする請求項5に記載の半導体プラズマ処理装置。
  7. 前記2次プラズマ源は、
    前記プロセスチャンバの上部外壁を囲むコイルアンテナと、
    前記コイルアンテナにRF電力を印加するためのRF電源部とを含むことを特徴とする請求項6に記載の半導体プラズマ処理装置。
  8. 前記半導体プラズマ処理処置は、
    前記プロセスチャンバの最上部に位置し、かつ前記プロセスガスが前記プロセスチャンバに均一に分配されるようにガス分配プレートをさらに含むことを特徴とする請求項5に記載の半導体プラズマ処理装置。
  9. 前記半導体プラズマ処理処置は、
    前記プロセスチャンバの最上部に配置し、不活性ガスが供給される少なくとも一つのガス流入ポートを有し、前記不活性ガスが前記プロセスチャンバに均一に分配されるようにするガス分配プレートをさらに含むことを特徴とする請求項5に記載の半導体プラズマ処理装置。
  10. 前記ガス分配プレートは、
    前記第1プラズマ源から提供される前記プロセスガスが前記プロセスチャンバにすぐに供給されるようにする通路をさらに含むことを特徴とする請求項9に記載の半導体プラズマ処理装置。
  11. 半導体プラズマ処理装置方法において、
    活性化されないプロセスガスがリモートプラズマ源に供給される段階と、
    前記リモートプラズマ源内で励起されて生成されたラジカルとイオンがプロセスチャンバ内に供給される段階と、
    活性化されない不活性ガスがプロセスチャンバ内に供給される段階と、
    前記プロセスチャンバ内に供給されるラジカルとイオン、そして前記不活性ガスが誘導結合プラズマ源によって活性化される段階とを含むことを特徴とする半導体プラズマ処理方法。
  12. 前記活性化されない不活性ガスはガス分配プレートを通じて前記プロセスチャンバに均一に供給されることを特徴とする請求項11に記載の半導体プラズマ処理方法。
  13. 前記リモートプラズマ源から供給されるラジカルとイオンは前記不活性ガスとは分離した経路を通じて前記プロセスチャンバ内に供給されることを特徴とする請求項12に記載の半導体プラズマ処理方法。
JP2006011279A 2005-01-21 2006-01-19 半導体プラズマ処理装置及び方法 Active JP4388020B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050005790A KR100725037B1 (ko) 2005-01-21 2005-01-21 반도체 플라즈마 처리 장치 및 방법

Publications (2)

Publication Number Publication Date
JP2006203210A true JP2006203210A (ja) 2006-08-03
JP4388020B2 JP4388020B2 (ja) 2009-12-24

Family

ID=36695463

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006011279A Active JP4388020B2 (ja) 2005-01-21 2006-01-19 半導体プラズマ処理装置及び方法

Country Status (5)

Country Link
US (1) US20060162863A1 (ja)
JP (1) JP4388020B2 (ja)
KR (1) KR100725037B1 (ja)
CN (1) CN100566502C (ja)
TW (1) TW200629336A (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010016139A (ja) * 2008-07-03 2010-01-21 Ulvac Japan Ltd エッチング装置
WO2011113177A1 (en) * 2010-03-17 2011-09-22 Applied Materials, Inc. Method and apparatus for remote plasma source assisted silicon-containing film deposition
KR101254574B1 (ko) * 2007-01-22 2013-04-15 주식회사 뉴파워 프라즈마 이중 가스 공급 채널을 갖는 플라즈마 처리 장치
KR101281191B1 (ko) * 2007-01-24 2013-07-02 최대규 유도 결합 플라즈마 반응기
JP2018530103A (ja) * 2015-09-25 2018-10-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマリアクタのための遠隔プラズマ及び電子ビーム発生システム

Families Citing this family (228)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100800726B1 (ko) * 2006-08-24 2008-02-01 동부일렉트로닉스 주식회사 웨이퍼의 플라즈마 식각 챔버와 이를 이용한 식각 방법
KR100920773B1 (ko) * 2007-07-05 2009-10-08 세메스 주식회사 기판 제조 장치
KR100978131B1 (ko) 2007-12-27 2010-08-26 세메스 주식회사 기판 처리 장치
NL2003950C2 (nl) * 2009-12-11 2011-06-15 Panalytical Bv Werkwijze voor het vervaardigen van een meerlagenstructuur met een lateraal patroon voor toepassing in het xuv-golflengtegebied en volgens deze werkwijze vervaardigde bt- en lmag-structuren.
US20120152900A1 (en) * 2010-12-20 2012-06-21 Applied Materials, Inc. Methods and apparatus for gas delivery into plasma processing chambers
KR101893471B1 (ko) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9018111B2 (en) * 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9653310B1 (en) * 2015-11-11 2017-05-16 Applied Materials, Inc. Methods for selective etching of a silicon material
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CN110998788A (zh) * 2017-08-01 2020-04-10 应用材料公司 金属氧化物后处理方法
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6545420B1 (en) * 1990-07-31 2003-04-08 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5514246A (en) * 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
KR100231345B1 (ko) 1996-02-12 1999-11-15 장홍영 그리드형 가스 분사를 이용한 유도결합 플라즈마 발생장치
JPH09251935A (ja) * 1996-03-18 1997-09-22 Applied Materials Inc プラズマ点火装置、プラズマを用いる半導体製造装置及び半導体装置のプラズマ点火方法
US5935334A (en) * 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
US6352049B1 (en) * 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6447636B1 (en) * 2000-02-16 2002-09-10 Applied Materials, Inc. Plasma reactor with dynamic RF inductive and capacitive coupling control
DE10024883A1 (de) * 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
JP2003059914A (ja) 2001-08-21 2003-02-28 Hitachi Kokusai Electric Inc プラズマ処理装置
KR100433006B1 (ko) * 2001-10-08 2004-05-28 주식회사 플라즈마트 다기능 플라즈마 발생장치
KR100446619B1 (ko) 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101254574B1 (ko) * 2007-01-22 2013-04-15 주식회사 뉴파워 프라즈마 이중 가스 공급 채널을 갖는 플라즈마 처리 장치
KR101281191B1 (ko) * 2007-01-24 2013-07-02 최대규 유도 결합 플라즈마 반응기
JP2010016139A (ja) * 2008-07-03 2010-01-21 Ulvac Japan Ltd エッチング装置
WO2011113177A1 (en) * 2010-03-17 2011-09-22 Applied Materials, Inc. Method and apparatus for remote plasma source assisted silicon-containing film deposition
JP2018530103A (ja) * 2015-09-25 2018-10-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマリアクタのための遠隔プラズマ及び電子ビーム発生システム

Also Published As

Publication number Publication date
CN100566502C (zh) 2009-12-02
KR20060085281A (ko) 2006-07-26
US20060162863A1 (en) 2006-07-27
JP4388020B2 (ja) 2009-12-24
TW200629336A (en) 2006-08-16
KR100725037B1 (ko) 2007-06-07
CN1842241A (zh) 2006-10-04

Similar Documents

Publication Publication Date Title
JP4388020B2 (ja) 半導体プラズマ処理装置及び方法
TW561545B (en) Plasma processing system with dynamic gas distribution control
US10090160B2 (en) Dry etching apparatus and method
TWI704845B (zh) 用於循環與選擇性材料移除與蝕刻的處理腔室
TWI423308B (zh) A plasma processing apparatus, a plasma processing method, and a dielectric window for use therefor and a method of manufacturing the same
CN105379428B (zh) 等离子体处理装置和等离子体处理方法
KR20090005763A (ko) 플라즈마 발생장치
TW201642311A (zh) 用以增加自對準圖案化整合架構中之圖案密度的方法
US10418224B2 (en) Plasma etching method
JP5055114B2 (ja) プラズマドーピング方法
KR20070041220A (ko) 플라즈마 처리 장치
JP2012049376A (ja) プラズマ処理装置およびプラズマ処理方法
US7744720B2 (en) Suppressor of hollow cathode discharge in a shower head fluid distribution system
KR100798355B1 (ko) 대면적 처리용 외장형 권선 코일을 구비하는 플라즈마처리장치
US20090137128A1 (en) Substrate Processing Apparatus and Semiconductor Device Producing Method
JP2010267670A (ja) プラズマ処理方法
KR20070100070A (ko) 플라즈마 처리 장치
JP6871550B2 (ja) エッチング装置
KR20070121395A (ko) 유도 결합형 플라즈마 안테나
JP4324541B2 (ja) プラズマ処理装置
US20060061287A1 (en) Plasma processing apparatus and control method thereof
JP2004165644A (ja) プラズマ処理装置および方法
JP2001110597A (ja) 磁気中性線放電プラズマ発生装置
CN116364540A (zh) 基板处理设备和基板处理方法
JP2007134428A (ja) ドライエッチング方法およびその装置

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090303

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090603

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090901

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091001

R150 Certificate of patent or registration of utility model

Ref document number: 4388020

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121009

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131009

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250