TWI593317B - 可調間隙電漿腔室內之雙重侷限與超高壓力用之方法 - Google Patents

可調間隙電漿腔室內之雙重侷限與超高壓力用之方法 Download PDF

Info

Publication number
TWI593317B
TWI593317B TW105108902A TW105108902A TWI593317B TW I593317 B TWI593317 B TW I593317B TW 105108902 A TW105108902 A TW 105108902A TW 105108902 A TW105108902 A TW 105108902A TW I593317 B TWI593317 B TW I593317B
Authority
TW
Taiwan
Prior art keywords
gap
plasma
electrode
plasma processing
processing chamber
Prior art date
Application number
TW105108902A
Other languages
English (en)
Other versions
TW201625075A (zh
Inventor
費雪安德里斯
哈得森艾瑞克
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201625075A publication Critical patent/TW201625075A/zh
Application granted granted Critical
Publication of TWI593317B publication Critical patent/TWI593317B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Description

可調間隙電漿腔室內之雙重侷限與超高壓力用之方法
本發明係關於電漿處理系統。
電漿處理中的進步已促進半導體產業中的成長。半導體產業係高競爭性的市場。製造公司能夠處理不同製程條件中之基板的能力可以使其略勝過競爭者。因此,製造公司投入時間與資源以確認改善基板處理的方法與/或設備。
用以執行基板處理的典型處理系統可為電容耦合電漿(capacitively-coupled plasma,CCP)處理系統。此電漿處理系統可建構成能夠在一系列製程參數中進行處理。然而,近年來,可被處理的裝置類型變得更精巧,且可能需要更精確的處理控制。例如,欲處理的裝置隨著更細微的特徵而變得更小,且為了更好的良率,可能需要更精確控制電漿參數,如整塊基板上的電漿密度與均勻性。蝕刻腔中之晶圓區域的壓力控制可為影響電漿密度與均勻性之製程參數的範例。
在電漿處理室內,半導體裝置的製造可能需要利用電漿的多步驟處理。於半導體裝置的電漿處理期間,通常使電漿處理室維持在針對每一處理 步驟之預先定義的壓力。如熟悉本技藝者所知悉的,可經由運用機械真空泵浦、渦輪泵浦、限制環的安置與/或其組合而達成此預先定義的壓力。
按慣例,可運用閥門組件調節排氣渦輪泵浦,而獲得壓力控制,用以維持電漿處理室中之預先定義的壓力條件。然而,槽閥門所控制的壓力可導致整個腔中普遍性的變遷,而無法在該腔之不同區域中提供不同的壓力控制。
在先前技藝中,可經調整限制環組件之限制環間的間隙而控制此電漿處理室之電漿生成區(如二電極所概括及限制環所圍繞的區域)的壓力。調整此間隙而控制電漿生成區中排氣的流速,從而影響壓力。自電漿生成區中傳導出的總氣體流量可能取決於數個因素,包括限制環的數目與限制環間的間隙大小,但不限於此。因此,壓力範圍的操作容許度會受腔室間隙及/或這些限制環之間隙所限。此外,因這些限制環的固定直徑,對於前述的處理,電漿的截面可為固定直徑。
在先前技藝中,配有保持複數個分化型電漿容積之能力的電漿處理室可用以解決上述之固定截面的電漿間題。在例子中,寬間隙的組態可用以提供帶著相對低壓的增大電漿截面。在另一例子中,窄間隙的組態可用以提供習知的電漿截面,但會得到相對較高壓。然而,未對該系統提供有效的分化型壓力控制。
鑑於需要以多步驟處理基板,當中每一步驟可能涉及不同的壓力,在電漿處理系統中,亟希望於更寬範圍的壓力上改善提供分化型壓力控制的能力。
在實施例中,本發明係關於電漿處理系統,其具有用以處理基板的電漿處理室。該電漿處理系統包括處理該基板用的至少一上電極及一下電極。該基板於電漿處理期間被置於該下電極上,其中該上電極與該基板形成第一間隙。該電漿處理系統也包括上電極周邊延伸部(UE-PE)。該UE-PE係機械地與該上電極的周邊耦合,其中該UE-PE係架構為與該上電極成非共平面。該電漿處理系統更包括蓋環。該蓋環係架構為同心地繞著該下電極,其中該UE-PE及該蓋環形成第二間隙。
上述概要僅關於本文所揭露之本發明許多實施例中的一者,且不意在限制本發明的範疇,該範疇係由本文的請求項所闡明。下文在本發明的詳細描述及伴隨的附圖中,將更詳盡地描述本發明的這些及其它特徵。
100‧‧‧(卡盤)銷
100‧‧‧電漿處理系統
102‧‧‧上電極組件
102a‧‧‧內上電極構件
102b‧‧‧外上電極構件
104‧‧‧下電極組件
106‧‧‧腔室間隙
108‧‧‧基板
110‧‧‧靜電卡盤(ESC)
112‧‧‧邊緣環
114‧‧‧絕緣體環
116‧‧‧聚焦環
118‧‧‧石英蓋環
120‧‧‧旁路環
122‧‧‧旁路腔
124‧‧‧限制環組件
126‧‧‧阻塞點
128‧‧‧間隙
130a‧‧‧區域
130b‧‧‧區域
132‧‧‧肩部
134‧‧‧槽閥門
136‧‧‧渦輪分子泵(TMP)
200‧‧‧電漿處理室
230‧‧‧區域
240‧‧‧箭頭
本發明係藉由隨附圖示之圖表中的例子說明且不限於此,而圖中同樣的參照數字代表相似的元件,其中圖式為:圖1依據本發明之實施例顯示電漿處理系統的簡化示意圖,該電漿處理系統在上電極組件與下電極組件間配有可調間隙,以針對超高壓力及/或低傳導性體系產生帶有對稱室的窄間隙組態。
圖2依據本發明之實施例顯示電漿處理系統的簡化示意圖,該電漿處理系統在上電極組件與下電極組件間配有可調間隙,以針對低壓力及/或高傳導性體系產生帶有非對稱室的寬間隙組態。
現在將參照如附圖所說明的一些實施例而詳盡地描述本發明。在接下來的描述中,為提供本發明之徹底了解而闡明眾多的具體細節。然而,對於熟悉本技藝者,明顯的是,不用這些具體細節的部分或全部即可實行本發明。在其它例子中,為了避免非必要地搞混本發明而沒有詳盡地描述眾所皆知的處理步驟及/或結構。
依據本發明的實施例,提供了在相同電漿處理室中供給寬範圍之壓力的方法與設備。在若干電漿處理系統中,腔室間隙(即上電極與下電極間的間隙)係配方參數且可逐步變化。在這些電漿處理系統中,可提供用以移動下電極組件以調整該腔室間隙的機制。在其它電漿處理系統中,可移動上電極組件。在本文的揭露中,假定腔室具有可移式下電極。然而,應了解到,本文的發明實施例同樣也可應用在上電極係可移式的腔室(替代性或額外地)。
在一或多個實施例中,將上電極接地同時對下電極供電。在實施執行中,上電極的周邊設有繞著該上電極的環形(即圓環形)圈。本文中該環形延伸部係指上電極周邊延伸部(UE-PE)。
可架構該UE-PE底下至石英蓋環的間隙,俾使當該上電極與該下電極間的間隙夠窄時,會出現一個位置點,在該處該UE-PE底下的間隙不夠大到足以維持該UE-PE底下的電漿,而位在該UE-PE內側之上電極底下的間隙仍夠大而足以維持電漿。在此窄間隙的情形中,UE-PE底下的間隙可代表極高流量限制之區。在實施例中,可調整UE-PE底下之間隙的高度,以控制壓力而在間隙區(其位在該UE-PE內側的上電極底下)中達到超高壓力及低傳導性。
隨著上電極與下電極間的間隙逐漸增大,但該UE-PE底下的間隙卻不夠大到足以維持該UE-PE下的電漿,而位於該UE-PE內側之上電極底下的間隙仍夠大以維持電漿,則實施例中的窄間隙組態可實現低壓力及高傳導性。
隨著上電極與下電極間的間隙進一步逐漸增大,則出現一個位置點,在該處該UE-PE底下的間隙大到足以維持該UE-PE底下的電漿,而位於該UE-PE內側之上電極底下的間隙也夠大以維持電漿。在此寬間隙組態中,可實現低壓力及高傳導性。限制環可用以遏制電漿及/或控制壓力。
如可以從上述中理解的,對於窄間隙組態及寬間隙組態兩者,已供電之下電極的有效RF耦合區域保持不變。然而,在寬間隙組態中,已接地之電極的有效RF耦合區域變大了。因此,該窄間隙組態可提供RF耦合的第一面積比,而該寬間隙組態可提供RF耦合的第二面積比,即因較大的有效RF耦合區域而較第一面積比大。
在實施例中,可藉由使UE-PE與上電極成非共平面,而實現間隙中的差異(即上電極與該下電極間在上電極中央區的間隙與UE-PE底下的間隙)。例如,該UE-PE可在該上電極底下突出。該UE-PE在實施執行中隨著上電極移動,其中該上電極係可移動的。
在其它實施例中,下電極周邊延伸部(LE-PE)可用以與下電極成非共平面。例如,該LE-PE可高過於電極。在例子中,該LE-PE可為石英蓋環。該LE-PE在實施執行中隨著下電極移動,其中該下電極係可移動的。
參照接下來的圖式及討論(其與本發明之先前技藝的機制及實施例對照)可更了解本發明的特徵及優點。
圖1依據本發明之實施例顯示電漿處理系統的簡化示意圖,該電漿處理系統在上電極組件與下電極組件間配有可調間隙,以針對超高壓力及/或低傳導性體系產生帶有對稱室的窄間隙組態。電漿處理系統100可為單頻、雙頻或三頻電容式放電系統,或可為電感式耦合電漿系統或為運用不同之電漿生成與/或維持技術的電漿系統。在圖1的例子中,射頻頻率可包括2、27與60MHz,但不限於此。
參照圖1,電漿處理系統100於實施例中可配有上電極組件102及下電極組件104。上電極組件102及下電極組件104可彼此相隔一腔室間隙106。上電極組件102可包括接地或由RF電源供應器(未顯示)供電的至少一上電極。
在圖1的例子中,上電極組件102在實施例中可接地。此外,上電極組件102在實施例中可配有內上電極構件102a及外上電極構件102b。在實施例中,外上電極構件102b可為內上電極構件102a的環狀延伸部。此處,外上電極構件102b可被稱為上電極周邊延伸部(UE-PE)。
如圖1所示,內上電極構件102a與UE-PE 102b可由如圖1所示之不同的構件形成。或者,內上電極構件102a與UE-PE 102b在實施例中可形成為單一單元。此外,內上電極構件102a與/或UE-PE 102b在實施例中可由複數個構件形成。
下電極組件104可配有靜電卡盤(ESC)110、邊緣環112、絕緣體環114、聚焦環116、石英蓋環118、限制環組件124及/或旁路環(by-pass ring)120。如圖1所示,旁路環120可由鋁形成。在實施例中,旁路環120可配有旁路腔(by-pass cavity)122,使氣體得以經旁路腔122排出。如圖1所示,與渦輪分子泵(TMP)136耦合的槽閥門134可用以自電漿處理系統100中排出處理氣體。前述構件的特徵係熟悉該技藝者所熟知,且將不詳加討論以簡化討論。
在實施例中,UE-PE 102b可配有台階,即阻塞點126。由於有了階梯,UE-PE 102b的下表面可在上電極構件102a的下表面底下延伸或凸出。如圖1所示,UE-PE 102b的下表面與石英蓋環118的頂表面在實施例中可相隔第二間隙128。在實施例中,間隙128的尺寸可藉由移動上電極組件102及/或下電極組件而調整。
在實施例中,可藉由製作非共平面的台階而形成該阻塞點。例如,該UE-PE可在該上電極的表面底下延伸或凸出。替代性或此外,下電極周邊 延伸部(LE-PE)可用以與該下電極成非共平面。例如,該LE-PE可高於該電極。在例子中,該LE-PE可為石英蓋環118。
如圖1所示,電漿處理系統100可配有二潛在電漿維持區:區域130a,或區域130a加間隙128再加區域130b。在實施例中,每當腔室間隙106夠大以維持電漿時,區域130a就能夠維持電漿。反之,在實施例中,每當阻塞區域中的間隙128係夠大以維持電漿時,區域130a加間隙128再加區域130b就能夠維持電漿。此係繪示於圖2中。
於電漿處理期間,可供應處理氣體(未顯示)至腔室間隙106中。可藉由對下電極組件104供應RF功率,而將供應至腔室間隙106中的處理氣體激發成電漿態。例如,考慮到可移動下電極組件104以創造窄間隙組態的情況,其中間隙128的尺寸可能不夠大(相對於平均自由徑)到足以維持電漿。
在圖1的窄間隙組態中,電漿可維持於實施例中之腔室間隙106的區域130a中。阻塞區的間隙128可能不夠大到維持電漿。因此,區域130b可能無法維持電漿。在窄間隙組態中,拉起限制環組件124,以限制額外的流阻塞。
在實施例中,可縮放上電極與下電極,俾可在窄間隙組態中達到1:1的面積比,使腔室在該窄間隙組態中成對稱腔室。
在實施例中,於該窄間隙組態中,可達到並控制區域130a與電漿處理系統之其餘部分間的差壓。在例子中,可用主動回饋迴路控制腔室間隙106中的壓力。在實施例中,可量測區域130a中的壓力,且可調整間隙128、槽閥門134及/或氣體流速,以控制區域130a中的壓力。
例如,考慮到於基板108的電漿處理期間在區域130a中可能需要超高壓力(如在Torr範圍中)的情況。可移動下電極組件104a至一降低高度,而為間隙128形成極窄的間隙。間隙128的阻塞區可代表顯著地阻塞氣體流量的極高 流量限制區。在實施例中,間隙128的高度不夠大到維持間隙128及/或區域130b中的電漿。
經由前述的主動回饋迴路,可藉由調整間隙128的高度而控制區域130a中的壓力。例如,可藉由進一步降低間隙128的高度而增加區域130a中的壓力。在實施例中,在經調整間隙128所控制之整個壓力範圍中,間隙128仍不夠大到足以維持區域130b中的電漿。
替代性及/或此外,在實施例中,可藉由調整經控制區域130a之處理氣體的流量而控制區域130a中的壓力。在例子中,可增加處理氣體的流量,以提高區域130a中的壓力而在區域130a中獲得超高壓力。
替代性及/或此外,在實施例中,可藉由調整在TMP 136上游的槽閥門134而達到區域130a的壓力控制。在例子中,槽閥門134可為節流閥緊閉以回壓電漿室區域,而增大壓力以在區域130a中獲得超高壓力。
參照圖1,因來流量限制相較於自間隙128的流量限制係微不足道,故可不必在超高壓力體系的壓力控制中使用限制環組件124。此外,限制環組件124平行於旁路環120,其具有比限制環組件124間的間隙更高的傳導性。例如,限制環組件124可為摺叠態,坐落在旁路環120之肩部;或如圖1所示可被上拉至晶圓傳輸位置。經旁路環120之旁路腔122的氣體傳導可使來自限制環組件124的壓力控制變得微不足道。
因此,區域130a因高流速及/或高流量限制而能到獲得超高壓力,如高達約5Torr。如此,在實施例中,帶有窄間隙組態的對稱腔室可獲得超高壓力及/或低傳導性,而不受處理腔室之其它者的影響。
在先前技藝中,藉由限縮間隙128的大小至不足大到維持電漿,間隙128可用以將區域130b中的電漿熄滅。相反地,不僅可利用間隙128將區域 130b中的電漿熄滅,也可調整間隙128以控制區域130b中的壓力。如此,可將間隙128窄化超過電漿熄滅之點以控制壓力。
例如,考慮到帶有對稱腔室及窄間隙之組態的另一情況,其中在電漿處理期間區域130a可能需要低壓力及/或高傳導性。圖1係用以說明帶有對稱腔室之低壓力及/或高傳導性體系的例子。例如,在實施例中,可移動下電極組件104,俾使間隙128大到足以降低流量限制,但仍能夠防止區域130b中的電漿點燃。
參照圖1,電漿維持在區域130a中。間隙128窄到足以將電漿熄滅,且在區域130b中無法保持電漿。在實施例中,間隙128可大到足以增加氣體傳導,使區域130a中的壓力下降。在實施例中,可藉由調整間隙128而獲得區域130a的壓力控制。在實施例中,間隙128之大小的上限範圍可限制在間隙128維持電漿的大小(相對於平均自由徑)。
替代性及/或此外,在實施例中,可藉由調整經區域130a之處理氣體的流量而控制區域130a中的壓力。在例子中,可減少處理氣體的流量以降低區域130a中的壓力。
替代性及/或此外,在實施例中,可藉由調整在TMP 136上游的槽閥門134而實現區域130a的壓力控制。在例子中,槽閥門134可為節流閥開放以降低區域130a中的壓力。
在帶有對稱腔室的低壓力體系下,限制環組件124可用以控制壓力。參照圖1,可調降限制環組件124,並可藉由調整限制環組件124間的間隙而控制區域130a中的壓力。使用限制環組件控制壓力的方法係熟係該技藝者所熟知,並不詳加討論以簡化討論。
因此,藉由調整間隙128可實現帶有對稱腔室的低壓體系,以增大傳導同時阻止外部區域130b維持電漿。可藉由調整間隙128、限制環組件124、氣體流速及/或槽閥門134而控制區域130a中的壓力。
圖2依據本發明之實施例顯示電漿處理系統的簡化示意圖,該電漿處理系統在上電極組件102與下電極組件104間配有可調間隙,以針對低壓力及/或高傳導性體系產生帶有非對稱室的寬間隙組態。參考圖1對圖2進行討論以便於了解。
例如,如圖2所示,考慮到在電漿處理室200中基板108的處理可能需要低壓(如低至約5mili-Torr)的情況。在實施例中,藉由依箭頭240的方向移動下電極組件104以增大間隙128的高度,可獲得低壓力及/或高傳導性。間隙128之高度的增加會引起更高的傳導性。在實施例中,間隙128係夠大且可在區域230中維持電漿。區域230可自腔室的中央延伸出至限制環組件124的內緣。如圖2所示,限制環組件124可用以將電漿侷限在特定區域內。
在圖2的寬間隙組態中,已接地之上電極與已供電之下電極的面積比可為高比例,即該比例超過1:1,使得腔室成非對稱。相對於對稱組態,電漿維持在如圖2所示之非對稱組態的區域230中,而不像電漿僅維持在如圖1所示的區域130a中。例如,對於寬間隙組態,接地與供電RF電極面積的高比例可在基板108處造成高偏壓及高離子能量。
如圖2所示,對於非對稱組態,氣體可經旁路環120的旁路腔122而流出區域230,促成達到低壓力的能力。因旁路腔122及間隙128的增大高度,可限制在該非對稱組態中所獲得的高壓力。
在低壓的非對稱組態中,如圖2所示,藉由調整限制環組件124的間隙,可控制區域230中的壓力。可調降限制環組件124,且可調整限制環組件124間的間隙而控制壓力。
替代性及/或此外,在實施例中可調整經區域230的處理氣體流量而控制區域230中的壓力。在例子中,可減少處理氣體的流量以降低區域230中的壓力。
替代性及/或此外,在實施例中,可藉由調整在TMP 136上游的槽閥門134而實現區域230的壓力控制。在例子中,槽閥門134可為節流閥開放以降低區域230中的壓力。
因此,可在帶有非對稱腔室之間隙128的寬間隙組態中實現帶有增大傳導性的低壓力體系。可調整限制環組件124間的間隙、氣體流速及/或槽閥門134而控制區域230中的壓力。
如可自前文所理解的,本發明的實施例允許分化型壓力控制,以在電漿處理系統中提供寬範圍的壓力及/或傳導性。所獲得的壓力範圍可自約5mili-Torr至約5Torr。在超高壓力範圍中,可能為伽瑪模式(gamma mode)的電漿處理。此外,不同的間隙組態可允許控制已接地之上電極與已供電之下電極面積比,得以控制晶圓偏壓及離子能量和離子能量分布。如此,可使用相同的電漿處理室執行需要各種配方(涵蓋寬範圍的壓力及/或偏壓與離子能量或離子能量分布)的基板,降低利用多重電漿處理室時會遭遇的成本及/或時間延遲。
雖然已按照數個較佳實施例敘述本發明,在不離開本發明之範疇內,當可做替換、交換及等價動作。也應注意到,有許多替換方法可執行本發明之方法與設備。此外,本發明的實施例可運用於其它應用。為了便利性在本文提供了摘要部分,但因字數限制,僅為了閱讀方便而寫下該摘要部分,並不應用以限制本發明的範疇。因此有意將本發明解釋為在不離開本發明之真實精神與範疇內,包括所有替換、交換及等價動作。
100‧‧‧電漿處理系統
102‧‧‧上電極組件
102a‧‧‧內上電極構件
102b‧‧‧外上電極構件
104‧‧‧下電極組件
106‧‧‧腔室間隙
108‧‧‧基板
110‧‧‧靜電卡盤(ESC)
112‧‧‧邊緣環
114‧‧‧絕緣體環
116‧‧‧聚焦環
118‧‧‧石英蓋環
120‧‧‧旁路環
122‧‧‧旁路腔
124‧‧‧限制環組件
126‧‧‧阻塞點
128‧‧‧間隙
130a‧‧‧區域
130b‧‧‧區域
132‧‧‧肩部
134‧‧‧槽閥門
136‧‧‧渦輪分子泵(TMP)

Claims (16)

  1. 一種在電漿處理室中控制壓力的方法,該方法包括以下步驟:提供至少一上電極及一下電極,用以處理該基板,該基板於電漿處理期間被置於該下電極上,其中該上電極及該基板形成第一間隙,其中將該上電極接地且對該下電極供電;提供一上電極周邊延伸部(UE-PE),該UE-PE係機械地與該上電極的周邊耦合,其中該UE-PE亦接地且係架構為與該上電極成非共平面;提供由石英形成的一蓋環,該蓋環繞著該下電極,其中該UE-PE之下表面及該蓋環的上表面形成第二間隙,其中該第二間隙小於該第一間隙,其中該上電極連同該UE-PE及該下電極連同該蓋環之其中一者係可於垂直於該下電極之一平面的方向上移動,以形成至少一第一操作模式及一第二操作模式,因此在該第二操作模式中之該第二間隙大於在該第一操作模式中之該第二間隙,該第一操作模式之特徵為在該第一間隙中具有電漿但在該第二間隙中沒有電漿、以及接地電極面積比供電電極面積的第一RF耦合面積比,該第二操作模式之特徵為在該第一間隙中具有電漿並且在該第二間隙中亦具有電漿、以及接地電極面積比供電電極面積的第二RF耦合面積比,其中該第二RF耦合面積比大於該第一RF耦合面積比;在該電漿處理室內產生一電漿,以處理該基板;及調整該第二間隙與該第一間隙,以控制該電漿處理室內的壓力。
  2. 如申請專利範圍第1項之在電漿處理室中控制壓力的方法,其中該UE-PE與該上電極形成為單一單元。
  3. 如申請專利範圍第1項之在電漿處理室中控制壓力的方法,其中該UE-PE與該上電極係由複數個構件形成。
  4. 如申請專利範圍第1項之在電漿處理室中控制壓力的方法,其中該電漿處理室更包括一組限制環,該方法包括:當該上電極與該下電極間存在有第一間隔時,配置該組限制環以調節一處理壓力;及當該上電極與該下電極間因該調整步驟而存在有第二間隔時,完全地收起該組限制環。
  5. 如申請專利範圍第1項之在電漿處理室中控制壓力的方法,其中該調整步驟係在原位進行。
  6. 如申請專利範圍第1項之在電漿處理室中控制壓力的方法,其中該調整步驟包括依垂直於該下電極之一平面的方向移動該上電極連同該UE-PE及該下電極連同該蓋環之其中一者。
  7. 如申請專利範圍第6項之在電漿處理室中控制壓力的方法,其中僅有該上電極連同該UE-PE係可調整的。
  8. 如申請專利範圍第6項之在電漿處理室中控制壓力的方法,其中僅有該下電極連同該蓋環係可調整的。
  9. 如申請專利範圍第6項之在電漿處理室中控制壓力的方法,其中該調整步驟產生至少該第一RF耦合面積比與該第二RF耦合面積比,該第一RF耦合面積比為1:1,從而仿效一對稱的電漿處理室,該第二RF耦合面積比係大於1:1的比例,從而仿效一非對稱的電漿處理室。
  10. 如申請專利範圍第1項之在電漿處理室中控制壓力的方法,更包含:提供具有一旁路腔的一旁路環;及排除至少一部分之該處理所產生的氣體。
  11. 一種在電容耦合電漿處理室中控制壓力的方法,該方法包括以下步驟:提供一接地之上電極;提供一被供電之下電極,用以在處理期間支撐基板,當該基板被置於該下電極上,該下電極係可於垂直於該基板之一平面的方向上移動,因此該上電極及該基板形成第一間隙;提供由石英形成的一蓋環,該蓋環繞著該下電極,其中該蓋環與該下電極一起移動;及提供一接地之UE-PE,該UE-PE架構為同心地繞著該上電極且相對於該上電極而固定,因此該UE-PE之下表面的至少一部分係架構為與該上電極的下表面成非共平面,因此該UE-PE之下表面的該至少一部分與該蓋環的上表面形成第二間隙,且因此該第二間隙較該第一間隙窄而與可移動的該下電極之位置無關,其中該下電極係可移動以產生第一電漿模式及第二電漿模式,該第一電漿模式中之該第一間隙及該第二間隙兩者皆足以將電漿維持在其中,且該第一電漿模式以接地電極面積比供電電極面積的第一RF耦合面積比為特徵,該第二電漿模式中之該第一間隙具有足以將該電漿維持在該第一間隙中的高度,而該第二電漿模式中之該第二間隙具有過窄而不足以將該電漿維持在該第二間隙中的高度,且該第二電漿模式以接地電極 面積比供電電極面積的第二RF耦合面積比為特徵,其中該第二RF耦合面積比小於該第一RF耦合面積比;在該電容耦合電漿處理室內產生一電漿,以處理該基板;及調整該第二間隙與該第一間隙,以控制該電容耦合電漿處理室內的壓力。
  12. 如申請專利範圍第11項之在電容耦合電漿處理室中控制壓力的方法,其中當在該第一電漿模式中時,該下電極的位置為可變的,藉此針對多個下電極的位置而將該電漿維持在該第一間隙及該第二間隙中。
  13. 一種在電容耦合電漿處理室中控制壓力的方法,該方法包括以下步驟:提供至少一上電極及一下電極,用以處理該基板,該基板於電漿處理期間被置於該下電極上,其中該上電極及該基板形成第一間隙,其中將該上電極接地且對該下電極供電;提供一UE-PE,該UE-PE係機械地與該上電極的周邊耦合,其中該UE-PE亦接地;及提供由石英形成的一蓋環,該蓋環繞著該下電極,其中該蓋環之上表面相對於該下電極之上表面成非共平面,該UE-PE之下表面與及該蓋環的上表面形成第二間隙,其中該第二間隙小於該第一間隙,其中該上電極連同該UE-PE及該下電極連同該蓋環之其中一者係可於垂直於該下電極之一平面的方向上移動,以形成至少一第一操作模式及一第二操作模式,因此在該第二操作模式中之該第二間隙大於在該第一操作模式中之該第二間隙,該第一操作模式之特徵為在該第一間隙中具有電漿但在該第二間隙中沒有電漿、以及接地電極面積比供電電極面積的第一RF耦合面積比,該第二操作 模式之特徵為在該第一間隙中具有電漿並且在該第二間隙中亦具有電漿、以及接地電極面積比供電電極面積的第二RF耦合面積比,其中該第二RF耦合面積比大於該第一RF耦合面積比;在該電容耦合電漿處理室內產生一電漿,以處理該基板;及調整該第二間隙與該第一間隙,以控制該電容耦合電漿處理室內的壓力。
  14. 如申請專利範圍第13項之在電容耦合電漿處理室中控制壓力的方法,其中該UE-PE的該下表面係以從與該上電極共平面之一點到位於該上電極之下表面下方之一點的角度向下傾斜。
  15. 如申請專利範圍第13項之在電容耦合電漿處理室中控制壓力的方法,其中該第一操作模式提供具有對稱腔室的窄間隙組態,該窄間隙組態以超高壓力及低傳導性體系其中至少一者為特徵。
  16. 如申請專利範圍第13項之在電容耦合電漿處理室中控制壓力的方法,其中該第二操作模式提供具有非對稱腔室的寬間隙組態,該寬間隙組態以低壓力及高傳導性體系其中至少一者為特徵。
TW105108902A 2008-12-19 2009-12-18 可調間隙電漿腔室內之雙重侷限與超高壓力用之方法 TWI593317B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13948108P 2008-12-19 2008-12-19
US12/368,843 US8869741B2 (en) 2008-12-19 2009-02-10 Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber

Publications (2)

Publication Number Publication Date
TW201625075A TW201625075A (zh) 2016-07-01
TWI593317B true TWI593317B (zh) 2017-07-21

Family

ID=42266749

Family Applications (2)

Application Number Title Priority Date Filing Date
TW098143679A TWI538566B (zh) 2008-12-19 2009-12-18 可調間隙電漿腔室內之雙重侷限與超高壓力用之方法與設備
TW105108902A TWI593317B (zh) 2008-12-19 2009-12-18 可調間隙電漿腔室內之雙重侷限與超高壓力用之方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW098143679A TWI538566B (zh) 2008-12-19 2009-12-18 可調間隙電漿腔室內之雙重侷限與超高壓力用之方法與設備

Country Status (8)

Country Link
US (2) US8869741B2 (zh)
EP (1) EP2380412B1 (zh)
JP (1) JP5872291B2 (zh)
KR (1) KR101591128B1 (zh)
CN (1) CN102257885B (zh)
SG (1) SG10201705046SA (zh)
TW (2) TWI538566B (zh)
WO (1) WO2010080420A2 (zh)

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9224618B2 (en) * 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
JP5970268B2 (ja) * 2012-07-06 2016-08-17 株式会社日立ハイテクノロジーズ プラズマ処理装置および処理方法
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10533251B2 (en) 2015-12-31 2020-01-14 Lam Research Corporation Actuator to dynamically adjust showerhead tilt in a semiconductor processing apparatus
US9953843B2 (en) * 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
KR102581226B1 (ko) * 2016-12-23 2023-09-20 삼성전자주식회사 플라즈마 처리 장치
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
TWI780093B (zh) * 2017-12-15 2022-10-11 美商蘭姆研究公司 用於電漿腔室的環結構及系統
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPH0214517A (ja) * 1988-07-01 1990-01-18 Mitsubishi Electric Corp エツチング装置
US5089442A (en) * 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
TW357404B (en) * 1993-12-24 1999-05-01 Tokyo Electron Ltd Apparatus and method for processing of plasma
JP3257741B2 (ja) * 1994-03-03 2002-02-18 東京エレクトロン株式会社 プラズマエッチング装置及び方法
TW299559B (zh) * 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
TW323387B (zh) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP3192370B2 (ja) * 1995-06-08 2001-07-23 東京エレクトロン株式会社 プラズマ処理装置
JP3319285B2 (ja) * 1996-06-05 2002-08-26 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6284093B1 (en) * 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
TW418461B (en) * 1997-03-07 2001-01-11 Tokyo Electron Ltd Plasma etching device
KR100258984B1 (ko) * 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JP4165946B2 (ja) * 1998-11-30 2008-10-15 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
JP2001230237A (ja) 2000-02-14 2001-08-24 Rohm Co Ltd 半導体基板用プラズマ表面処理装置における下部電極盤の構造
KR100635975B1 (ko) * 2000-02-14 2006-10-20 동경 엘렉트론 주식회사 플라즈마 처리 장치 및 방법과, 플라즈마 처리 장치용 링 부재
US6553932B2 (en) * 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6433484B1 (en) * 2000-08-11 2002-08-13 Lam Research Corporation Wafer area pressure control
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6492774B1 (en) * 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP2002198355A (ja) * 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
CN1302152C (zh) * 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
JP2002305179A (ja) * 2001-04-05 2002-10-18 Matsushita Electric Ind Co Ltd プラズマ処理方法
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US6974523B2 (en) * 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
US6984288B2 (en) 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
TW200626020A (en) * 2001-12-13 2006-07-16 Tokyo Electron Ltd Ring mechanism, and plasma processor using the ring mechanism
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
JP2003264169A (ja) * 2002-03-11 2003-09-19 Tokyo Electron Ltd プラズマ処理装置
JP4102873B2 (ja) * 2002-03-29 2008-06-18 東京エレクトロン株式会社 プラズマ処理装置用電極板及びプラズマ処理装置
TW558789B (en) * 2002-05-02 2003-10-21 Hitachi High Tech Corp Semiconductor processing device and diagnostic method of semiconductor processing device
CN100442429C (zh) * 2002-05-23 2008-12-10 蓝姆研究公司 用于半导体处理等离子反应器的多部分电极以及替换多部分电极的一部分的方法
US6841943B2 (en) * 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
KR100465877B1 (ko) * 2002-08-23 2005-01-13 삼성전자주식회사 반도체 식각 장치
US6963043B2 (en) * 2002-08-28 2005-11-08 Tokyo Electron Limited Asymmetrical focus ring
JP2004119448A (ja) * 2002-09-24 2004-04-15 Nec Kyushu Ltd プラズマエッチング装置およびプラズマエッチング方法
CN1518073A (zh) * 2003-01-07 2004-08-04 东京毅力科创株式会社 等离子体处理装置及聚焦环
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
JP4286025B2 (ja) * 2003-03-03 2009-06-24 川崎マイクロエレクトロニクス株式会社 石英治具の再生方法、再生使用方法および半導体装置の製造方法
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
JP4268433B2 (ja) 2003-04-02 2009-05-27 積水化学工業株式会社 プラズマ処理装置
US7244336B2 (en) * 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7713380B2 (en) * 2004-01-27 2010-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for backside polymer reduction in dry-etch process
JP2005217240A (ja) * 2004-01-30 2005-08-11 Matsushita Electric Ind Co Ltd ドライエッチング装置およびドライエッチング方法
JP2005303099A (ja) * 2004-04-14 2005-10-27 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
US7713431B2 (en) * 2004-06-10 2010-05-11 Tokyo Electron Limited Plasma processing method
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US7837825B2 (en) * 2005-06-13 2010-11-23 Lam Research Corporation Confined plasma with adjustable electrode area ratio
US7713379B2 (en) * 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
KR101218114B1 (ko) * 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US8038837B2 (en) * 2005-09-02 2011-10-18 Tokyo Electron Limited Ring-shaped component for use in a plasma processing, plasma processing apparatus and outer ring-shaped member
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US20070116872A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US7743730B2 (en) * 2005-12-21 2010-06-29 Lam Research Corporation Apparatus for an optimized plasma chamber grounded electrode assembly
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US7578258B2 (en) * 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US7670432B2 (en) * 2006-03-08 2010-03-02 Tokyo Electron Limited Exhaust system for a vacuum processing system
US7794546B2 (en) * 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US7740705B2 (en) * 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US20070227666A1 (en) * 2006-03-30 2007-10-04 Tokyo Electron Limited Plasma processing apparatus
US8635971B2 (en) * 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7740736B2 (en) * 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7572737B1 (en) * 2006-06-30 2009-08-11 Lam Research Corporation Apparatus and methods for adjusting an edge ring potential substrate processing
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8043430B2 (en) * 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US7758718B1 (en) * 2006-12-29 2010-07-20 Lam Research Corporation Reduced electric field arrangement for managing plasma confinement
KR100849179B1 (ko) * 2007-01-10 2008-07-30 삼성전자주식회사 갭 발생방지구조 및 이를 갖는 플라즈마 처리설비
US7858898B2 (en) * 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US9536711B2 (en) 2007-03-30 2017-01-03 Lam Research Corporation Method and apparatus for DC voltage control on RF-powered electrode
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8563619B2 (en) * 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
US7758764B2 (en) * 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US7837827B2 (en) * 2007-06-28 2010-11-23 Lam Research Corporation Edge ring arrangements for substrate processing
CN101809717B (zh) * 2007-09-25 2012-10-10 朗姆研究公司 用于等离子处理设备的喷头电极总成的温度控制模块
US8622021B2 (en) * 2007-10-31 2014-01-07 Lam Research Corporation High lifetime consumable silicon nitride-silicon dioxide plasma processing components
MY166000A (en) * 2007-12-19 2018-05-21 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
US8522715B2 (en) * 2008-01-08 2013-09-03 Lam Research Corporation Methods and apparatus for a wide conductance kit
TWI516175B (zh) * 2008-02-08 2016-01-01 蘭姆研究公司 在電漿處理腔室中穩定壓力的方法及其程式儲存媒體
KR101577474B1 (ko) * 2008-02-08 2015-12-14 램 리써치 코포레이션 플라즈마 프로세싱 장치용 rf 리턴 스트랩
TWI501704B (zh) * 2008-02-08 2015-09-21 Lam Res Corp 於電漿處理系統中用以改變面積比之方法與裝置
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8449679B2 (en) * 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
JP5391659B2 (ja) * 2008-11-18 2014-01-15 東京エレクトロン株式会社 プラズマ処理装置
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8313612B2 (en) * 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US20110011534A1 (en) * 2009-07-17 2011-01-20 Rajinder Dhindsa Apparatus for adjusting an edge ring potential during substrate processing
SG169960A1 (en) * 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
DE202010014805U1 (de) * 2009-11-02 2011-02-17 Lam Research Corporation (Delaware Corporation) Heissrandring mit geneigter oberer Oberfläche
US8485128B2 (en) * 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
US9082593B2 (en) * 2011-03-31 2015-07-14 Tokyo Electron Limited Electrode having gas discharge function and plasma processing apparatus
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes

Also Published As

Publication number Publication date
JP5872291B2 (ja) 2016-03-01
US9548186B2 (en) 2017-01-17
CN102257885B (zh) 2014-11-26
CN102257885A (zh) 2011-11-23
KR101591128B1 (ko) 2016-02-02
WO2010080420A3 (en) 2010-08-26
EP2380412B1 (en) 2017-09-27
US8869741B2 (en) 2014-10-28
SG10201705046SA (en) 2017-07-28
TW201625075A (zh) 2016-07-01
US20150011097A1 (en) 2015-01-08
WO2010080420A2 (en) 2010-07-15
EP2380412A2 (en) 2011-10-26
JP2012513093A (ja) 2012-06-07
KR20110114538A (ko) 2011-10-19
EP2380412A4 (en) 2015-03-18
US20100159703A1 (en) 2010-06-24
TWI538566B (zh) 2016-06-11
TW201036494A (en) 2010-10-01

Similar Documents

Publication Publication Date Title
TWI593317B (zh) 可調間隙電漿腔室內之雙重侷限與超高壓力用之方法
KR102098698B1 (ko) 플라즈마 처리 장치
US7988814B2 (en) Plasma processing apparatus, plasma processing method, focus ring, and focus ring component
US5242539A (en) Plasma treatment method and apparatus
TWI386996B (zh) 具有可調整電極區域比例之局限電漿
KR101498322B1 (ko) 와이드 컨덕턴스 키트를 위한 방법 및 장치
KR20230076807A (ko) 반도체 제조에서 플라즈마 프로세스 공간을 제어하도록 전기적 비대칭 효과를 사용하기 위한 시스템들 및 방법들
TWI501704B (zh) 於電漿處理系統中用以改變面積比之方法與裝置
US20140141619A1 (en) Capacitively coupled plasma equipment with uniform plasma density
US10418224B2 (en) Plasma etching method
US20140138030A1 (en) Capacitively coupled plasma equipment with uniform plasma density
JP2009088284A (ja) プラズマ処理装置
US6646223B2 (en) Method for improving ash rate uniformity in photoresist ashing process equipment
JP2012049376A (ja) プラズマ処理装置およびプラズマ処理方法
KR20200051505A (ko) 배치대 및 기판 처리 장치
JP2016096342A (ja) プラズマ処理装置
TWI588939B (zh) A silicon through hole etching method
TWI828132B (zh) 約束環、等離子處理裝置及其排氣控制方法
US20240087856A1 (en) Substrate treating apparatus and substrate treating method using the same
KR20230169722A (ko) 스월 모션을 형성하는 사이드 가스 피드가 구비된 플라즈마 챔버