KR101591128B1 - 조정가능 갭 플라즈마 챔버에서의 듀얼 컨파인먼트 및 초고압을 위한 방법 및 장치 - Google Patents

조정가능 갭 플라즈마 챔버에서의 듀얼 컨파인먼트 및 초고압을 위한 방법 및 장치 Download PDF

Info

Publication number
KR101591128B1
KR101591128B1 KR1020117014096A KR20117014096A KR101591128B1 KR 101591128 B1 KR101591128 B1 KR 101591128B1 KR 1020117014096 A KR1020117014096 A KR 1020117014096A KR 20117014096 A KR20117014096 A KR 20117014096A KR 101591128 B1 KR101591128 B1 KR 101591128B1
Authority
KR
South Korea
Prior art keywords
gap
electrode
upper electrode
plasma
lower electrode
Prior art date
Application number
KR1020117014096A
Other languages
English (en)
Other versions
KR20110114538A (ko
Inventor
안드레아스 피셔
에릭 허드슨
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20110114538A publication Critical patent/KR20110114538A/ko
Application granted granted Critical
Publication of KR101591128B1 publication Critical patent/KR101591128B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판을 처리하기 위해 구성된 플라즈마 처리 챔버를 가지는 플라즈마 처리 시스템을 제공한다. 플라즈마 처리 시스템은 기판을 처리하기 위해 적어도 상부 전극 및 하부 전극을 포함한다. 플라즈마 처리 동안 기판은 하부 전극 상에 배치되고, 상부 전극 및 기판은 제 1 갭을 형성한다. 또한, 플라즈마 처리 시스템은 상부 전극 주변 확장부 (UE-PE) 를 포함한다. UE-PE는 상부 전극의 주변부에 기계적으로 결합되고, 상기 UE-PE는 상부 전극과 비공면 (non co-planar) 이 되도록 구성된다. 플라즈마 처리 시스템은 커버 링을 더 포함한다. 커버 링은 하부 전극을 동심으로 (concentrically) 둘러싸도록 구성되고, 여기서 UE-PE 및 커버 링은 제 2 갭을 형성한다.

Description

조정가능 갭 플라즈마 챔버에서의 듀얼 컨파인먼트 및 초고압을 위한 방법 및 장치{METHODS AND APPARATUS FOR DUAL CONFINEMENT AND ULTRA-HIGH PRESSURE IN AN ADJUSTABLE GAP PLASMA CHAMBER}
플라즈마 처리의 진보는 반도체 산업에서의 성장을 용이하게 하였다. 반도체 산업은 고도로 경쟁적인 시장이다. 제조 회사가 상이한 처리 조건들로 기판을 처리할 수 있는 능력은 그 제조 회사에게 경쟁자들보다 유리함을 부여할 수 있다. 따라서, 제조 회사들은 기판 처리를 향상시키기 위한 방법들 및/또는 배열들을 찾기 위해 시간과 자원들을 할애해 왔다.
기판 처리를 수행하기 위해 채용될 수 있는 통상적인 처리 시스템은 용량-결합형 플라즈마 (CCP) 처리 시스템일 수 있다. 플라즈마 처리 시스템은 프로세스 파라미터들의 범위 내에서 처리할 수 있도록 구축될 수 있다. 그러나, 근래에, 처리될 디바이스들의 타입은 더욱 정교해졌고, 더욱 정밀한 공정 제어를 요구할 수도 있다. 예를 들면, 피처리 디바이스들은 피쳐들 (features) 이 더 미세해짐에 따라 점점 더 소형화되고 있으며, 더 우수한 수율을 위해 기판에 걸친 플라즈마 밀도 및 균일도와 같은 플라즈마 파라미터들의 더욱 정밀한 제어를 요구할 수도 있다. 에칭 챔버에서 웨이퍼 영역의 압력 제어는, 플라즈마 밀도 및 균일도에 영향을 미치는 프로세스 파라미터의 일례일 수 있다.
반도체 디바이스들의 제조는, 플라즈마 처리 챔버 내에서 플라즈마를 채용하는 복수 단계 프로세스들을 필요로 할 수 있다. 반도체 디바이스(들) 의 플라즈마 처리 중에, 플라즈마 처리 챔버는 통상적으로 그 공정의 각 단계 동안 소정 압력으로 유지될 수 있다. 소정 압력은, 당업자에게 잘 알려진 바와 같이, 기계식 진공 펌프(들), 터보 펌프(들), 컨파인먼트 링 포지셔닝 (confinement ring positioning) 및/또는 그것의 조합들을 채용하는 것을 통해 달성될 수 있다.
종래에는, 밸브 조립체가 배기 터보 펌프(들) 을 스로틀링 (throttling) 하기 위해 채용됨으로써 플라즈마 처리 챔버 내의 소정 압력 조건들을 유지하기 위한 압력 제어를 획득할 수 있었다. 그러나, 뱃 밸브 (vat valve) 에 의해 제어되는 압력은 챔버의 상이한 지역들에서의 차별적인 압력 제어를 제공하는 능력없이, 전체 챔버에서의 전면적인 변경을 발생시킬 수 있다.
종래 기술에서, 플라즈마 처리 챔버의 플라즈마 생성 지역 (예를 들면, 두개의 전극들에 의해 캡슐화되고 컨파인먼트 링들에 의해 둘러싸인 지역) 에서의 압력은, 컨파인먼트 링 조립체의 컨파인먼트 링들 사이의 갭 (gap) 을 조정함으로써 제어될 수 있다. 갭 조정으로 플라즈마 생성 지역으로부터의 배기 가스 플로우 레이트 (flow rate) 를 제어하고, 결과적으로 압력에 영향을 미칠 수 있다. 플라즈마 생성 지역 밖의 전체 가스 유동 컨덕턴스는, 컨파인먼트 링들의 갯수 및 컨파인먼트 링들 사이의 갭의 사이즈를 포함하지만 이에 한정되지는 않는, 몇몇 팩터들 (factors) 에 의존할 수 있다. 이에 따라, 압력 범위용 작동 윈도우즈 (windows) 는 챔버 갭 및/또는 이들 컨파인먼트 링들의 갭에 의해 제한될 수도 있다. 또한, 플라즈마 단면은 이들 컨파인먼트 링들의 고정 직경으로 인해, 전술한 공정을 위한 고정된 직경일 수 있다.
종래 기술에서, 전술한 플라즈마의 고정된 단면의 문제점을 해결하기 위해, 복수의 차별화된 플라즈마 볼륨 (differentiated plasma volume) 을 유지하는 능력을 갖도록 구성된 플라즈마 처리 챔버가 채용될 수 있다. 일례에서, 넓은 갭 구성 (wide-gap configuration) 이 채용됨으로써 상대적으로 저 압력을 갖는 증가된 플라즈마 단면을 제공할 수 있다. 다른 예에서, 좁은 갭 구성 (narrow-gap configuration) 이 채용됨으로써 종래의 플라즈마 단면을 제공하지만 상대적으로 더 높은 압력이 달성될 수 있다. 그러나, 플라즈마 처리 시스템을 위한 능동적인 차별화된 압력 제어는 제공되고 있지 않다.
각 단계가 상이한 압력을 포함할 수도 있는 복수 단계로 기판을 처리할 필요성의 관점에서, 플라즈마 처리 시스템들의 더 넓은 범위의 압력에 대해 차별화된 압력 제어를 제공하는 능력의 개선이 매우 요망된다.
본 발명은, 일 실시형태에서, 기판을 처리하기 위해 구성된 플라즈마 처리 챔버를 가지는 플라즈마 처리 시스템에 관한 것이다. 플라즈마 처리 시스템은 기판을 처리하기 위한 적어도 상부 전극 및 하부 전극을 포함한다. 플라즈마 처리 동안 기판은 하부 전극 상에 배치되고, 상부 전극 및 기판은 제 1 갭을 형성한다. 또한, 플라즈마 처리 시스템은 상부 전극 주변 확장부 (UE-PE : Upper Electrode Peripheral Extension) 를 포함한다. UE-PE는 상부 전극의 주변부에 기계적으로 결합되고, 상기 UE-PE는 상부 전극과 비공면 (non co-planar) 이 되도록 구성된다. 플라즈마 처리 시스템은 커버 링을 더 포함한다. 커버 링은 하부 전극을 동심으로 (concentrically) 둘러싸도록 구성되고, 여기서 UE-PE 및 커버 링은 제 2 갭을 형성한다.
상기 요약은 본원에 개시된 발명의 다수 실시형태들 중의 하나에만 관련된 것이고, 본원의 청구범위에 설명된 본 발명의 범위를 제한하도록 의도된 것이 아니다. 본 발명의 이들 특징 및 다른 특징은 다음의 도면과 함께 아래의 본 발명의 상세한 설명에서 더욱 구체적으로 설명될 것이다.
첨부된 도면들의 특징들에 있어서, 본 발명은 한정이 아닌 예시로서 도시된 것이고, 유사한 참조 번호들은 유사한 엘리먼트들을 지칭한다.
도 1은 본 발명의 실시형태에 따라, 상부 전극 조립체와 하부 전극 조립체 사이에 조정가능 갭이 구성되고, 초고압 및/또는 저 컨덕턴스 체제를 위해 대칭 챔버로 좁은 갭 구성을 산출하는 플라즈마 처리 시스템의 단순 개략도를 나타낸다.
도 2는 본 발명의 실시형태에 따라, 상부 전극 조립체와 하부 전극 조립체 사이에 조정가능 갭이 구성되고, 저 압력 및/또는 고 컨덕턴스 체제를 위해 비대칭 챔버로 넓은 갭 구성을 산출하는 플라즈마 처리 시스템의 단순 개략도를 나타낸다.
이하, 첨부된 도면들에서 나타낸 본 발명의 몇몇 실시형태들을 참조하여 본 발명을 상세하게 설명할 것이다. 이하의 설명에서는, 본 발명의 완전한 이해를 제공하기 위해 다수의 구체적 상세들이 기술된다. 그러나, 본 발명은 이들 구체적 상세들의 일부 또는 전부가 없이도 실시될 수 있다는 것이 당업자에게 자명할 것이다. 다른 예들에서, 잘 알려진 처리 단계들 및/또는 구조물들은 본 발명을 필요없이 불분명하게 하지않도록 상세히 설명하지 않는다.
본 발명의 실시형태들에 따르면, 동일한 플라즈마 처리 챔버에서 광범위한 압력을 제공하는 방법들 및 장치들이 제공된다. 일부 플라즈마 처리 시스템들에서, 챔버 갭 (즉, 상부 및 하부 전극 사이의 갭) 은 레서피 파라미터 (recipe parameter) 이고 각 단계들마다 상이할 수도 있다. 이들 플라즈마 처리 시스템들에서, 하부 전극 조립체를 이동시킴으로써 챔버 갭을 조정하도록 구성된 메커니즘이 제공될 수도 있다. 다른 플라즈마 처리 시스템들에서는, 상부 전극 조립체가 이동될 수도 있다. 본원의 개시에서는, 챔버가 이동 하부 전극을 가지는 것으로 가정된다. 그러나, 본원 발명의 실시형태들은 상부 전극이 (택일적으로 또는 추가적으로) 이동가능한 챔버들에 대해서도 동일하게 잘 적용되는 것임을 이해해야 한다.
하나 이상의 실시형태들에서, 상부 전극은 접지되고 하부 전극은 전원공급된다. 일 구현에서, 상부 전극의 주변부에는 그 상부 전극을 둘러싸는 고리 모양의, 즉 도넛 형상의 링이 제공된다. 본원에서 상기 고리 모양의 확장부는 상부 전극 주변 확장부 (UE-PE : Upper Electrode Peripheral Extension) 로서 지칭된다.
석영 커버 링까지 존재하는 UE-PE 아래의 갭은, 상부 전극과 하부 전극 사이의 갭이 충분히 좁혀짐에 따라 UE-PE 아래의 갭이 UE-PE 아래의 플라즈마를 유지하기에 불충분한 크기가 되는 반면, UE-PE의 내측의 상부 전극 밑에 있는 갭은 여전히 플라즈마를 유지하기에 충분히 큰 상태를 유지하는 지점에 이르도록 구성될 수 있다. 이러한 좁은 갭의 경우, UE-PE 아래의 갭은 매우 높은 흐름 제한의 영역을 나타낼 수 있다. 일 실시형태에서, UE-PE 아래의 갭의 높이가 조정되어 압력을 제어함으로써, UE-PE 내측 상부 전극의 밑에 있는 갭의 영역에서 초고압 및 저 컨덕턴스를 획득할 수 있다.
일 실시형태에서는, 상부 전극과 하부 전극 사이의 갭이 점증적으로 커지는 반면 UE-PE 아래의 갭은 플라즈마를 유지하기에 불충분하게 크고 UE-PE의 내측 상부 전극의 밑에 있는 갭은 플라즈마를 유지하기에 충분히 큰 상태를 여전히 유지하므로, 더 낮은 압력 및 더 높은 컨덕턴스가 좁은 갭 구성에 대해 달성될 수 있다.
상부 전극과 하부 전극 사이의 갭이 점증적으로 더 커지므로, UE-PE 아래의 갭은 플라즈마를 유지하기에 충분히 큰 반면 UP-PE의 내측 상부 전극의 밑에 있는 갭 또한 플라즈마를 유지하기에 충분히 크게 되는 지점에 이른다. 이러한 넓은 갭 구성에서는, 저 압력 및 고 컨덕턴스가 달성될 수 있다. 플라즈마를 수용하고 및/또는 압력을 제어하기 위해서 컨파인먼트 링들이 채용될 수도 있다.
전술한 것으로부터 인식될 수 있는 바와 같이, 전원공급된 하부 전극의 유효 RF 커플링 영역은 좁은 갭 구성 및 넓은 갭 구성 양자 모두에 대해 동일함을 유지한다. 그러나, 넓은 갭 구성에서는, 접지된 전극의 유효 RF 커플링 영역이 커진다. 따라서, 좁은 갭 구성은 RF 커플링의 제 1 면적비를 제공하는 반면 넓은 갭 구성은 RF 커플링의 제 2 면적비, 즉, 더 큰 유효 RF 접지 커플링 영역으로 인해 더 큰 RF 커플링의 제 2 면적비를 제공할 수 있다.
일 실시형태에서, 갭들 (즉, 상부 전극의 중앙 지역에서의 상부 전극과 하부 전극 사이의 갭 및 UE-PE 아래의 갭) 의 차이는 UE-PE를 상부 전극과 비공면 (non co-planar) 으로 만듦으로써 달성될 수 있다. 예를 들면, UE-PE는 상부 전극의 아래로 돌출할 수 있다. 상부 전극이 이동가능한 구현형태에서는 UE-PE가 상부 전극과 함께 이동한다.
다른 실시형태에서, 하부 전극 주변 확장부 (LE-PE) 는 하부 전극과 비공면 (non co-planar) 이 되도록 채용될 수 있다. 예를 들어, LE-PE는 하부 전극의 위로 상승될 수 있다. 일례에서, LE-PE는 석영 커버 링일 수 있다. 하부 전극이 이동가능한 구현형태에서는 LE-PE가 하부 전극과 함께 이동한다.
본 발명의 특징들 및 장점들은 (종래 기술 메커니즘들과 본 발명의 실시형태들이 대비된) 다음의 도면 및 설명을 참조하여 더욱 용이하게 이해될 수 있을 것이다.
도 1은 본 발명의 실시형태에 따라, 상부 전극 조립체와 하부 전극 조립체 사이에 조정가능 갭이 구성됨으로써 초고압 및/또는 저 컨덕턴스 체제용 대칭 챔버로 좁은 갭 구성을 산출하는 플라즈마 처리 시스템의 단순 개략도를 나타낸다. 플라즈마 처리 시스템 (100) 은 단일, 이중 또는 삼중의 주파수가 용량적으로 방전되는 시스템일 수 있고, 또는 유도적으로 결합되는 플라즈마 시스템이거나 다른 플라즈마 생성 및/또는 유지 기술을 채용한 플라즈마 시스템일 수 있다. 도 1의 예에서는, 무선 주파수가 2, 27 및 60 MHz를 포함할 수 있지만 이에 한정되지 않는다.
도 1을 참조하면, 일 실시형태에서 플라즈마 처리 시스템 (100) 에는 상부 전극 조립체 (102) 및 하부 전극 조립체 (104) 가 구성될 수 있다. 상부 전극 조립체 (102) 및 하부 전극 조립체 (104) 는 챔버 갭 (106) 에 의해 서로로부터 분리될 수 있다. 상부 전극 조립체 (102) 는 RF 전원 공급장치 (미도시) 에 의해 전원공급되거나 접지될 수 있는 상부 전극을 적어도 포함할 수 있다.
도 1의 예에 있어서, 일 실시형태에서 상부 전극 조립체 (102) 는 접지될 수 있다. 또한, 일 실시형태에서 상부 전극 조립체 (102) 에는 안쪽 상부 전극 컴포넌트 (102a) 및 바깥쪽 상부 전극 컴포넌트 (102b) 가 구성될 수 있다. 일 실시형태에서 바깥쪽 전극 컴포넌트 (102b) 는 안쪽 상부 전극 (102a) 의 고리 모양의 확장부일 수 있다. 본 명세서에서, 바깥쪽 전극 컴포넌트 (102b) 는 상부 전극 주변 확장부 (UE-PE) 로 지칭될 수도 있다.
도 1에 나타낸 바와 같이, 안쪽 상부 전극 컴포넌트 (102a) 및 UE-PE (102b) 는 도 1에 나타낸 상이한 컴포넌트들로 형성될 수 있다. 다르게는, 일 실시형태에서 안쪽 상부 전극 (102a) 및 UE-PE (102b) 는 모놀리식 유닛 (monolithic unit) 으로서 형성될 수도 있다. 또한, 일 실시형태에서 안쪽 상부 전극 (102a) 및/또는 UE-PE (102b) 는 복수의 컴포넌트들로 형성될 수도 있다.
일 실시형태에서 하부 전극 조립체 (104) 에는 정전척 (ESC)(110), 에지 링 (112), 인슐레이터 링 (114), 포커스 링 (116), 석영 커버 링 (118), 컨파인먼트 링 조립체 (124), 및/또는 바이패스 링 (120) 이 구성될 수 있다. 도 1에 나타낸 바와 같이, 바이패스 링 (120) 은 알루미늄으로 형성될 수 있다. 일 실시형태에서, 바이패스 링 (120) 에는 바이패스 캐비티 (122) 가 구성됨으로써 바이패스 캐비티 (122) 를 통해 가스가 배출가능하게 할 수 있다. 도 1에 나타낸 바와 같이, 터보 분자 펌프 (TMP)(136) 에 결합된 뱃 밸브 (134) 가 채용됨으로써 플라즈마 처리 시스템 (100) 으로부터 처리된 가스를 배출할 수도 있다. 전술한 컴포넌트들의 특징들은 당업자에게 잘 알려져 있으며, 설명을 단순화하기 위해 상세히 설명하지 않을 것이다.
일 실시형태에서, UE-PE (102b) 에는 단차 (step) 즉, 초크 포인트 (choke point)(126) 가 구성될 수 있다. 단차의 결과로서, UE-PE (102b) 의 하부면은 안쪽 상부 전극 (102a) 의 하부면 아래로 연장 또는 돌출할 수 있다. 도 1에 나타낸 바와 같이, 일 실시형태에서 UE-PE (102b) 의 하부면 및 석영 커버 링 (118) 의 상부면은 제 2 갭 (128) 에 의해 분리될 수 있다. 일 실시형태에서 갭 (128) 의 사이즈는 상부 전극 조립체 (102) 및/또는 하부 전극 조립체를 이동시키는 것에 의해 조정가능할 수 있다.
일 실시형태에서, 초크 포인트는 비공면 단차 (non co-planar step) 를 만드는 것에 의해 형성될 수 있다. 예를 들면, UE-PE는 상부 전극의 표면 아래로 연장 또는 돌출할 수 있다. 선택적으로 또는 추가적으로, 하부 전극 주변 확장부 (LE-PE) 는 하부 전극과 비공면이 되도록 채용될 수 있다. 예를 들면, LE-PE는 하부 전극의 위로 상승될 수 있다. 일례에서, LE-PE는 석영 커버 링 (118) 일 수 있다.
도 1에 나타낸 바와 같이, 플라즈마 처리 시스템 (100) 에는 2 개의 가능한 플라즈마 유지 지역들: 지역 (130a) 또는 지역들 (130a와 128과 130b) 이 구성될 수 있다. 일 실시형태에서, 챔버 갭 (106) 이 플라즈마를 유지하기에 충분히 클 때에는 언제나 지역 (130a) 이 플라즈마를 유지할 수 있다. 반면, 일 실시형태에서 초크 지역의 갭 (128) 이 플라즈마를 유지하기에 충분히 클 때에는 언제나 지역들 (130a와 128과 130b) 이 플라즈마를 유지할 수 있다. 이것은 도 2에 도시되어 있다.
플라즈마 처리 동안, 공정처리된 가스 (미도시) 는 챔버 갭 (106) 으로 공급될 수 있다. 챔버 갭 (106) 으로 공급되는 공정처리된 가스는 하부 전극 조립체 (104) 에 공급된 RF 전원에 의해 플라즈마 상태로 여기될 수 있다. 예컨대, 하부 전극 조립체 (104) 가 이동됨으로써 좁은 갭 구성을 형성할 수 있고, 갭 (128) 의 사이즈는 (평균자유경로에 관하여) 플라즈마를 유지하기에 불충분하게 클 수 있는 상황을 고려한다.
도 1의 좁은 갭 구성에 있어서, 일 실시형태에서 플라즈마는 챔버 갭 (106) 의 지역 (130a) 에서 유지될 수 있다. 초크 지역의 갭 (128) 은 플라즈마를 유지하기에 불충분하게 클 수 있다. 이에 따라, 지역 (130b) 이 플라즈마를 유지하지 못할 수 있다. 좁은 갭 구성에서는, 컨파인먼트 링 조립체 (124) 가 풀업 (pull up) 되어서 추가적인 흐름 장애를 제한한다.
일 실시형태에서, 상부 전극과 하부 전극은 좁은 갭 구성에 있어서 1:1 면적비 (area ratio) 를 달성하여, 챔버를 좁은 갭 구성에 있어서의 대칭 챔버로 만들도록 사이징될 수도 있다.
좁은 갭 구성에서는, 일 실시형태에서 플라즈마 처리 시스템의 지역 (130a) 과 나머지 부분 사이의 상이한 압력이 획득 및 제어될 수 있다. 일례에서, 챔버 갭 (106) 에서의 압력은 능동적 피드백 루프 (active feedback loop) 에 의해 제어될 수 있다. 일 실시형태에서, 지역 (130a) 에서의 압력이 측정될 수 있고, 갭 (128), 뱃 밸브 (134) 및/또는 가스 플로우 레이트가 조정됨으로써 지역 (130a) 의 압력을 제어할 수도 있다.
예컨대, 기판 (108) 의 플라즈마 처리 동안 지역 (130a) 에 예를 들어 토르 (Torr) 범위의 초고압이 요구될 수 있는 상황을 고려한다. 하부 전극 조립체 (104) 는 갭 (128) 에 대한 매우 좁은 갭을 형성하기 위해 감소된 높이까지 이동될 수 있다. 갭 (128) 의 초크 지역 (choke region) 은 가스 흐름을 상당히 초킹 (choking) 하는 매우 높은 흐름 제한의 영역을 나타낼 수 있다. 일 실시형태에서, 갭 (128) 의 높이는 갭 (128) 및/또는 지역 (130b) 의 플라즈마를 유지하기에 불충분하게 크다.
전술한 능동적 압력 피드백 루프를 통해, 갭 (128) 의 높이를 조정함으로써 지역 (130a) 의 압력을 제어할 수 있다. 예를 들면, 갭 (128) 의 높이를 더 감소시는 것에 의해, 지역 (130a) 의 압력을 증가시킬 수 있다. 일 실시형태에서, 갭 (128) 은, 갭 (128) 을 조정하는 것을 통해 제어된 압력 범위의 전체에 걸쳐서 지역 (130b) 의 플라즈마를 유지하기에 불충분하게 큰 상태로 남는다.
선택적으로 및/또는 추가적으로, 일 실시형태에서 지역 (130a) 을 통해 공정처리된 가스의 흐름을 조정하는 것에 의해 지역 (130a) 의 압력이 제어될 수 있다. 일례에서, 공정처리된 가스의 흐름이 증가되어 지역 (130a) 의 압력을 증가시킴으로써, 지역 (130a) 의 초고압을 획득하기 위해 압력을 증가시킬 수 있다.
선택적으로 및/또는 추가적으로, 일 실시형태에서 지역 (130a) 의 압력 제어는 TMP (136) 의 뱃 밸브 (134) 업스트림 (upstream) 을 조정하는 것에 의해 달성될 수 있다. 일례에서, 뱃 밸브 (134) 는 백 프레셔 (back pressure) 플라즈마 챔버 지역까지 폐쇄됨으로써 지역 (130a) 의 압력을 증가시켜 초고압을 획득하는 스로틀일 수 있다.
도 1을 참조하면, 초고압 체제를 위한 압력 제어에서는 흐름 제한이 갭 (128) 으로부터의 흐름 제한과 비교하여 중요하지 않기 때문에 컨파인먼트 링 세트 (124) 가 채용되지 않을 수 있다. 또한, 컨파인먼트 링 세트 (124) 는 컨파인먼트 링 세트 (124) 사이의 갭들 보다 훨씬 더 큰 컨덕턴스를 갖는 바이패스 링 (120) 과 평행하다. 예를 들면, 컨파인먼트 링 세트 (124) 는 바이패스 링 (120) 의 숄더 (132) 에 놓여지는 접힌 상태 (collapsed state) 로 구성되거나, 도 1에 나타낸 바와 같이 웨이퍼 이송 위치로 풀업 (pull up) 될 수 있다. 바이패스 링 (120) 의 바이패스 캐비티 (122) 를 통한 가스 컨덕턴스는 컨파인먼트 링 세트 (124) 로부터의 압력 제어를 중요하지 않게 만들 수 있다.
그러므로, 높은 플로우 레이트 (flow rate) 및/또는 높은 흐름 제한으로 인해, 지역 (130a) 은 예를 들어 약 5 토르 (Torr) 까지의 초고압을 획득할 수 있다. 이에 따라, 일 실시형태에서 좁은 갭 구성을 가진 대칭 챔버는 처리 챔버의 나머지 부분에 독립한 저 컨덕턴스 및/또는 초고압을 획득할 수 있다.
종래 기술에서, 갭 (128) 은 플라즈마를 유지하기에 불충분한 크기가 되도록 갭 (128) 의 사이즈를 좁힘으로써 지역 (130b) 의 플라즈마를 소실시키도록 채용될 수 있다. 대조적으로, 갭 (128) 은 지역 (130b) 의 플라즈마를 소실시키기 위해 채용될 수 있을 뿐만 아니라 지역 (130b) 의 압력을 제어하도록 조정될 수도 있다. 따라서, 갭 (128) 은 압력 제어를 위해서 플라즈마를 소실시킬 지점 이상으로 좁아질 수도 있다.
또 다른 상황, 예컨대 대칭 챔버 및 좁은 갭을 가지는 구성에 대해, 플라즈마 처리 중에 지역 (130a) 에서의 저 압력 및/또는 고 컨덕턴스가 요구될 수 있는 상황을 고려한다. 도 1은 대칭 챔버로 저 압력 및/또는 고 컨덕턴스 체제의 예를 설명하기 위해 채용된다. 예컨대, 일 실시형태에서 하부 전극 조립체 (104) 는 갭 (128) 이 흐름 제한을 감소시키기에 충분히 크지만 여전히 지역 (130b) 에서의 플라즈마 점화를 방지할 수 있도록 이동될 수 있다.
도 1을 참조하면, 지역 (130a) 에는 플라즈마가 유지될 수 있다. 갭 (128) 은 플라즈마를 소실시키도록 충분히 좁고, 또한 지역 (130b) 에는 플라즈마가 유지되지 않는다. 일 실시형태에서, 갭 (128) 은 가스 컨덕턴스를 증가시키기에 충분히 커서 지역 (130a) 에 저압을 발생시킬 수 있다. 일 실시형태에서, 지역 (130a) 의 압력 제어는 갭 (128) 을 조정하는 것에 의해 획득될 수 있다. 일 실시형태에서 갭 (128) 의 사이즈에 대한 상위 범위는 플라즈마를 유지하기 위한 (평균자유경로에 관한) 갭 (128) 의 크기로 한정될 수 있다.
선택적으로 및/또는 추가적으로, 일 실시형태에서 지역 (130a) 을 통해 공정처리된 가스의 흐름을 조정함으로써 지역 (130a) 의 압력을 제어할 수 있다. 일례에서, 지역 (130a) 에서의 압력을 감소시키기 위해 공정처리된 가스의 흐름을 감소시킬 수 있다.
선택적으로 및/또는 추가적으로, 일 실시형태에서 지역 (130a) 의 압력 제어는 TMP (136) 의 뱃 밸브 (134) 업스트림을 조정하는 것에 의해 달성될 수 있다. 일례에서, 뱃 밸브 (134) 는 지역 (130a) 에서의 압력을 감소시키도록 개방되는 스로틀일 수 있다.
대칭 챔버를 가지는 저 압력 체제에서는, 컨파인먼트 링 세트 (124) 가 채용되어 압력을 제어할 수 있다. 도 1을 참조하면, 컨파인먼트 링 세트 (124) 는 하강할 수 있고, 컨파인먼트 링 세트 (124) 사이의 갭들을 조정하는 것에 의해 지역 (130a) 의 압력을 제어할 수 있다. 컨파인먼트 링 세트를 채용하여 압력을 제어하는 방법은 당업자에게 잘 알려져 있으며, 설명을 단순화하기 위해 상세히 설명하지 않겠다.
그러므로, 갭 (128) 을 조정하여 컨덕턴스를 증가시키는 한편 외부의 지역 (130b) 이 플라즈마를 유지하는 것을 방지하는 대칭 챔버 구성으로 저압 체제를 달성할 수 있다. 지역 (130a) 의 압력은 갭 (128), 컨파인먼트 링 세트 (124), 가스 플로우 레이트, 및/또는 뱃 밸브 (134) 를 조정하는 것에 의해 제어될 수 있다.
도 2는 본 발명의 실시형태에 따라, 상부 전극 조립체 (102) 와 하부 전극 조립체 (104) 사이에 조정가능 갭이 구성되고, 저 압력 및/또는 고 컨덕턴스 체제를 위해 비대칭 챔버로 넓은 갭 구성을 산출하는 플라즈마 처리 시스템의 단순 개략도를 나타낸다. 이해를 용이하게 하기 위해, 도 2는 도 1과 비교하여 설명한다.
도 2에 나타낸 바와 같이, 플라즈마 처리 시스템 (200) 에서 기판 (108) 의 처리를 위해 예컨대, 저 압력, 예를 들어 약 5 밀리토르 (mili-Torr) 만큼 낮은 저 압력이 요구될 수 있는 상황을 고려한다. 일 실시형태에서 화살표 240의 방향으로 하부 전극 조립체 (104) 를 이동시켜 갭 (128) 의 높이를 증가시킴으로써 저 압력 및/또는 고 컨덕턴스를 획득할 수 있다. 갭 (128) 의 높이의 증가는 더 높은 컨덕턴스를 발생시킬 수 있다. 일 실시형태에서, 갭 (128) 은 충분히 크고, 지역 (230) 에는 플라즈마가 유지될 수 있다. 지역 (230) 은 챔버의 중앙으로부터 컨파인먼트 링 세트 (124) 의 안쪽 모서리까지 연장될 수 있다. 도 2에 나타낸 바와 같이, 컨파인먼트 링 세트 (124) 는 특정 지역 내의 플라즈마를 규제하도록 채용될 수 있다.
도 2의 넓은 갭 구성에 있어서, 전원공급된 하부 전극에 대한 접지된 상부 전극의 면적비는 클 수 있다, 즉, 상기 면적비는 1:1 보다 더 커서, 챔버가 비대칭이 되게 할 수 있다. 대칭 구성과는 대조적으로, 도 1에 나타낸 바와 같은 지역 (130a) 에서만 플라즈마가 유지되는 대신에, 도 2에 나타낸 바와 같은 비대칭 구성에 관해서는 지역 (230) 에서 플라즈마가 유지된다. 예를 들면, 전원공급된 RF 전극 영역들에 대한 접지의 높은 비율은 넓은 갭 구성에 대한 기판 (108) 에서 고 바이어스 전압 및 고 이온 에너지를 발생시킬 수 있다.
도 2에 나타낸 바와 같이, 비대칭 구성에 관한 저 압력 획득 능력에 기여하는 바이패스 링 (120) 의 바이패스 캐비티 (122) 를 통하여 지역 (230) 의 밖으로 가스가 토출될 수 있다. 바이패스 캐비티 (122) 및 갭 (128) 의 증가된 높이로 인해, 비대칭 구성에서는 획득될 수도 있는 고 압력이 제한될 수 있다.
도 2에 나타낸 바와 같이, 저 압력 비대칭 구성에서는, 컨파인먼트 링 세트 (124) 의 갭들을 조정하는 것에 의해 지역 (230) 의 압력을 제어할 수 있다. 컨파인먼트 링 세트 (124) 는 하강할 수 있고, 컨파인먼트 링 세트 (124) 사이의 갭들을 조정하는 것에 의해 압력을 제어할 수 있다.
선택적으로 및/또는 추가적으로, 일 실시형태에서는 지역 (130) 을 통해 공정처리된 가스의 흐름을 조정하는 것에 의해 지역 (230) 의 압력을 제어할 수 있다. 일례에서, 지역 (230) 에서의 압력을 감소시키기 위해 공정처리된 가스의 흐름을 감소시킬 수 있다.
선택적으로 및/또는 추가적으로, 일 실시형태에서 지역 (230) 의 압력 제어는 TMP (136) 의 뱃 밸브 (134) 업스트림을 조정하는 것에 의해 달성될 수 있다. 일례에서, 뱃 밸브 (134) 는 지역 (230) 에서의 압력을 감소시키도록 개방되는 스로틀일 수 있다.
그러므로, 비대칭 챔버를 가지는 갭 (128) 의 넓은 갭 구성에 있어서 증가된 컨덕턴스로 저압 체제를 달성할 수 있다. 컨파인먼트 링 세트 (124) 사이의 갭들, 가스 플로우 레이트, 및/또는 뱃 밸브 (134) 를 조정하는 것에 의해 지역 (230) 의 압력을 제어할 수 있다.
전술한 것으로부터 인식될 수 있는 바와 같이, 본 발명의 실시형태들은 플라즈마 처리 시스템에 있어서 차별화된 압력 제어를 허용함으로써 광범위한 압력 및/또는 컨덕턴스를 제공할 수 있다. 획득될 수 있는 압력의 범위는 약 5 밀리토르 (mili-Torr) 로부터 약 5 토르 (Torr) 까지 일 수 있다. 초 고압 범위에서는, 감마 모드 (gamma mode) 의 플라즈마 처리가 가능할 수 있다. 또한, 상이한 갭 구성들은 전원공급된 하부 전극에 대한 접지된 상부 전극의 면적비 제어를 가능하게 할 수 있고, 이온 에너지 분배 뿐만 아니라 웨이퍼 바이어스 및 이온 에너지의 제어를 가능하게 할 수 있다. 따라서, 광범위한 압력 및/또는 바이어스 및 이온 에너지 또는 이온 에너지 분배에 대해 동일한 플라즈마 처리 챔버를 사용하여 여러가지 레서피들 (recipes) 을 필요로 하는 기판이 행해질 수 있으며, 복수의 플라즈마 처리 챔버들을 채용함에 있어서 발생할 수 있는 비용 및/또는 시간 지연을 감소시킬 수 있다.
본 발명이 몇 가지 실시형태에 관하여 설명되었지만, 본 발명의 범위 내에 포함되는 변형물, 치환물, 및 등가물이 존재한다. 또한, 본 발명의 방법과 장치들을 구현하는 많은 대안의 방법들이 존재한다는 것을 주목해야 한다. 또한, 본 발명의 실시형태들은 다른 적용들에서 유용함을 발견할 수 있다. 요약서는, 워드 카운트 제한으로 인해, 본 명세서에 편의상 제공된 것으로서, 독해의 편의를 위해 기입된 것이며, 본 발명의 청구범위를 한정하는데 채용되어서는 안된다. 따라서, 본 발명의 진정한 사상 및 범위 내에 포함되는 이러한 모든 변형물, 치환물, 및 등가물을 포함하는 것으로 본 발명이 해석되도록 의도된다.

Claims (20)

  1. 기판을 처리하기 위해 구성된 플라즈마 처리 챔버를 가지는 플라즈마 처리 시스템으로서,
    상기 기판을 처리하기 위한 적어도 상부 전극 및 하부 전극으로서, 상기 기판은 플라즈마 처리 동안 상기 하부 전극 상에 배치되고 상기 상부 전극 및 상기 기판은 제 1 갭을 형성하고, 상기 상부 전극은 접지되고, 상기 하부 전극은 전원공급되는, 상기 적어도 상부 전극 및 하부 전극;
    상부 전극 주변 확장부 (UE-PE) 로서, 상기 UE-PE는 상기 상부 전극의 주변부에 기계적으로 결합되고 상기 UE-PE는 접지되고 상기 상부 전극과 비공면 (non co-planar) 이 되도록 구성된, 상기 상부 전극 주변 확장부 (UE-PE); 및
    상기 하부 전극을 둘러싸는 석영으로 형성된 커버 링으로서, 상기 UE-PE의 하부면 및 상기 커버 링의 상부면은 제 2 갭을 형성하는, 상기 커버 링을 포함하고,
    상기 제 2 갭은 상기 제 1 갭보다 작고,
    상기 상부 전극 및 상기 하부 전극 중 하나는 적어도 제 1 동작 모드 및 제 2 동작 모드를 형성하기 위해 상기 하부 전극의 수평면에 수직한 방향으로 이동가능하고, 상기 제 2 동작 모드에서 상기 제 2 갭은 상기 제 1 동작 모드에서의 상기 제 2 갭보다 크며, 상기 제 1 동작 모드는 상기 제 2 갭을 제외한 상기 제 1 갭에서 플라즈마를 갖는 것과 전원공급되는 전극 면적에 대한 접지된 전극 면적의 비인 RF 커플링의 제 1 면적비에 의해 특성화되고, 상기 제 2 동작 모드는 상기 제 1 갭 및 상기 제 2 갭 모두에서 플라즈마를 갖는 것과 전원 공급되는 전극 면적에 대한 접지된 전극 면적의 비이고, 상기 RF 커플링의 제 1 면적비보다 큰 RF 커플링의 제 2 면적비에 의해 특성화되는, 플라즈마 처리 시스템.
  2. 삭제
  3. 삭제
  4. 제 1 항에 있어서,
    상기 UE-PE 및 상기 상부 전극은 모놀리식 유닛 (monolithic unit) 으로서 형성된, 플라즈마 처리 시스템.
  5. 제 1 항에 있어서,
    상기 UE-PE 및 상기 상부 전극은 복수의 컴포넌트들로 형성된, 플라즈마 처리 시스템.
  6. 제 1 항에 있어서,
    상기 플라즈마 처리에 의해 생성된 가스를 배출하도록 구성된 바이패스 링 (by-pass ring) 조립체를 더 포함하는, 플라즈마 처리 시스템.
  7. 제 1 항에 있어서,
    상기 상부 전극과 상기 하부 전극 사이의 지역을 둘러싸는 복수의 닫힌 (closed) 링들을 포함하는 컨파인먼트 링 (confinement ring) 조립체를 더 포함하고, 상기 컨파인먼트 링 조립체는 상기 상부 전극과 상기 하부 전극 사이의 지역에서의 압력을 상기 닫힌 링들 사이의 간격을 조정함으로써 제어하도록 구성된, 플라즈마 처리 시스템.
  8. 삭제
  9. 제 1 항에 있어서,
    상기 처리에 의해 생성된 배기 가스의 적어도 일부분을 배출하기 위한 바이패스 캐비티 (by-pass cavity) 를 가진 바이패스 링을 더 포함하는, 플라즈마 처리 시스템.
  10. 플라즈마 처리 챔버의 압력을 제어하는 방법으로서,
    기판을 처리하기 위한 적어도 상부 전극 및 하부 전극을 제공하는 단계로서, 상기 기판은 플라즈마 처리 동안 상기 하부 전극 상에 배치되고 상기 상부 전극 및 상기 기판이 제 1 갭을 형성하고, 상기 상부 전극은 접지되고, 상기 하부 전극은 전원공급되는, 상기 적어도 상부 전극 및 하부 전극을 제공하는 단계;
    상부 전극 주변 확장부 (UE-PE) 를 제공하는 단계로서, 상기 UE-PE는 상기 상부 전극의 주변부에 기계적으로 결합되고 상기 UE-PE는 접지되고 상기 상부 전극과 비공면 (non co-planar) 이 되도록 구성된, 상기 상부 전극 주변 확장부 (UE-PE) 를 제공하는 단계;
    상기 하부 전극을 둘러싸는 석영으로 형성된 커버 링을 제공하는 단계로서, 상기 UE-PE의 하부면 및 상기 커버 링의 상부면은 제 2 갭을 형성하는, 상기 커버 링을 제공하는 단계;
    상기 기판을 처리하기 위해 상기 처리 챔버 내에 플라즈마를 생성하는 단계; 및
    상기 플라즈마 처리 챔버 내의 압력을 제어하기 위해 상기 제 2 갭 및 상기 제 1 갭을 동시에 조정하는 단계로서, 상기 제 2 갭은 상기 제 1 갭보다 작고, 상기 상부 전극 및 상기 하부 전극 중 하나는 적어도 제 1 동작 모드 및 제 2 동작 모드를 형성하기 위해 상기 하부 전극의 수평면에 수직한 방향으로 이동가능하고, 상기 제 2 동작 모드에서 상기 제 2 갭은 상기 제 1 동작 모드에서의 상기 제 2 갭보다 크며, 상기 제 1 동작 모드는 상기 제 2 갭을 제외한 상기 제 1 갭에서 플라즈마를 갖는 것과 전원공급되는 전극 면적에 대한 접지된 전극 면적의 비인 RF 커플링의 제 1 면적비에 의해 특성화되고, 상기 제 2 동작 모드는 상기 제 1 갭 및 상기 제 2 갭 모두에서 플라즈마를 갖는 것과 전원 공급되는 전극 면적에 대한 접지된 전극 면적의 비이고, 상기 RF 커플링의 제 1 면적비보다 큰 RF 커플링의 제 2 면적비에 의해 특성화되는, 상기 제 2 갭 및 상기 제 1 갭을 동시에 조정하는 단계를 포함하는, 플라즈마 처리 챔버의 압력을 제어하는 방법.
  11. 제 10 항에 있어서,
    상기 조정하는 단계는,
    상기 상부 전극 및 상기 하부 전극 중의 하나를 상기 하부 전극의 편평한 표면에 대한 수직 방향으로 이동시켜서 상기 제 2 갭의 높이를 변경시키는 단계를 더 포함하는, 플라즈마 처리 챔버의 압력을 제어하는 방법.
  12. 제 10 항에 있어서,
    상기 제 2 갭은 상기 제 1 갭 보다 더 작은, 플라즈마 처리 챔버의 압력을 제어하는 방법.
  13. 제 10 항에 있어서,
    상기 UE-PE 및 상기 상부 전극은 모놀리식 유닛 (monolithic unit) 으로서 형성되는, 플라즈마 처리 챔버의 압력을 제어하는 방법.
  14. 제 10 항에 있어서,
    상기 UE-PE 및 상기 상부 전극은 복수의 컴포넌트들로 형성된, 플라즈마 처리 챔버의 압력을 제어하는 방법.
  15. 제 10 항에 있어서,
    상기 플라즈마 처리 챔버는 컨파인먼트 링 (confinement ring) 세트를 더 포함하고, 상기 컨파인먼트 링 세트는 상기 상부 전극과 상기 하부 전극 사이의 지역을 둘러싸는 복수의 닫힌 링들을 포함하고, 상기 컨파인먼트 링 세트는 상기 상부 전극과 상기 하부 전극 사이의 지역에서의 압력을 상기 닫힌 링들 사이의 간격을 조정함으로써 제어하도록 구성되고,
    상기 방법은,
    상기 상부 전극과 상기 하부 전극 사이에 제 1 갭이 존재할 때 처리 압력을 조절하도록 상기 컨파인먼트 링 세트를 배치하는 단계; 및
    상기 동시에 조정하는 단계에 기인하여 상기 상부 전극과 상기 하부 전극 사이에 제 2 갭이 존재할 때 상기 컨파인먼트 링 세트를 완전히 스토잉 (stowing) 하는 단계로서, 상기 제 1 갭이 상기 제 2 갭 보다 더 큰, 상기 컨파인먼트 링 세트를 완전히 스토잉하는 단계를 포함하는, 플라즈마 처리 챔버의 압력을 제어하는 방법.
  16. 제 10 항에 있어서,
    상기 커버 링은 적어도 부분적으로 석영 (quartz) 으로 덮인, 플라즈마 처리 챔버의 압력을 제어하는 방법.
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020117014096A 2008-12-19 2009-12-16 조정가능 갭 플라즈마 챔버에서의 듀얼 컨파인먼트 및 초고압을 위한 방법 및 장치 KR101591128B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US13948108P 2008-12-19 2008-12-19
US61/139,481 2008-12-19
US12/368,843 2009-02-10
US12/368,843 US8869741B2 (en) 2008-12-19 2009-02-10 Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber

Publications (2)

Publication Number Publication Date
KR20110114538A KR20110114538A (ko) 2011-10-19
KR101591128B1 true KR101591128B1 (ko) 2016-02-02

Family

ID=42266749

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117014096A KR101591128B1 (ko) 2008-12-19 2009-12-16 조정가능 갭 플라즈마 챔버에서의 듀얼 컨파인먼트 및 초고압을 위한 방법 및 장치

Country Status (8)

Country Link
US (2) US8869741B2 (ko)
EP (1) EP2380412B1 (ko)
JP (1) JP5872291B2 (ko)
KR (1) KR101591128B1 (ko)
CN (1) CN102257885B (ko)
SG (1) SG10201705046SA (ko)
TW (2) TWI538566B (ko)
WO (1) WO2010080420A2 (ko)

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9224618B2 (en) * 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
JP5970268B2 (ja) * 2012-07-06 2016-08-17 株式会社日立ハイテクノロジーズ プラズマ処理装置および処理方法
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10533251B2 (en) 2015-12-31 2020-01-14 Lam Research Corporation Actuator to dynamically adjust showerhead tilt in a semiconductor processing apparatus
US9953843B2 (en) * 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
KR102581226B1 (ko) * 2016-12-23 2023-09-20 삼성전자주식회사 플라즈마 처리 장치
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
TWI780093B (zh) * 2017-12-15 2022-10-11 美商蘭姆研究公司 用於電漿腔室的環結構及系統
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100345420B1 (ko) * 1995-06-07 2002-11-21 동경 엘렉트론 주식회사 플라즈마처리장치
WO2007001865A2 (en) * 2005-06-20 2007-01-04 Lam Research Corporation Plasma confinement rings including rf absorbing material for reducing polymer deposition
JP2007520059A (ja) * 2003-12-22 2007-07-19 ラム リサーチ コーポレーション 基板処理方法、プラズマ室および半導体デバイス

Family Cites Families (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPH0214517A (ja) * 1988-07-01 1990-01-18 Mitsubishi Electric Corp エツチング装置
US5089442A (en) * 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
TW357404B (en) * 1993-12-24 1999-05-01 Tokyo Electron Ltd Apparatus and method for processing of plasma
JP3257741B2 (ja) * 1994-03-03 2002-02-18 東京エレクトロン株式会社 プラズマエッチング装置及び方法
TW299559B (ko) * 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
JP3192370B2 (ja) * 1995-06-08 2001-07-23 東京エレクトロン株式会社 プラズマ処理装置
JP3319285B2 (ja) * 1996-06-05 2002-08-26 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6284093B1 (en) 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
TW418461B (en) * 1997-03-07 2001-01-11 Tokyo Electron Ltd Plasma etching device
KR100258984B1 (ko) * 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JP4165946B2 (ja) * 1998-11-30 2008-10-15 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
JP2001230237A (ja) 2000-02-14 2001-08-24 Rohm Co Ltd 半導体基板用プラズマ表面処理装置における下部電極盤の構造
TW484187B (en) * 2000-02-14 2002-04-21 Tokyo Electron Ltd Apparatus and method for plasma treatment
US6553932B2 (en) * 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6433484B1 (en) * 2000-08-11 2002-08-13 Lam Research Corporation Wafer area pressure control
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6492774B1 (en) * 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP2002198355A (ja) * 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
US6886491B2 (en) * 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
JP2002305179A (ja) * 2001-04-05 2002-10-18 Matsushita Electric Ind Co Ltd プラズマ処理方法
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US6974523B2 (en) * 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
US6984288B2 (en) 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
TW200626020A (en) * 2001-12-13 2006-07-16 Tokyo Electron Ltd Ring mechanism, and plasma processor using the ring mechanism
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
JP2003264169A (ja) * 2002-03-11 2003-09-19 Tokyo Electron Ltd プラズマ処理装置
JP4102873B2 (ja) * 2002-03-29 2008-06-18 東京エレクトロン株式会社 プラズマ処理装置用電極板及びプラズマ処理装置
TW558789B (en) * 2002-05-02 2003-10-21 Hitachi High Tech Corp Semiconductor processing device and diagnostic method of semiconductor processing device
JP4847009B2 (ja) * 2002-05-23 2011-12-28 ラム リサーチ コーポレーション 半導体処理プラズマ反応器用の多部品電極および多部品電極の一部を取り換える方法
US6841943B2 (en) * 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
KR100465877B1 (ko) * 2002-08-23 2005-01-13 삼성전자주식회사 반도체 식각 장치
US6963043B2 (en) * 2002-08-28 2005-11-08 Tokyo Electron Limited Asymmetrical focus ring
JP2004119448A (ja) * 2002-09-24 2004-04-15 Nec Kyushu Ltd プラズマエッチング装置およびプラズマエッチング方法
CN1518073A (zh) * 2003-01-07 2004-08-04 东京毅力科创株式会社 等离子体处理装置及聚焦环
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
JP4286025B2 (ja) * 2003-03-03 2009-06-24 川崎マイクロエレクトロニクス株式会社 石英治具の再生方法、再生使用方法および半導体装置の製造方法
JP4268433B2 (ja) 2003-04-02 2009-05-27 積水化学工業株式会社 プラズマ処理装置
US7244336B2 (en) * 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7713380B2 (en) * 2004-01-27 2010-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for backside polymer reduction in dry-etch process
JP2005217240A (ja) * 2004-01-30 2005-08-11 Matsushita Electric Ind Co Ltd ドライエッチング装置およびドライエッチング方法
JP2005303099A (ja) * 2004-04-14 2005-10-27 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
US7713431B2 (en) * 2004-06-10 2010-05-11 Tokyo Electron Limited Plasma processing method
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US7837825B2 (en) * 2005-06-13 2010-11-23 Lam Research Corporation Confined plasma with adjustable electrode area ratio
KR101218114B1 (ko) * 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US8038837B2 (en) * 2005-09-02 2011-10-18 Tokyo Electron Limited Ring-shaped component for use in a plasma processing, plasma processing apparatus and outer ring-shaped member
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US20070116872A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US7743730B2 (en) * 2005-12-21 2010-06-29 Lam Research Corporation Apparatus for an optimized plasma chamber grounded electrode assembly
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US7578258B2 (en) * 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US7740705B2 (en) * 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US7794546B2 (en) * 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US7670432B2 (en) * 2006-03-08 2010-03-02 Tokyo Electron Limited Exhaust system for a vacuum processing system
US20070227666A1 (en) * 2006-03-30 2007-10-04 Tokyo Electron Limited Plasma processing apparatus
US8635971B2 (en) * 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7740736B2 (en) * 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7572737B1 (en) * 2006-06-30 2009-08-11 Lam Research Corporation Apparatus and methods for adjusting an edge ring potential substrate processing
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8043430B2 (en) * 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US7758718B1 (en) * 2006-12-29 2010-07-20 Lam Research Corporation Reduced electric field arrangement for managing plasma confinement
KR100849179B1 (ko) * 2007-01-10 2008-07-30 삼성전자주식회사 갭 발생방지구조 및 이를 갖는 플라즈마 처리설비
US7858898B2 (en) * 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US9536711B2 (en) 2007-03-30 2017-01-03 Lam Research Corporation Method and apparatus for DC voltage control on RF-powered electrode
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US7758764B2 (en) * 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US7837827B2 (en) * 2007-06-28 2010-11-23 Lam Research Corporation Edge ring arrangements for substrate processing
US8563619B2 (en) * 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
WO2009042137A2 (en) * 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
JP5567486B2 (ja) * 2007-10-31 2014-08-06 ラム リサーチ コーポレーション 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品
US8418649B2 (en) * 2007-12-19 2013-04-16 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8522715B2 (en) * 2008-01-08 2013-09-03 Lam Research Corporation Methods and apparatus for a wide conductance kit
TWI516175B (zh) * 2008-02-08 2016-01-01 蘭姆研究公司 在電漿處理腔室中穩定壓力的方法及其程式儲存媒體
TWI501704B (zh) * 2008-02-08 2015-09-21 Lam Res Corp 於電漿處理系統中用以改變面積比之方法與裝置
JP5214743B2 (ja) * 2008-02-08 2013-06-19 ラム リサーチ コーポレーション プラズマ処理チャンバのパーツのための保護被覆およびその使用方法
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8449679B2 (en) * 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
JP5391659B2 (ja) * 2008-11-18 2014-01-15 東京エレクトロン株式会社 プラズマ処理装置
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8313612B2 (en) * 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US20110011534A1 (en) * 2009-07-17 2011-01-20 Rajinder Dhindsa Apparatus for adjusting an edge ring potential during substrate processing
SG169960A1 (en) * 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
SG170717A1 (en) * 2009-11-02 2011-05-30 Lam Res Corp Hot edge ring with sloped upper surface
US8485128B2 (en) * 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
US9082593B2 (en) * 2011-03-31 2015-07-14 Tokyo Electron Limited Electrode having gas discharge function and plasma processing apparatus
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100345420B1 (ko) * 1995-06-07 2002-11-21 동경 엘렉트론 주식회사 플라즈마처리장치
JP2007520059A (ja) * 2003-12-22 2007-07-19 ラム リサーチ コーポレーション 基板処理方法、プラズマ室および半導体デバイス
WO2007001865A2 (en) * 2005-06-20 2007-01-04 Lam Research Corporation Plasma confinement rings including rf absorbing material for reducing polymer deposition

Also Published As

Publication number Publication date
TWI593317B (zh) 2017-07-21
US20100159703A1 (en) 2010-06-24
CN102257885B (zh) 2014-11-26
US8869741B2 (en) 2014-10-28
KR20110114538A (ko) 2011-10-19
CN102257885A (zh) 2011-11-23
EP2380412A4 (en) 2015-03-18
WO2010080420A2 (en) 2010-07-15
TW201036494A (en) 2010-10-01
EP2380412B1 (en) 2017-09-27
SG10201705046SA (en) 2017-07-28
WO2010080420A3 (en) 2010-08-26
JP5872291B2 (ja) 2016-03-01
TWI538566B (zh) 2016-06-11
US9548186B2 (en) 2017-01-17
JP2012513093A (ja) 2012-06-07
US20150011097A1 (en) 2015-01-08
EP2380412A2 (en) 2011-10-26
TW201625075A (zh) 2016-07-01

Similar Documents

Publication Publication Date Title
KR101591128B1 (ko) 조정가능 갭 플라즈마 챔버에서의 듀얼 컨파인먼트 및 초고압을 위한 방법 및 장치
KR101498322B1 (ko) 와이드 컨덕턴스 키트를 위한 방법 및 장치
JP5606565B2 (ja) 容積可変型プラズマ処理チャンバおよびその方法
US9502219B2 (en) Plasma processing method
KR101533476B1 (ko) 플라즈마 프로세싱 시스템 내의 면적비를 변경하는 방법 및 장치
KR20100105695A (ko) 유도 결합 플라즈마 챔버에서 에지 성능을 제어하기 위한 장치 및 방법
US8398814B2 (en) Tunable gas flow equalizer
JP2012049376A (ja) プラズマ処理装置およびプラズマ処理方法
JP2008270815A (ja) 誘導結合型プラズマリアクタにおけるエッチング速度の「m形状」の分布特性を排除する方法
CN111180303A (zh) 等离子体处理设备
US20240234096A1 (en) Plasma processing apparatus and method for fabricating semiconductor device using the same
JP2002305184A (ja) 半導体製造装置
KR20240056321A (ko) 플라즈마 챔버 및 플라즈마 챔버를 이용한 웨이퍼 식각 방법

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee