KR20100105695A - 유도 결합 플라즈마 챔버에서 에지 성능을 제어하기 위한 장치 및 방법 - Google Patents

유도 결합 플라즈마 챔버에서 에지 성능을 제어하기 위한 장치 및 방법 Download PDF

Info

Publication number
KR20100105695A
KR20100105695A KR1020107015878A KR20107015878A KR20100105695A KR 20100105695 A KR20100105695 A KR 20100105695A KR 1020107015878 A KR1020107015878 A KR 1020107015878A KR 20107015878 A KR20107015878 A KR 20107015878A KR 20100105695 A KR20100105695 A KR 20100105695A
Authority
KR
South Korea
Prior art keywords
substrate
edge
support
processing
process gas
Prior art date
Application number
KR1020107015878A
Other languages
English (en)
Other versions
KR101504084B1 (ko
Inventor
웨이 리우
요하네스 에프. 스웬베르그
한 디. 엔구옌
손 티. 엔구옌
로거 커티스
필립 에이. 보티니
마이클 제이. 마크
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20100105695A publication Critical patent/KR20100105695A/ko
Application granted granted Critical
Publication of KR101504084B1 publication Critical patent/KR101504084B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 프로세스 동안 에지 성능을 제어하기 위한 방법 및 장치를 일반적으로 제공한다. 본 발명의 일 실시예는 프로세스 체적을 한정하는 챔버 몸체, 상기 프로세스 체적 내로 프로세스 가스를 유동시키도록 구성되는 가스 유입구, 및 상기 프로세스 체적에 배치되는 지지 페데스탈을 포함한다. 상기 지지 페데스탈이 뒷면에 기판을 수용하고 지지하도록 구성된 기판 지지 표면, 및 상기 기판의 외측 에지를 따라 상기 기판을 둘러싸도록 구성된 에지 표면을 갖는 상단 플레이트를 포함하며, 상기 기판의 상단 표면과 상기 에지 표면 사이의 높이 차이가 상기 프로세스 가스에 상기 기판의 에지 지역의 노출을 제어하는데 사용된다.

Description

유도 결합 플라즈마 챔버에서 에지 성능을 제어하기 위한 장치 및 방법{APPARATUS AND METHOD FOR CONTROLLING EDGE PERFORMANCE IN AN INDUCTIVELY COUPLED PLASMA CHAMBER}
[0001] 본 발명의 실시예들은 일반적으로 반도체 기판을 프로세싱하기 위한 방법 및 장치에 관한 것이다. 더 상세하게는, 본 발명의 실시예들은 개선된 균일성을 갖는 유도 결합 플라즈마 기술을 사용하여 반도체 기판을 프로세싱하기 위한 방법 및 장치를 제공한다.
[0002] 반도체 마이크로 전자 회로를 제조하는데 사용되는 플라즈마 리액터들은 프로세싱 가스로부터 형성되는 플라즈마를 유지하기 위해 RF 유도 결합 필드(inductively coupled fields)를 사용할 수 있다. 종래의 유도 결합 플라즈마 리액터들은 측벽 및 천정, 전체적으로 천정과 대면하는 챔버 내의 가공물 지지 페데스탈, 챔버 내로 프로세스 가스를 공급할 수 있는 가스 유입구, 및 천정 위에 놓이는 하나 이상의 코일 안테너들을 구비하는 진공 챔버를 일반적으로 포함한다. 하나 이상의 안테너들은 천정에 전체적으로 수직한 대칭 축선을 중심으로 전체적으로 감긴다. RF 플라즈마 소스 전원 공급 장치는 코일 안테너들 각각에 걸쳐 연결된다. 때때로, 리액터는 천정 위에 놓이며 외측 코일 안테너에 의해 둘러싸인 내측 코일 안테너를 포함할 수 있다.
[0003] 전형적으로, 고주파 RF 소스 전력 신호는 리액터 챔버 천정 가까이의 하나 이상의 코일 안테너들에 인가된다. 기판은 바이어스 RF 신호가 이에 인가되도록 할 수 있는 챔버 내의 페데스탈 상에 배치된다. 코일 안테너에 인가된 신호의 전력은 챔버 내의 플라즈마 이온 밀도를 주로 결정하는 반면, 기판에 인가된 바이어스 신호의 전력은 웨이퍼 표면에서의 이온 에너지를 결정한다.
[0004] "내측" 및 "외측" 코일 안테너들에 의해 전형적으로, 코일들은 (개별 반경에 국한되기 보다 오히려) 방사형으로 또는 수평으로 분산되어 이것들의 반경 위치가 이에 따라 확산된다. 플라즈마 이온 분산의 방사형 분산은 내측 및 외측 안테너들 사이의 인가된 RF 전력의 상대적 분배를 변경시키는 것에 의해 변경된다. 그러나, 웨이퍼들이 더 커짐에 따라 전체 웨이퍼 표면에 걸쳐 균일한 플라즈마 이온 밀도를 유지하는 것은 더 어려워진다.
[0005] 도 1은 기판의 에지 가까이에서 전형적인 유도 결합 플라즈마 리액터들에 의해 발생되는 비-균일성 문제들을 개략적으로 도시한다. 도 1은 전형적인 유도 결합 플라즈마 리액터에서 수행되는 질화 반응 프로세스(nitridation process) 후에 기판에 걸친 질소 투여량(dosages)을 도시한다. 질화 반응 프로세스는 기판 상에 형성되는 이산화 실리콘 게이트 유전체 필름(silicon dioxide gate dielectric film) 상에 형성된다. 기판은 유도 결합 플라즈마를 발생시킬 수 있는 진공 챔버 안에 배치된다. 질소 가스는 플라즈마 챔버로 유동되며 유동이 계속되는 동안 플라즈마가 충돌된다. 질소 플라즈마에서 질소 라디컬들 및/또는 질소 이온들은 이후 확산되고 및/또는 이산화 실리콘 게이트 유전체 필름 내로 입자 충격을 가한다.
[0006] 도 1은 질소 반응이 유도 결합 플라즈마 리액터에서 수행된 후에 300mm 기판의 전체 표면의 직경에 걸쳐 질소 투여량(Ndose)을 도시한 직경 스캔 차트이다. 도 1의 직경 스캔 차트는 비균일성 문제 중 하나 - 일반적으로 에지-드롭(edge-drop)으로 알려진, 에지 영역 가까이에서 낮은 투여량 - 를 도시한다. 전형적인 상황들에서 에지 드롭을 감소시켜서 기판에 걸쳐 균일성을 달성하는 것이 바람직하다. 때때로, 특정 필요들을 만족시키도록 에지 성능(edge performance)이 높거나 낮게 튜닝되도록 하는 것이 바람직하다.
[0007] 그러므로, 에지 성능을 제어할 수 있는 유도 결합 플라즈마 기술을 사용하여 반도체 기판을 프로세싱하기 위한 장치 및 방법에 대한 필요가 있다.
[0008] 본 발명은 프로세스 동안 기판 상에서 에지 프로세스 성능을 제어하기 위한 방법 및 장치를 일반적으로 제공한다.
[0009] 본 발명의 일 실시예는 프로세스 체적을 한정하는 챔버 몸체, 상기 프로세스 체적 내로 프로세스 가스를 유동시키도록 구성되는 가스 유입구, 및 상기 프로세스 체적에 배치되는 지지 페데스탈을 포함하고, 상기 지지 페데스탈이 뒷면에 기판을 수용하고 지지하도록 구성된 기판 지지 표면, 및 상기 기판의 외측 에지를 따라 상기 기판을 둘러싸도록 구성된 에지 표면을 갖는 상단 플레이트를 포함하며, 상기 기판의 상단 표면과 상기 에지 표면 사이의 높이 차이가 상기 프로세스 가스에 상기 기판의 에지 지역의 노출을 제어하는데 사용되는 기판을 프로세싱하기 위한 방법 및 장치에 관한 것이다.
[0010] 본 발명의 다른 실시예는 실질적으로 플레이트 형태를 갖는 몸체를 포함하고, 상기 몸체가 기판을 뒷면 상에 수용하고 지지하도록 구성되는 기판 지지 표면, 및 상기 기판의 외측 에지를 따라 상기 기판을 둘러싸도록 구성되는 에지 표면을 가지며, 상기 기판의 상단 표면과 상기 에지 표면 사이의 높이 차이가 상기 프로세스 챔버 내로 유동하는 프로세스 가스에 상기 기판의 에지 지역의 목표된 노출을 달성하도록 설계되는 프로세스 챔버 내에 지지 페데스탈을 위한 상단 플레이트를 제공한다.
[0011] 본 발명의 또 다른 실시예는 프로세싱 챔버 내에 지지 페데스탈을 제공하는 단계로서 상기 지지 페데스탈은 그 위에 기판을 수용하고 지지하도록 구성된 기판 지지 표면, 및 상기 기판의 외측 에지를 따라 상기 기판을 둘러싸도록 구성된 에지 표면을 갖는 단계, 상기 지지 페데스탈 상에 기판을 위치시키는 단계, 상기 프로세스 챔버에 프로세스 가스를 유동시키는 단계, 및 상기 프로세스 가스에 의해 상기 기판을 프로세싱하는 단계를 포함하고, 상기 기판의 상단 표면과 상기 에지 표면 사이의 높이 차이가 상기 프로세스 챔버로 유동하는 상기 프로세스 가스에 상기 기판의 에지 지역의 노출을 제어하는데 사용되는 에지 프로세싱 성능을 조절하기 위한 방법을 제공한다.
[0012] 본 발명의 상기 인용된 특징들이 상세하게, 특히 본 발명의 상세한 설명, 위에 간단하게 요약되어 이해될 수 있는 방식이 실시예들을 참조하여 이루어질 수 있고, 몇몇 실시예들이 첨부된 도면들에 도시된다. 그러나, 첨부된 도면들은 본 발명의 전형적인 실시예를 도시하고 따라서 본 발명이 다른 동등한 효과의 실시예들에 허용될 수 있기 때문에, 그 발명의 범부를 제한하지 않는 것으로 고려된다는 것이 유의 되어져야 한다.
[0013] 도 1(종래 기술)은 에지 드롭으로 알려진 전형적인 유도 결합 플라즈마 리액터들에 의해 발생되는 비균일성 문제를 도시한다.
[0014] 도 2는 본 발명의 일 실시예에 따른 플라즈마 리액터의 측단면도를 도시한다.
[0015] 도 3a는 본 발명의 일 실시예에 따른 지지 페데스탈의 상단 플레이트의 개략적인 부분 측면도이다.
[0016] 도 3b는 본 발명의 일 실시예에 따라 프로세싱되는 기판의 에지 표면 및 상단 표면 사이의 높은 차이를 사용하여 에지 성능을 제어하는 개략도이다.
[0017] 도 4는 본 발명의 일 실시예에 따른 지지 페데스탈의 측단면도의 개략도이다.
[0018] 도 5a는 도 4의 지지 페데스탈의 상단 플레이트의 개략도이다.
[0019] 도 5b는 도 5a의 상단 플레이트의 부분 측면도의 개략도이다.
[0020] 도 6a 내지 도 6b는 본 발명의 일 실시예에 따라 제어하는 에지 프로파일링을 도시한 차트이다.
[0021] 이해를 촉진시키기 위해, 가능하다면 동일한 도면 부호들이 도면들에 공통인 동일한 구성요소들을 지시하는데 사용되었다. 일 실시예에서 개시된 구성요소들은 특별한 상술 없이 다른 실시예들에서 바람직하게 사용될 수 있다는 것이 고려된다.
[0022] 본 발명은 유도 결합 플라즈마를 사용하여 반도체 기판을 프로세싱하기 위한 장치 및 방법을 일반적으로 제공한다. 본 발명의 실시예들은 개선된 균일성을 제공하는 특징들을 갖는 유도 결합된 플라즈마 리액터들을 제공한다. 특히, 본 발명의 유도 결합 플라즈마 리액터는 에지 성능 프로파일을 튜닝할 수 있는 기판 지지 조립체를 포함한다.
[0023] 도 2는 본 발명의 일 실시예에 따른 플라즈마 리액터(100)의 측단면도의 개략도이다. 플라즈마 리액터(100)는 리액터 챔버(101) 위에 위치한 리액터 챔버(101)및 안테너 조립체(102)를 일반적으로 포함한다. 안테너 조립체(102)는 리액터 챔버(101)에서 유도 연결 플라즈마를 발생시키도록 구성된다.
[0024] 리액터 챔버(101)는 실린더 측벽(105) 및 플랫 천정(110)에 의해 한정된 프로세스 체적(103)을 갖는다. 기판 지지 페데스탈(115)은 리액터 챔버(101) 내에 배치되고, 플랫 천정(110)에 대향하는 관계로 배향되며 챔버 대칭 축선 상의 중심에 놓인다. 기판 지지 페데스탈(115)은 그 위에 기판(106)을 지지하도록 구성된다. 기판 지지 페데스탈(115)은 프로세스 동안 기판(106)을 수용하고 지지하도록 구성된 지지 몸체(117)를 포함한다. 일 실시예에서, 기판 지지 페데스탈(115)은 기판(106) 주위를 둘러싸는 에지 표면(118)을 갖는다. 에지 표면(118)과 기판(106) 사이의 상대적 높이는 기판(106)의 에지 가까이에서 프로세싱 결과들을 조절하도록 구성된다.
[0025] 다수의 지지 핀들(116)은 기판 지지 페데스탈(115) 상에 이동가능하게 배치되어 기판 이송을 촉진시키도록 구성된다. 진공 펌프(120)는 리액터 챔버(101)의 진공 포트(121)와 같이 작동한다. 슬릿 밸브 포트(104)는 실린더 측벽(105) 상에 형성되어 프로세스 체적(103)의 안팎으로 기판의 이송을 허용한다.
[0026] 프로세스 가스 서플라이(125)는 가스 유입구(130)를 통해 프로세스 체적(103) 내로 프로세스 가스를 공급한다. 가스 유입구(130)는 플랫 천정(110) 상의 중심에 놓일 수 있고 프로세스 체적(103)의 상이한 지역들에 가스를 지향시키는 다수의 가스 주입 포트들을 갖는다. 일 실시예에서, 가스 유입구(130)는 프로세스 체적(103) 내에 프로세싱 가스의 바람직한 분산을 달성하기 위해 프로세스 체적(103)의 상이한 지역들에 프로세스 가스의 개별적으로 조절 가능한 유동을 공급하도록 구성될 수 있다.
[0027] 안테나 조립체(102)는 리액터 챔버의 플랫 천정(110) 상에 배치된 실린더 측벽(126)을 포함한다. 코일 장착 플레이트(127)는 측벽(126) 상에 이동 가능하게 배치된다. 측벽(126), 코일 장착 플레이트(127), 및 플레이트 천정(110)은 코일 체적(135)을 전체적으로 한정한다. 다수의 코일 행거들(132)은 코일 장착 플레이트(127)로부터 코일 체적(135)에 연장된다. 다수의 코일 행거들(132)은 코일 체적(135)에 하나 이상의 코일 안테너들을 위치시키도록 구성된다.
[0028] 일 실시예에서, 내측 코일(131) 및 외측 코일(129)은 프로세스 동안 전체 기판 표면에 걸쳐 균일한 플라즈마 이온 밀도를 유지하도록 코일 체적(135)에 배치된다. 일 실시예에서, 내측 코일(131)은 대략 5 인치(inches)의 직경을 가지며 외측 코일(129)은 대략 15 inch의 직경을 갖는다. 상이한 설계의 안테너들에 대한 상세한 설명은 본 발명에서 참조되고 발명의 명칭이 "대칭 평행 컨덕터 코일 안테나를 갖는 플라즈마 리액터{Plasma Reactor Having a Symmetric Parallel Conductor Coil Antenna}"인, 미국 특허 번호 6,685,798호에서 발견될 수 있다.
[0029] 내측 코일(131) 및 외측 코일(129) 각각은 수직으로 직각인 원형 실린더 또는 가공 실린더 표면 또는 그 대칭 축선이 리액터 챔버(101)의 축선과 실질적으로 일치하는 위치를 한정하는 솔레노이드 다중-컨덕터 인터리브 코일 안테너(solenoidal multi- conductor interleaved coil antenna)일 수 있다. 내측 코일(131) 및 외측 코일(129)의 축선이 기판(106)의 대칭 축선의 축선과 일치하여 리액터 챔버(101)에서 프로세싱되도록 하는 것이 바람직하다. 그러나, 내측 코일(131), 외측 코일(129), 리액터 챔버(101) 및 기판(106) 사이의 정렬은 왜곡(skews)을 야기하는 에러들에 영향 받기 쉽다. 내측 코일(131) 및 외측 코일(129)은 함께 또는 독립적으로, 리액터 챔버(101)에 대해 경사질 수 있도록 코일 장착 플레이트(127)는 측벽들(126) 상에 이동 가능하게 배치된다. 일 실시예에서, 코일 장착 플레이트(127)는 코일 장착 플레이트(127) 및 측벽(126) 사이에 배치된 경사 링(128)을 회전하여 조절될 수 있다. 경사 링(128)은 코일 장착 플레이트(127)의 경사진 장착을 가능하게 하는 다양한 두께를 갖는다.
[0030] 플라즈마 리액터(100)는 내측 코일(131) 및 외측 코일(129)에 전원 공급을 제공하도록 구성되는 전력 조립체(134)를 더 포함한다. 전력 조립체(134)는 RF 전원 공급 장치들 및 매칭 네트워크들을 일반적으로 포함한다. 일 실시예에서, 전력 조립체(134)는 코일 장착 플레이트(127) 위에 배치될 수 있다.
[0031] 플라즈마 리액터(100)에 대한 더 상세한 설명은 본 발명에서 참조되고, 발명의 명칭이 "유도 결합 플라즈마 기술을 사용하여 기판을 프로세싱하기 위한 장치 및 방법{Apparatus and Method for Processing a Substrate Using Inductively Coupled Plasma Technology}"인 2007년 11월 19일에 출원된 미국 특허 출원 일련번호 11/960,111호 (대리인 도켓 번호 12087)에서 발견될 수 있다.
[0032] 도 3a는 본 발명의 일 실시예에 따라 지지 페데스탈, 예를 들어 도 2의 기판 지자 페데스탈(115)의 상단 플레이트(310)의 부분 측면도의 개략도이다.
[0033] 상단 플레이트(310)는 기판(301)의 뒷면(303)을 지지하도록 구성된 기판 지지 표면(311)을 갖는 몸체(315)를 포함한다. 기판(301)의 장치 측면(302)이 프로세스 가스(305)의 유동에 노출되도록 상단 플레이트(310)는 기판 지지 표면(311) 상에 기판(301)을 수용하고 지지하도록 구성된다. 일 실시예에서, 프로세스 가스(305)의 유동은 무선 주파수 소스에 의해 충격될 수 있고 그 안에 라디컬들을 포함할 수 있다. 일 실시예에서, 리세스(314)는 상단 플레이트(310) 및 기판(301) 사이의 접촉 영역을 감소시키도록 기판 지지 표면(311)에서와 함께 몸체(315)에 형성된다. 결국, 기판 지지 표면(311)은 링 형태를 가질 수 있고 기판(301)의 에지(304) 가까이에 한 밴드의 영역을 지지할 수 있다. 일 실시예에서, 상단 플레이트(310)는 기판(301)의 에지(304)가 자유롭게 매달린 채로 남도록 설계된다.
[0034] 상단 플레이트(310)는 반경방향으로 기판 지지 표면(311) 외측에 있으며 기판(301)을 둘러싸도록 구성되는 에지 표면(312)을 또한 갖는다. 일 실시예에서, 에지 표면(312)과 기판(301)의 장치 측면(302)의 높이 차이(313)는 수행된 프로세스의 에지 성능을 제어하도록 설계되고, 특히 높이 차(313)가 프로세스 동안 프로세스 가스(305)에 에지(304)의 노출을 제어하는데 사용된다. 결국, 높이 차이(313)는 에지(304)로부터 대략 10mm 지역 내에 성능을 제어하는데 사용될 수 있다.
[0035] 도 3b는 본 발명의 일 실시예에 따라 프로세싱 되는 기판의 에지 표면 및 상단 표면 사이의 높이 차를 사용하여 에지 성능들을 제어하기 위한 개략도이다. 도 3b는 프로세싱되는 기판의 반경을 따라서 정규화된 프로세싱 결과들의 성능 프로파일들(320a, 320b, 320c)을 도시한다. 프로세스 결과들은 상이한 프로세스들, 예를 들어 도핑 프로세스, 증착에 대한 두께, 및 플라즈마 에칭에 대한 충격 강도(strength of bombardment)에 대한 상이한 파라미터들을 참조할 수 있다.
[0036] 프로파일(320a)은 기판의 에지 지역이 기판의 중심부와 비교하여 프로세싱 가스/플라즈마에 더 많은 노출을 수용하는 것을 나타내는 강한 에지 성능(edge intense performance)이다. 본 발명의 일 실시예에서, 기판(301)의 상단 표면과 상단 플레이트(310)의 에지 표면 사이의 양의 높이 차(313a)는 320a와 유사한 에지 성능을 달성하는데 사용된다.
[0037] 프로파일(320b)는 기판의 에지 지역이 기판의 중심부와 비교하여 프로세싱 가스/플라즈마에 유사한 노출을 수용하는 것을 나타내는 에지 플레이트 성능이다. 본 발명의 일 실시예에서, 기판(301)의 상단 표면과 에지 표면 사이의 작은 양의 높이 차(313b)는 320b와 유사한 에지 성능을 달성한다. 일 실시예에서, 바람직한 두께의 에지 링(312b)이 작은 양의 높이 차이(313b)를 얻기 위해 상단 플레이트(310) 상에 배치될 수 있다. 일 실시예에서, 작은 양의 높이 차는 대략 0.5 inch보다 작을 수 있다.
[0038] 프로파일(320c)는 기판의 중심부와 비교하여 기판의 에지 지역이 프로세싱 가스/플라즈마에 적은 노출을 수용하는 것을 지시하는 약한 에지 성능(edge weak performance)이다. 본 발명의 일 실시예에서, 기판(301)의 상단 표면과 에지 표면 사이의 음의 높이 차(313c)는 320c와 유사한 에지 성능을 달성하는데 사용된다. 일 실시예에서, 목표된 두께의 에지 링(312c)은 작은 양의 높이 차이(313c)를 갖도록 상단 플레이트(310) 상에 배치될 수 있다.
[0039] 도 4는 본 발명의 일 실시예에 따른 지지 페데스탈(300)의 측단면도의 개략도이다. 지지 페데스탈(300)은 도 2의 플라즈마 리액터(100)와 같이, 프로세스 챔버에서 기판을 수용하고 지지하도록 구성된다.
[0040] 지지 페데스탈(300)은 기판 지지 표면(331)이 기판(301)의 뒷면(303)을 수용하고 지지하도록 구성되도록 하는 상단 플레이트(330)를 포함한다. 상단 플레이트(330)는 어댑터 플레이트(340)를 통해 설비 플레이트(facility plate; 350) 상에 스태킹된다. 상단 플레이트(330), 어댑터 플레이트(340) 및 설비 플레이트(350)의 스택은 이후 상단 플레이트(330)가 챔버 몸체(370)에 의해 한정된 프로세스 체적에 밀봉되게 배치되도록 어댑터(360)를 통해 챔버 몸체(370)(부분 도시됨)에 연결된다.
[0041] 설비 플레이트(350)는 다수의 리프팅 핀들(341)을 상승 및 하강시키도록 구성된 다수의 구동 메카니즘(351)을 수용하도록 구성된다. 다수의 리프팅 핀들(341)은 상단 플레이트(330)에 형성된 다수의 핀 홀들(336)에 이동 가능하게 배치된다. 다수의 리프팅 핀들(341)은 기판 핸들러, 예를 들어 로봇에 의해 기판이 전달되는 것을 촉진시키기 위해, 도 4에 도시된 바와 같이, 상단 플레이트(330) 위로 상승될 수 있다. 기판(301)을 수용한 후에, 다수의 리프팅 핀들(341)은 다수의 핀 홀들(336)로 기판 지지 표면(331) 아래에 놓여서 기판 지지 표면(331) 상에 기판(301)을 배치하도록 다수의 구동 메카니즘(351)에 의해 하강될 수 있다.
[0042] 상단 플레이트(330)는 디스크 형태의 몸체를 갖는다. 일 실시예에서, 상단 플레이트(330)는 석영으로 만들어 질 수 있다. 기판(301)의 장치 측면(302)이 프로세스 체적에서 프로세스 가스의 유동에 노출되도록 상단 플레이트(330)는 기판 지지 표면(311) 상에 기판(301)을 수용하고 지지하도록 구성된다.
[0043] 도 5a는 상단 플레이트(330)의 일 실시예의 개략도이며 도 5b는 상단 플레이트(330)의 부분 측면도의 개략도이다. 일 실시예에서, 리세스(334)는 상단 플레이트(330)와 기판(301) 사이의 접촉 영역을 감소시키기 위해 기판 지지 표면(311) 내에 형성된다. 결국, 기판 지지 표면(331)은 링 형태를 가질 수 있으며 기판(301)의 에지 가까이에 한 밴드의 영역을 지지할 수 있다.
[0044] 상단 플레이트(330)는 방사형으로 기판 지지 플레이트(331) 외측에 있으며 기판(301)을 둘러싸도록 구성되는 에지 표면(332)을 형성하는 플랜지를 구비한다. 일 실시예에서, 에지 표면(332)과 기판 지지 표면(331) 사이의 높이 차이(333)는 실행될 프로세스의 에지 성능을 제어하도록 설계되고, 특히 상기 높이 차이(333)는 프로세스 동안 화학 프로세스에 기판(301)의 에지의 노출을 제어하는데 사용된다.
[0045] 일 실시예에서, 높이 차이(333)는 기판(301)의 상단 표면이 대략 0.5 inch만큼 에지 표면(332)보다 더 높도록, 또는 기판의 반경에 걸쳐 균일한 프로세스 성능을 달성하는데 충분하도록 세팅된다. 일 실시예에서, 높이 차이(333)는 대략 0.25 inch일 수 있다.
[0046] 일 실시예에서, 목표 두께의 선택 에지 링(337)이 목표된 에지 성능을 달성하도록 에지 표면의 높이를 변경하는데 사용될 수 있다.
[0047] 일 실시예에서, 복수의 지지 핑거(335)가 기판 지지 플레이트(331) 외측에 상단 플레이트(330)로부터 돌출된다. 다수의 기판 핑거(335)는 기판 지지 플레이트(331)보다 더 높고 프로세싱 동안 기판(301)이 미끌어져 나가는 것을 방지하도록 구성된다.
[0048] 일 실시예에서, 다수의 리프팅 핀들(341)은 기판(301)의 들어올림을 조절하는데 사용될 수 있어서, 프로세스 동안, 기판(301)과 에지 표면(332) 사이의 높이 차이를 조절한다.
[0049] 일 실시예에서, 정렬 홀(338)은 상단 플레이트(330)의 중심 가까이에 형성되며 조립 동안 상단 플레이트(330)의 정렬을 촉진시키도록 구성된다. 일 실시예에서, 도 4를 참조하여 복수의 리프팅 핀들(341)의 각각은 다수의 핀 홀들(336)이 프로세스 체적에서 프로세스 가스를 오염시키는 것을 방지하기 위해 버섯 형태의 헤드를 가질 수 있다. 일 실시예에서, 다수의 리프팅 핀들(341)은 사파이어로 만들어질 수 있다.
[0050] 도 6a 내지 도 6b는 본 발명의 일 실시예에 따라 제어하는 에지 프로파일링을 도시한 차트를 스캐닝한 도면이다. 도 6a 내지 도 6b는 플라즈마 리액터에서 수행된 질화 반응 프로세스 후에 기판의 직경에 걸친 질소 투여량을 도시한다. 질화 반응 프로세스는 기판 상에 형성된 이산화 실리콘 게이트 유전체 필름 상에서 일반적으로 수행된다. 기판은 플라즈마 리액터, 예를 들어 도 2의 플라즈마 리액터(100)에 위치된다. 질소 가스는 플라즈마 챔버로 유동하고 플라즈마는 상기 질소 유동이 계속되는 동안 도 2의 코일들(129, 131)과 같은 코일 조립체에 의해 부딪힌다. 플라즈마는 질소를 이온화하고 이후 이온화된 질소는 이산화 실리콘 케이트 유전체 필름 내로 확산한다.
[0051J 도 6a는 프로세싱된 기판의 상단 표면보다 약간 더 높은 에지 표면에 의해 기판 지지를 갖는 플라즈마 리액터에서 수행된 질화 반응 프로세스들 후에 기판의 직경에 걸쳐 질소 투여량들을 도시한 스캐닝 차트이다. 도 6a는 200 sccm, 400 sccm, 600 sccm, 800 sccm, alc 1000 sccm의 질소 유속에 의해 질화 반응 프로세스들로부터 투여 결과들을 갖는다. 도 6a의 모든 결과들에 대해, 기판의 에지 가까이의 투여량은 기판의 나머지 부분에 걸친 평균 투여량보다 실질적으로 더 적다.
[0052] 도 6b는 프로세싱되고 있는 기판의 상단 표면보다 더 낮은 에지 표면에 의해 기판 지지를 갖는 플라즈마 리액터에서 수행된 질화 반응 프로세스들 후에 기판의 직경에 걸친 질소 투여량을 도시한 스캐닝 차트이다. 이러한 특정 경우에서, 기판의 에지 표면과 상단 표면 사이의 높이 차이는 대략 0.5 inch이다. 도 6b는 200 sccm, 400 sccm, 600 sccm, 800 sccm, 및 1000 sccm의 질소 유속을 갖는 질화 프로세스들로부터 투여 결과들을 도시한다. 도 6a에서 결과와 비교하여, 도 6b에서 결과들은 기판 에지 가까이에서 증가된 투여량을 갖는다. 더욱이, 도 6b의 결과들은 기판에 걸친 평균 투여량에 실질적으로 밀접한 에지 투여량들을 갖는다.
[0053] 도 6a 내지 도 6b의 차트가 또한 다른 비균일성들, 예를 들어 중심 가까이에서 낮은 투여량 및 투여량 비대칭을 또한 도시한다는 것에 유의해야 한다. 본 발명은 오직 에지-드롭의 수정에 따라 기술된다.
[0054] 도 6a 내지 도 6b에서 도시하는 기판의 중심 가까이의 낮은 투여량들은 유동 분산에 기인하며 중심 가까이에서 낮은 투여량들의 수정은 기판의 상이한 지역들로의 독립적으로 제어 가능한 유동을 제공하는 것에 의해 설명될 수 있을 것이다. 중심 가까이에서 낮은 투여량들을 수정하는 상세한 설명은 본 발명에서 참조되고, 발명의 명칭이 "듀얼 존 가스 주입 노즐{Duel Zone Gas Injection Nozzle}"인 2007년 12월 19일에 제출된 미국 특허 출원 일련 번호 11/960,166호 (대리인 도켓 번호 12088)에서 발견될 수 있다.
[0055] 기판에 걸친 투여량의 비대칭은 일반적으로 베이스라인 왜곡(baseline skew)으로 지칭된다. 베이스라인 왜곡의 수정은 프로세싱되고 있는 기판에 대해 코일 조립체들을 조절하는 것에 의해 달성될 수 있다. 베이스라인 왜곡의 상세한 설명은 본 발명에서 참조되고 발명의 명칭이 "새로운 동력화된 소스 코일 조립체에 의해 베이스라인 왜곡을 수정하는 방법{Method of Correcting Baseline Skew by a Novel Motorized Source Coil Assembly}"인 2007년 12월 19일에 제출된 미국 특허 출원 일련번호 11/960,246호 (대리인 도켓 번호 12089호)에서 발견될 수 있다.
[0056] 전술된 것이 본 발명의 실시예들에 지시되는 동안, 본 발명의 다른 및 추가적인 실시예들이 본 발명의 기본 범주로부터 벗어남 없이, 고안될 수 있으며, 이러한 범주는 다음의 청구범위에 의해 결정된다.

Claims (15)

  1. 프로세스 체적을 한정하는 챔버 몸체;
    상기 프로세스 체적 내로 프로세스 가스를 유동시키도록 구성되는 가스 유입구; 및
    상기 프로세스 체적에 배치되는 지지 페데스탈을 포함하고,
    상기 지지 페데스탈이 뒷면에 기판을 수용하고 지지하도록 구성된 기판 지지 표면, 및 상기 기판의 외측 에지를 따라 상기 기판을 둘러싸도록 구성된 에지 표면을 갖는 상단 플레이트를 포함하며, 상기 기판의 상단 표면과 상기 에지 표면 사이의 높이 차이가 상기 프로세스 가스에 상기 기판의 에지 지역의 노출을 제어하는데 사용되는
    기판을 프로세싱하기 위한 장치.
  2. 제 1 항에 있어서,
    상기 기판 지지 표면이 상기 뒷면의 에지가 자유롭게 매달리도록(hanging free) 상기 기판보다 더 작은
    기판을 프로세싱하기 위한 장치.
  3. 제 2 항에 있어서,
    상기 에지 표면이 상기 기판의 상단 표면보다 더 낮은
    기판을 프로세싱하기 위한 장치.
  4. 제 3 항에 있어서,
    상기 기판의 상단 표면과 상기 에지 표면 사이의 높이 차이가 대략 0.25 inch 내지 대략 0.5 inch인
    기판을 프로세싱하기 위한 장치.
  5. 제 3 항에 있어서,
    상기 기판 지지 표면이 상기 기판의 직경보다 더 작은 직경을 갖는 링의 상단 표면인
    기판을 프로세싱하기 위한 장치.
  6. 제 3 항에 있어서,
    상기 상단 플레이트가 상기 상단 플레이트로부터 방사형으로 상기 기판 지지 표면 외측으로 돌출되는 다수의 핑거들을 포함하고, 상기 다수의 핑거들이 상기 기판이 미끄러져 나가는 것을 방지하도록 구성되는
    기판을 프로세싱하기 위한 장치.
  7. 제 3 항에 있어서,
    상기 상단 플레이트의 상기 에지 표면 상에 배치되고 상기 기판을 둘러싸는 에지 링을 더 포함하고,
    상기 에지 링이 상기 기판의 상단 표면 및 상기 에지 표면의 높이 차이를 조절하도록 구성되는
    기판을 프로세싱하기 위한 장치.
  8. 실질적으로 플레이트 형태를 갖는 몸체를 포함하고,
    상기 몸체가 기판을 뒷면 상에 수용하고 지지하도록 구성되는 기판 지지 표면, 및 상기 기판의 외측 에지를 따라 상기 기판을 둘러싸도록 구성되는 에지 표면을 가지며, 상기 기판의 상단 표면과 상기 에지 표면 사이의 높이 차이가 상기 프로세스 챔버 내에서 유동하는 프로세스 가스에 상기 기판의 에지 지역의 목표된 노출을 달성하도록 설계되는
    프로세스 챔버 내에 지지 페데스탈을 위한 상단 플레이트.
  9. 제 8 항에 있어서,
    상기 몸체는 석영으로 제조되는
    프로세스 챔버 내에 지지 페데스탈을 위한 상단 플레이트.
  10. 프로세싱 챔버 내에 지지 페데스탈을 제공하는 단계 -상기 지지 페데스탈은 그 위에 기판을 수용하고 지지하도록 구성된 기판 지지 표면, 및 상기 기판의 외측 에지를 따라 상기 기판을 둘러싸도록 구성된 에지 표면을 갖음-;
    상기 기판 페데스탈 상에 기판을 위치시키는 단계;
    상기 프로세스 챔버에 프로세스 가스를 유동시키는 단계; 및
    상기 프로세스 가스에 의해 상기 기판을 프로세싱하는 단계를 포함하고,
    상기 기판의 상단 표면과 상기 에지 표면 사이의 높이 차이가 상기 프로세스 챔버로 유동하는 상기 프로세스 가스에 상기 기판의 에지 지역의 노출을 제어하는데 사용되는
    에지 프로세싱 성능을 조절하기 위한 방법.
  11. 제 10 항에 있어서,
    상기 프로세스 가스에 의해 상기 기판을 프로세싱하는 단계는 상기 프로세스 가스에 상기 에지 지역의 노출을 증가시키도록 상기 에지 표면을 하강시키는 단계를 포함하는
    에지 프로세싱 성능을 조절하기 위한 방법.
  12. 제 10 항에 있어서,
    상기 프로세스 가스에 의해 상기 기판을 프로세싱하는 단계는 상기 프로세스 가스에 상기 에지 지역의 노출을 감소시키도록 상기 에지 표면을 상승시키는 단계를 포함하는
    에지 프로세싱 성능을 조절하기 위한 방법.
  13. 제 11 항에 있어서,
    상기 기판의 상단 표면과 상기 에지 표면의 높이 차이가 대략 0.25 inch 내지 대략 0.5 inch인
    에지 프로세싱 성능을 조절하기 위한 방법.
  14. 제 10 항에 있어서,
    상기 기판의 상단 표면과 상기 에지 표면 사이의 높이 차이를 조절하도록 상기 에지 표면 상에 에지 링을 배치하는 단계를 더 포함하는
    에지 프로세싱 성능을 조절하기 위한 방법.
  15. 제 10 항에 있어서,
    리프팅 핀들을 사용하여 상기 기판의 들어올림(elevation)을 변경시키는 것에 의해 상기 높이 차이를 조절하는 단계를 더 포함하는
    에지 프로세싱 성능을 조절하기 위한 방법.
KR1020107015878A 2007-12-19 2008-12-15 유도 결합 플라즈마 챔버에서 에지 성능을 제어하기 위한 장치 및 방법 KR101504084B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/960,300 2007-12-19
US11/960,300 US8999106B2 (en) 2007-12-19 2007-12-19 Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
PCT/US2008/086885 WO2009085709A1 (en) 2007-12-19 2008-12-15 Apparatus and method for controlling edge performance in an inductively coupled plasma chamber

Publications (2)

Publication Number Publication Date
KR20100105695A true KR20100105695A (ko) 2010-09-29
KR101504084B1 KR101504084B1 (ko) 2015-03-19

Family

ID=40789121

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107015878A KR101504084B1 (ko) 2007-12-19 2008-12-15 유도 결합 플라즈마 챔버에서 에지 성능을 제어하기 위한 장치 및 방법

Country Status (6)

Country Link
US (1) US8999106B2 (ko)
JP (1) JP5704923B2 (ko)
KR (1) KR101504084B1 (ko)
CN (1) CN101874292B (ko)
TW (2) TWI534930B (ko)
WO (1) WO2009085709A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180020876A (ko) * 2016-08-19 2018-02-28 램 리써치 코포레이션 이동 가능한 에지 링 및 가스 주입 조정을 사용하여 웨이퍼 상 cd 균일성의 제어
US11342163B2 (en) 2016-02-12 2022-05-24 Lam Research Corporation Variable depth edge ring for etch uniformity control
US12027410B2 (en) 2015-01-16 2024-07-02 Lam Research Corporation Edge ring arrangement with moveable edge rings

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8414736B2 (en) * 2009-09-03 2013-04-09 Applied Materials, Inc. Plasma reactor with tiltable overhead RF inductive source
US10316412B2 (en) 2012-04-18 2019-06-11 Veeco Instruments Inc. Wafter carrier for chemical vapor deposition systems
US10167571B2 (en) 2013-03-15 2019-01-01 Veeco Instruments Inc. Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
US9315891B2 (en) * 2013-03-15 2016-04-19 Applied Materials, Inc. Methods for processing a substrate using multiple substrate support positions
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
USD819580S1 (en) * 2016-04-01 2018-06-05 Veeco Instruments, Inc. Self-centering wafer carrier for chemical vapor deposition
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
WO2017131927A1 (en) 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10103027B2 (en) 2016-06-20 2018-10-16 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10510545B2 (en) 2016-06-20 2019-12-17 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10665433B2 (en) 2016-09-19 2020-05-26 Varian Semiconductor Equipment Associates, Inc. Extreme edge uniformity control
CN109716498B (zh) * 2016-10-18 2023-10-24 玛特森技术公司 用于工件处理的系统和方法
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US11404249B2 (en) * 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US11056325B2 (en) 2017-12-20 2021-07-06 Applied Materials, Inc. Methods and apparatus for substrate edge uniformity
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
WO2020214327A1 (en) 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
WO2021150625A1 (en) 2020-01-23 2021-07-29 Applied Materials, Inc. Method of cleaning a structure and method of depositiing a capping layer in a structure
US11380575B2 (en) * 2020-07-27 2022-07-05 Applied Materials, Inc. Film thickness uniformity improvement using edge ring and bias electrode geometry

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60109789A (ja) 1983-11-15 1985-06-15 Citizen Watch Co Ltd アナログ電子時計のモーター負荷補償回路
US4512841A (en) 1984-04-02 1985-04-23 International Business Machines Corporation RF Coupling techniques
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US6095083A (en) 1991-06-27 2000-08-01 Applied Materiels, Inc. Vacuum processing chamber having multi-mode access
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
JP2565156Y2 (ja) * 1991-12-28 1998-03-11 国際電気株式会社 半導体製造装置及びそのウェーハ置台
JP3566740B2 (ja) * 1992-09-30 2004-09-15 アプライド マテリアルズ インコーポレイテッド 全ウエハデポジション用装置
US5803977A (en) * 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
JP3242166B2 (ja) 1992-11-19 2001-12-25 株式会社日立製作所 エッチング装置
US5444217A (en) 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
JP2638443B2 (ja) * 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US6033480A (en) 1994-02-23 2000-03-07 Applied Materials, Inc. Wafer edge deposition elimination
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
JP3136054B2 (ja) 1994-08-16 2001-02-19 東京エレクトロン株式会社 プラズマ処理装置
JPH08186081A (ja) * 1994-12-29 1996-07-16 F T L:Kk 半導体装置の製造方法及び半導体装置の製造装置
US5888413A (en) 1995-06-06 1999-03-30 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
US5700725A (en) 1995-06-26 1997-12-23 Lucent Technologies Inc. Apparatus and method for making integrated circuits
US5584936A (en) * 1995-12-14 1996-12-17 Cvd, Incorporated Susceptor for semiconductor wafer processing
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
CN1164125A (zh) * 1996-02-20 1997-11-05 株式会社日立制作所 等离子体处理方法和装置
US5800619A (en) 1996-06-10 1998-09-01 Lam Research Corporation Vacuum plasma processor having coil with minimum magnetic field in its center
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5848889A (en) 1996-07-24 1998-12-15 Applied Materials Inc. Semiconductor wafer support with graded thermal mass
US5920797A (en) 1996-12-03 1999-07-06 Applied Materials, Inc. Method for gaseous substrate support
JP3247079B2 (ja) 1997-02-06 2002-01-15 松下電器産業株式会社 エッチング方法及びエッチング装置
US5824607A (en) * 1997-02-06 1998-10-20 Applied Materials, Inc. Plasma confinement for an inductively coupled plasma reactor
US6133152A (en) 1997-05-16 2000-10-17 Applied Materials, Inc. Co-rotating edge ring extension for use in a semiconductor processing chamber
US6280183B1 (en) 1998-04-01 2001-08-28 Applied Materials, Inc. Substrate support for a thermal processing chamber
US6146504A (en) * 1998-05-21 2000-11-14 Applied Materials, Inc. Substrate support and lift apparatus and method
KR100292410B1 (ko) 1998-09-23 2001-06-01 윤종용 불순물 오염이 억제된 반도체 제조용 반응 챔버
US6176198B1 (en) 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6159299A (en) 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
US6229264B1 (en) 1999-03-31 2001-05-08 Lam Research Corporation Plasma processor with coil having variable rf coupling
JP2000323487A (ja) 1999-05-14 2000-11-24 Tokyo Electron Ltd 枚葉式熱処理装置
JP2001068538A (ja) 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
US6344105B1 (en) 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
KR100315088B1 (ko) 1999-09-29 2001-11-24 윤종용 포커스 링을 갖는 반도체 웨이퍼 제조 장치
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
JP4419237B2 (ja) 1999-12-22 2010-02-24 東京エレクトロン株式会社 成膜装置及び被処理体の処理方法
US6383931B1 (en) 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
US6350320B1 (en) 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6414648B1 (en) 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6685798B1 (en) 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
KR100384060B1 (ko) 2000-12-04 2003-05-14 삼성전자주식회사 반도체장치 애싱설비의 척 플레이트 및 이를 이용한 척조립체
US6634882B2 (en) * 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance
US6344631B1 (en) 2001-05-11 2002-02-05 Applied Materials, Inc. Substrate support assembly and processing apparatus
EP1397827B1 (de) * 2001-05-29 2008-04-02 Aixtron AG Aus einem tragkörper und darauf gasgelagerten und drehangetriebenen substrathalter bestehende anordnung
US20030044529A1 (en) 2001-08-29 2003-03-06 Hsiao-Che Wu Method of depositing thin film
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US6887317B2 (en) * 2002-09-10 2005-05-03 Applied Materials, Inc. Reduced friction lift pin
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
KR20040033831A (ko) 2002-10-16 2004-04-28 삼성전자주식회사 반도체 소자 제조 장치
JP4318913B2 (ja) 2002-12-26 2009-08-26 東京エレクトロン株式会社 塗布処理装置
US7179754B2 (en) 2003-05-28 2007-02-20 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
US7024105B2 (en) 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
JP4441356B2 (ja) 2003-10-16 2010-03-31 東京エレクトロン株式会社 成膜装置
US7955646B2 (en) 2004-08-09 2011-06-07 Applied Materials, Inc. Elimination of flow and pressure gradients in low utilization processes
US20070187363A1 (en) 2006-02-13 2007-08-16 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US7504041B2 (en) 2006-05-03 2009-03-17 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor employing a dynamically adjustable plasma source power applicator
US7431797B2 (en) 2006-05-03 2008-10-07 Applied Materials, Inc. Plasma reactor with a dynamically adjustable plasma source power applicator
US7520999B2 (en) 2006-05-03 2009-04-21 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with dynamic adjustment of the plasma source power applicator and the workpiece relative to one another
US7419551B2 (en) 2006-05-03 2008-09-02 Applied Materials, Inc. Plasma reactor with apparatus for dynamically adjusting the plasma source power applicator and the workpiece relative to one another

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12027410B2 (en) 2015-01-16 2024-07-02 Lam Research Corporation Edge ring arrangement with moveable edge rings
US11342163B2 (en) 2016-02-12 2022-05-24 Lam Research Corporation Variable depth edge ring for etch uniformity control
KR20180020876A (ko) * 2016-08-19 2018-02-28 램 리써치 코포레이션 이동 가능한 에지 링 및 가스 주입 조정을 사용하여 웨이퍼 상 cd 균일성의 제어
KR20220044474A (ko) * 2016-08-19 2022-04-08 램 리써치 코포레이션 이동 가능한 에지 링 및 가스 주입 조정을 사용하여 웨이퍼 상 cd 균일성의 제어
US11424103B2 (en) 2016-08-19 2022-08-23 Lam Research Corporation Control of on-wafer cd uniformity with movable edge ring and gas injection adjustment

Also Published As

Publication number Publication date
US8999106B2 (en) 2015-04-07
JP5704923B2 (ja) 2015-04-22
CN101874292A (zh) 2010-10-27
TWI501336B (zh) 2015-09-21
CN101874292B (zh) 2013-02-13
JP2011510481A (ja) 2011-03-31
TWI534930B (zh) 2016-05-21
WO2009085709A1 (en) 2009-07-09
US20090162952A1 (en) 2009-06-25
TW201523773A (zh) 2015-06-16
KR101504084B1 (ko) 2015-03-19
TW200939381A (en) 2009-09-16

Similar Documents

Publication Publication Date Title
KR101504084B1 (ko) 유도 결합 플라즈마 챔버에서 에지 성능을 제어하기 위한 장치 및 방법
US8062472B2 (en) Method of correcting baseline skew by a novel motorized source coil assembly
US8137463B2 (en) Dual zone gas injection nozzle
US20090162570A1 (en) Apparatus and method for processing a substrate using inductively coupled plasma technology
TWI802347B (zh) 用於電漿處理中之均勻性控制的漸縮上電極
KR100929279B1 (ko) 경사면 증착을 줄이기 위한 하드웨어 개발
JP5294626B2 (ja) 半導体基板処理チャンバ内のガス流を制御するための装置
TWI593317B (zh) 可調間隙電漿腔室內之雙重侷限與超高壓力用之方法
US9095038B2 (en) ICP source design for plasma uniformity and efficiency enhancement
JP6499771B2 (ja) 基板処理装置
US20060236932A1 (en) Plasma processing apparatus
US20090275206A1 (en) Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
US20140120731A1 (en) Icp source design for plasma uniformity and efficiency enhancement
JP6861710B2 (ja) 非対称なチャンバ環境における均一なウエハ温度の実現
JP2012049376A (ja) プラズマ処理装置およびプラズマ処理方法
TWI791202B (zh) 氣體遮擋環、等離子體處理裝置及調控聚合物分佈的方法
KR102143140B1 (ko) 배플 유닛, 이를 이용한 기판 처리 장치 및 기판 처리 방법
WO2009085808A2 (en) Apparatus and method for processing a substrate using inductively coupled plasma technology
CN113748227A (zh) 静电吸附工艺
KR20080067896A (ko) 플라즈마 조절기 및 이를 구비한 플라즈마 처리 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant