JP2019536268A - アクティブシャワーヘッド - Google Patents

アクティブシャワーヘッド Download PDF

Info

Publication number
JP2019536268A
JP2019536268A JP2019523871A JP2019523871A JP2019536268A JP 2019536268 A JP2019536268 A JP 2019536268A JP 2019523871 A JP2019523871 A JP 2019523871A JP 2019523871 A JP2019523871 A JP 2019523871A JP 2019536268 A JP2019536268 A JP 2019536268A
Authority
JP
Japan
Prior art keywords
actuator
layer
gas
transfer
active
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019523871A
Other languages
English (en)
Other versions
JP2019536268A5 (ja
JP7113010B2 (ja
Inventor
グレゴール・マリウシュ
リル・トルステン
トラッセル・デービッド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2019536268A publication Critical patent/JP2019536268A/ja
Publication of JP2019536268A5 publication Critical patent/JP2019536268A5/ja
Application granted granted Critical
Publication of JP7113010B2 publication Critical patent/JP7113010B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/46Measurement of colour; Colour measuring devices, e.g. colorimeters
    • G01J3/50Measurement of colour; Colour measuring devices, e.g. colorimeters using electric radiation detectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32981Gas analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electromagnetism (AREA)

Abstract

【解決手段】プラズマリアクタに用いられるアクティブシャワーヘッドが開示されている。アクティブシャワーヘッドは、複数の基板層を備える。基板層は、少なくとも1つのアクチュエータ/移送構成要素を備える。アクチュエータ/移送構成要素は、ガスチャネルを介してガスラインに接続されている。アクティブシャワーヘッドは、さらに、基板層の下方に配置された電極層を備える。電極層およびアクチュエータ/移送構成要素は共に、開口部を共有する。アクチュエータ/移送構成要素は、従来のガスボックスを必要とせずに、ガスラインおよびガスチャネルから受け入れられた1または複数の処理ガスが開口部内に移動することを可能にする。【選択図】図4

Description

本実施形態は、アクティブシャワーヘッド、および、リアクタへのガス注入方法に関する。
プラズマチャンバが、基板を処理するために用いられる。例えば、プラズマチャンバは、ウエハ洗浄、ウエハへの材料(酸化物など)の蒸着、または、ウエハのエッチングなどに用いられる。基板処理中、ガスがプラズマチャンバに供給される。ガスがプラズマチャンバに供給される時間が、処理を切り替えるまたは異なるウエハを処理する際に重要である。
本開示に記載の実施形態は、このような文脈で生まれたものである。
ガス供給システムのほとんどが、1秒を超える持続時間を典型的に有する処理シーケンスに適合されており、処理のほとんどは、10秒を超える持続時間を必要とする。ガスボックス(ガス供給システムの一部である)が、流量測定/制御装置(例えば、圧力測定装置など)と、ガス種ごとの関連構成要素(例えば、マスフローコントローラ(MFC)、ガススティックアセンブリなど)と、を備える。MFCは、高圧ガス供給部に接続され、ガス流量を所与の流量設定値に調節する。ガススティックアセンブリは、正確に測定および制御された定常流をガス混合マニホルド内に排気する。次いで、ガス混合マニホルドは、ノズルまたはシャワーヘッドを通してプラズマチャンバ内にガスを排気する。代替的または追加的に、混合ガスを複数部分に分けて、分けられた各部分を1または複数のゾーンまたはノズルに排気するために、ガススプリッタが利用される。
ガス混合マニホルドは、プラズマチャンバから数フィートのパイプ長だけ離れている。ガス混合マニホルドの容積、ならびに、シャワーヘッド、ガスボックス、および、ガススプリッタの容積および流れ抵抗により、ガス容量が大きくなる。大きいガス容量により、プラズマチャンバ内で1つのガス混合物を次のガス混合物に変えるための時間が長くなる。また、ガス混合物は、ガス混合マニホルド内にある間に、すでに反応している場合がある。加えて、MFCは、MFC技術または他の要因(ガスのタイプなど)により、100ミリ秒〜1000ミリ秒の間の範囲の定常状態に至るのが遅い。さらに、ガス供給システムのガス供給構成要素(ガスボックス、ガススプリッタ、ガス混合マニホルドなど)は、ステンレス鋼合金で形成され、ガス供給システムは、多数の相手先商標製造会社(OEM)の構成要素を備え、それらは、さらに多くの微小な接続金具で接続される。これにより、金属汚染の可能性がある。また、最初に流量を制御してガス混合物を生成し、次に、その混合物を1つのゾーン(または、ガススプリッタの場合には、複数のゾーン)に導いてプラズマチャンバに入れるアプローチは、低速かつ高価である。さらに、ガス供給構成要素が多数であることから、基板の処理が複雑になる。
本開示の実施形態は、アクティブシャワーヘッドに関連するシステム、装置、方法、および、コンピュータプログラム、ならびに、プラズマリアクタにガスを注入する方法を提供する。本実施形態は、処理、装置、システム、デバイス、または、コンピュータ読み取り可能な媒体に記録された方法など、種々の形態で実施できることを理解されたい。以下に、いくつかの実施形態を記載する。
いくつかの実施形態において、プラズマシステムが記載されている。プラズマシステムは、アクチュエータ制御部、ガスライン、および、プラズマリアクタを備える。プラズマリアクタは、ガスラインおよびアクチュエータ制御部に接続されている。プラズマリアクタは、チャックアセンブリおよびアクティブシャワーヘッドを備える。アクティブシャワーヘッドは、複数の基板層を備える。基板層は、アクチュエータ制御部に接続された少なくとも1つのアクチュエータ/移送構成要素を備える。アクチュエータ/移送構成要素は、ガスチャネルを介してガスラインに接続されている。アクティブシャワーヘッドは、さらに、基板層の下方に配置された電極層を備える。電極層およびアクチュエータ/移送構成要素は、チャックアセンブリとアクティブシャワーヘッドとの間のギャップにつながる開口部を有する。アクチュエータ制御部は、ガスラインおよびガスチャネルから受け入れられた1または複数の処理ガスが開口部を通してギャップ内に通過することを可能にするように、アクチュエータ/移送構成要素を制御する。
様々な実施形態において、別のプラズマシステムが記載されている。別のプラズマシステムも、アクチュエータ制御部、ガスラインおよび、プラズマリアクタを備える。プラズマリアクタは、ガスラインおよびアクチュエータ制御部に接続されている。プラズマリアクタは、チャックアセンブリおよびアクティブシャワーヘッドを備える。アクティブシャワーヘッドは、複数の基板層を備える。基板層は、アクチュエータ制御部に接続されたアクチュエータ/移送構成要素を備える。アクチュエータ/移送構成要素は、ガスチャネルを介してガスラインに接続されている。アクティブシャワーヘッドは、基板層の下方に配置された電極層と、電極層の下方に配置された混合チャンバと、混合チャンバの下方に配置され、複数の開口部を有するシャワーヘッドプレートと、を備える。電極層およびアクチュエータ/移送構成要素は、混合チャンバにつながる開口部を有する。アクチュエータ制御部は、ガスラインおよびガスチャネルから受け入れられた1または複数の処理ガスが、電極層およびアクチュエータ/移送構成要素の開口部を通して混合チャンバ内に通過し、さらに、シャワーヘッドプレートの複数の開口部を介してギャップ内に至ることを可能にするように、アクチュエータ/移送構成要素を制御する。
いくつかの実施形態において、アクティブシャワーヘッドが記載されている。アクティブシャワーヘッドは、複数の基板層を備える。基板層は、アクチュエータ/移送構成要素を備える。アクチュエータ/移送構成要素は、ガスチャネルを介してガスラインに接続されている。アクティブシャワーヘッドは、さらに、基板層の下方に配置された電極層を備える。電極層およびアクチュエータ/移送構成要素は、開口部を有する。アクチュエータ/移送構成要素は、ガスラインおよびガスチャネルから受け入れられた1または複数の処理ガスが開口部内に移動することを可能にする。
本明細書に記載のシステムおよび方法のいくつかの利点は、迅速なガス交換を容易にし、アクティブシャワーヘッドごとに多数のゾーンを提供し、複数のガス種およびガスの波をプラズマリアクタ内でウエハ表面にわたって分配することである。プラズマシステムのさらなる利点は、個々のガス供給構成要素の数を減らし、それにより、信頼性を高めることを含む。例えば、プラズマシステムは、流量制御のためにアクティブシャワーヘッドに組み込まれた微小電気機械システム(MEMS)ベースの基板を用いて、プラズマリアクタごとのガスボックスを排除する。ガスボックスおよび構成要素(MFCなど)を排除することで、かなりのコスト削減も達成される。また、ガスボックスは、かなりの空間を占める。ガスボックスを排除することにより、空間を節約して、他の目的に利用できる。
いくつかの実施形態において、本明細書に記載の方法は、例えば、アクティブシャワーヘッドに流量測定ツールを組み込むこと、アクティブシャワーヘッドにひずみセンサを組み込むこと、アクティブシャワーヘッドに温度センサを組み込むこと、などによって、アクティブシャワーヘッドに流力制御を組み込む工程を備える。別の利点は、多数のMEMSバルブ(例えば、アクチュエータおよびダイヤフラムおよび移送チャネルなど)ならびにセンサをアクティブシャワーヘッドに提供することを含む。多数のバルブは、多数のゾーンを備えることにつながる。多数のゾーンがあれば、ウエハにわたるガス分布が微調整される。
さらなる利点は、ウエハの上にバルブ(例えば、アクチュエータ、ダイヤフラム、バルブシート、移送チャネル、通路チャネル、ガス受け入れチャンバ、ガス通路など)を有することである。かかるバルブの位置は、ガス交換の時間、および、ウエハ上のガス種の分布を変える時間を最短にする。これは、より高速な処理工程シーケンシングおよびその場でのガス分布制御(例えば、基板層内でのガス分布制御)を可能にする。これにより、新しい処理方法(ガス波注入モードの応用であるローリングフラッシュ、または、ドーズ制御の応用であるガス分布調整など)が可能になる。
本明細書に記載のシステムおよび方法のさらなる利点は、大きい節約およびチャンバパッケージングの改善につながるガスボックスの排除を含む。また、ガス流スプリッタも排除される。また、ガス混合物が、混合マニホルドの中ではなく、ウエハの上方(例えば、混合チャンバの中、など)で作られる。
添付の図面を参照して行う以下の詳細な説明から、別の態様が明らかになる。
実施形態は、添付の図面に関連して行う以下の説明を参照することによって最も良好に理解できる。
容量結合プラズマ(CCP)リアクタ内でアクティブシャワーヘッドが用いられるシステムの一実施形態を示すブロック図。
CCPリアクタ内で用いられるアクティブシャワーヘッドが断熱層を有するシステムの一実施形態を示す図。
CCPリアクタ内で用いられるアクティブシャワーヘッドが混合チャンバを含むシステムの一実施形態を示す図。
誘導結合プラズマ(ICP)リアクタ内でアクティブシャワーヘッドが用いられるシステムの一実施形態を示す図。
ICPリアクタ内で用いられるアクティブシャワーヘッドが混合チャンバを含むシステムの一実施形態を示す図。
プラズマリアクタのアクティブシャワーヘッドへの1または複数の処理ガスの供給を説明するために一実施形態を示す図。
アクティブシャワーヘッドの一実施形態を示す図。
アクチュエータが収縮位置で通常開である図4のアクティブシャワーヘッドの一実施形態を示す図。
アクチュエータが伸長位置で閉である図4のアクティブシャワーヘッドの一実施形態を示す図。
アクチュエータが伸長位置で通常閉である図4のアクティブシャワーヘッドの一実施形態を示す図。
アクチュエータが収縮位置で開である図4のアクティブシャワーヘッドの一実施形態を示す図。
アクティブシャワーヘッドのダイヤフラム層の一部であるアクチュエータを説明するための一実施形態を示す図。
アクティブシャワーヘッド内に形成されたゾーンの配置の一実施形態を示す上面図。
アクティブシャワーヘッド内に形成されたゾーンの別の配置の一実施形態を示す上面図。
アクティブシャワーヘッド内に形成されたゾーンのさらに別の配置の一実施形態を示す上面図。
アクティブシャワーヘッド内に形成されたゾーンのまたさらに別の配置の一実施形態を示す上面図。
基板層への1または複数の処理ガスの移送を説明するためにアクティブシャワーヘッドの一実施形態を示す図。
アクチュエータの動きを制御するためのアクチュエータ制御部を説明するための図。
アクチュエータの動きを制御するための別のアクチュエータ制御部を説明するための図。
ガス波注入およびドーズ制御を説明する一実施形態を示す図。
以下の実施形態は、プラズマリアクタにガスを注入するためのアクティブシャワーヘッドならびに関連システムおよび方法を記載する。本実施形態は、これらの具体的な詳細事項の一部またはすべてがなくとも実施可能であることが明らかである。また、本実施形態が不必要に不明瞭となることを避けるため、周知の処理動作の詳細な説明は省略した。
いくつかの実施形態において、プラズマリアクタにガスを直接注入するための一体型バルブを備えたアクティブシャワーヘッドが記載されている。一例として、各バルブは、プラズマリアクタへの対応する穴開口部から400マイクロメートルの距離にある。穴開口部は、プラズマリアクタの上面にある。別の例として、バルブは、プラズマリアクタの上面にある穴開口部から400マイクロメートル〜2000マイクロメートルの距離にある。ガスラインが、穴開口部に嵌合される。各バルブは単一のガスラインに接続されており、処理ガスはプラズマリアクタ内で混合する。プラズマリアクタの外部のガス混合マニホルド内での処理ガスの混合がない。バルブは、例えば、ウエハ基板(シリコンウエハなど)に微小電気機械システム(MEMS)技術を用いて加工される。
様々な実施形態において、多数のバルブが、プラズマリアクタ内のウエハを処理するために、ウエハ表面(例えば、300ミリメートルウエハ表面など)上に並列で設けられる。アクティブシャワーヘッド内では、構造(例えば、センサ、ダイヤフラム、アクチュエータ、ガス通路、ガス受け入れチャンバ、通路チャネルなど)が、複数のウエハ上に形成され、ウエハは、支持基板に対してスタックされるように共に貼り付けられて(例えば、結合されて)アクティブシャワーヘッドを構成する。このように、アクティブシャワーヘッドは、完全一体型である。流量精度は、供給圧を制御すると共に各バルブについて圧力および温度に対する流れ抵抗を較正することによって達成される。流量制御構成要素(例えば、ひずみセンサ、温度センサ、流量センサ、ガス質量センサなど)が、アクティブシャワーヘッドに組み込まれるので、各プラズマチャンバ専用のガスボックスは必要ない。
アクティブシャワーヘッドは、多数のゾーン(128個など)、50〜150の間の数のゾーン、20〜100の間の数のゾーン、などを可能にする。多数のゾーンと、高速な作動および最小の寄生体積により、プラズマリアクタ内での迅速なガス交換ならびに高度な均一性制御および補償が可能になる。高速な作動および最小の寄生体積は、従来のガスボックスおよびガス混合マニホルドを排除し、アクティブシャワーヘッドに流量制御構成要素を組み込むことによって達成される。
さらに、様々なタイプのガス注入(例えば、ガス波注入(gas wave injection)またはドーズ制御など)が可能である。例えば、ドーズ制御は、単一バルブを通して定常流ではなく単一バルブの作動あたりに所定のドーズを注入することである。別の例として、ガス波注入では、ガスバルブを作動させることによって、ガス波が生成され、例えば、アクティブシャワーヘッドの中心から放射状に始まり、同心円状にアクティブシャワーヘッドの外縁に至る。これは、プラズマリアクタから以前の処理のガス種の追い出しを改善し、洗浄処理に役立つ。多数のバルブおよび重複するドーズ注入を用いることにより、必要に応じて、仮想定常流が達成される。様々な処理が、定常流制御ではなくガスドーズ量を用いて達成される。例えば、非常に短い処理動作については、定常流を達成できず、経時的なガスドーズがより正確なメトリックとなる。
図1Aは、容量結合プラズマ(CCP)リアクタであるプラズマリアクタ102へガスを注入するためのシステム100の一実施形態を示すブロック図である。システム100は、プラズマリアクタ102と、1または複数の高周波(RF)発生器104と、インピーダンス整合回路(IMC)である整合器106と、を備える。いくつかの実施形態において、1または複数のRF発生器104は、xメガヘルツ(MHz)RF発生器、yMHzRF発生器、および、zMHzRF発生器を含み、ここで、xは2、yは27、zは60である。様々な実施形態において、xは、400キロヘルツ(kHz)である。いくつかの実施形態において、xは400kHz、yは2MHz、zは27MHzである。様々な実施形態において、yは、27MHzではなく、13.56MHzである。いくつかの実施形態において、任意の他の数のRF発生器が、システム100で用いられる。例えば、xおよびyMHzRF発生器が用いられ、yMHzRF発生器は用いられない。別の例として、yおよびzMHzRF発生器が用いられ、xMHzRF発生器は用いられない。さらに別の例として、xおよびzMHzRF発生器が用いられ、yMHzRF発生器は用いられない。別の例として、1または複数のRF発生器104は、xMHzRF発生器、もしくは、yMHzRF発生器、もしくは、zMHzRF発生器、もしくは、x、y、および、zMHzRF発生器の内の2以上の組み合わせ、を含む。1または複数のRF発生器104の各々は、RF信号を生成するRFオシレータなど、RF電源を備える。
1または複数のRF発生器104は、対応する1または複数のRFケーブル108を介して整合器106に接続され、整合器106は、RF伝送ライン110を介してプラズマリアクタ102に接続されている。1または複数のRF発生器104の各々は、対応するRFケーブルを介して整合器106の対応する入力に接続されている。整合器106の出力は、RF伝送ライン110に接続されている。
整合器106は、整合器106の出力に接続された負荷のインピーダンスを整合器106の入力に接続されたソースのインピーダンスと整合するために、電気回路構成要素(例えば、インダクタ、キャパシタなど)を備える。例えば、整合器106は、プラズマリアクタ102および整合器106の出力に接続されたRF伝送ライン110のインピーダンスを、1または複数のRF発生器104および1または複数のRFケーブル108のインピーダンスと整合する。整合器106は、電力が、ソースに向かう方向に(例えば、負荷からソースに向かって)反射される可能性を低減する。
プラズマリアクタ108は、アクティブシャワーヘッド112およびチャックアセンブリ114を備えており、チャックアセンブリ114は、チャック130(静電チャック(ESC)など)を備える。チャックアセンブリ114は、アクティブシャワーヘッド112と対向する。アクティブシャワーヘッド112は、熱プレート層116と、断熱層118と、基板支持層120と、基板層122と、上側電極124(例えば、電極プレート、容量電極プレートなど)と、を備える。熱プレート層116の一例は、熱エネルギの伝導体である1または複数の金属プレートを含む。熱プレート層116の別の例は、熱を生成するために電流を供給される1または複数の抵抗器を備えた層を含む。断熱層118の一例は、窒化アルミニウム、セラミック、または、それらの組み合わせを有する層である。断熱層118は、熱層116から基板支持層122への断熱を提供する。上側電極124は、金属(例えば、アルミニウム、アルミニウム合金など)で形成され、接地電位に接続されている。いくつかの実施形態において、アクティブシャワーヘッド112は、他の構成要素(図示せず)、例えば、上側電極124を囲む上側誘電体リング、上側誘電体リングを囲む上側電極延長部、上側電極延長部を囲むC−シュラウド117、などを備える。
断熱層118は、熱層116と基板支持層120との間に位置するように、熱層116の下方かつ基板支持層120の上方に配置される。基板支持層120は、断熱層118の下方かつ基板層122の上方に配置されており、したがって、断熱層118と基板層122との間に位置する。基板層122は、基板支持層120の下方かつ上側電極124の上方に配置されており、したがって、基板支持層120と上側電極124との間に位置する。上側電極124は、ギャップ128と基板層122との間に位置するように、ギャップ128の上方かつ基板層122の下方に配置される。ギャップ128は、アクティブシャワーヘッド112とチャックアセンブリ114との間に形成されている。例えば、ギャップ128は、アクティブシャワーヘッド112およびチャックアセンブリ114によって囲まれている。
チャック130は、金属(例えば、アルミニウム、アルミニウム合金など)で形成された下側電極を備える。様々な実施形態において、チャックアセンブリ114の外の構成要素(例えば、下側電極を囲む下側誘電体リング、下側誘電体リングを囲む下側電極延長部、など)が、チャック130を囲んでいる。いくつかの実施形態において、チャック130は、下側電極の上面に取り付けられたセラミック層と、下側電極の底面に取り付けられた設備プレートと、を備える。
基板(例えば、半導体ウエハ)が、その基板を処理する(例えば、基板に材料を蒸着させる、エッチングする、洗浄する、など)ために、チャック114の上面の上に支持される。集積回路(例えば、特定用途向け集積回路(ASIC)、プログラム可能論理デバイス(PLD)など)が、基板上に製造され、それらの集積回路は、様々なデバイス、例えば、携帯電話、タブレット、スマートフォン、コンピュータ、ラップトップ、ネットワーク装置などで利用される。
システム100は、さらに、アクティブシャワーヘッド112の上面119に1または複数の対応するガスシリンダを接続するガスラインGL1、GL2、GL3、GL4、GL5、および、GL6を備える。例えば、ガスラインGL1〜GL6は、対応する金属コネクタを介して上面119にボルト留めされる。別の例として、ガスラインGL1〜GL6は、上面119に溶接される。さらに別の例として、ガスラインGL1は上面119のポイントP1に接続され、ガスラインGL2は上面119のポイントP2に接続され、ガスラインGL3は上面119のポイントP3に接続され、ガスラインGL4は上面119のポイントP4に接続され、ガスラインGL5は上面119のポイントP5に接続され、ガスラインGL6は上面119のポイントP6に接続される。いくつかの実施形態において、アクティブシャワーヘッド112の上面119は、熱層116の上面と同じである。様々な実施形態において、アクティブシャワーヘッド112の上面119は、熱層116の上面に嵌められた金属カバーの上面である。
いくつかの実施形態において、各ガスラインGL1〜GL6は、異なるガスシリンダに接続されている。各ガスシリンダは、処理ガスまたは処理ガスの混合物を含む。1または複数の対応するガスシリンダとプラズマリアクタ102との間にはガスボックスが接続されていないことに注意されたい。ガスボックスが備えられない場合、プラズマリアクタに供給されている処理ガスの圧力を測定するために用いられるガスボックスの圧力モニタも備えられない。処理ガスの例としては、酸素含有ガス(Oなど)が挙げられる。処理ガスの他の例は、フッ素含有ガス、例えば、テトラフルオロメタン(CF)、六フッ化硫黄(SF)、ヘキサフルオロエタン(C)などを含む。いくつかの実施形態において、システム100に示すのと異なる任意の数(例えば、1,2,3,5、10、20など)のガスラインが用いられる。
各ガスラインは、熱層116、断熱層118、基板支持層120、および、基板層122内に形成された対応するガスチャネルC1、C2、C3、C4、C5、および、C6に接続されている。例えば、ガスラインGL1〜GL6は、対応するガスチャネルC1〜C6を介して基板層122にガスシリンダを接続する。
各ガスチャネルは、基板層122のアクチュエーション/移送構成要素(AT)に接続されている。例えば、ガスチャネルC1は、アクチュエーション/移送構成要素AT1に接続され、ガスチャネルC2は、アクチュエーション/移送構成要素AT2に接続され、ガスチャネルC3は、アクチュエーション/移送構成要素AT3に接続され、ガスチャネルC4は、アクチュエーション/移送構成要素AT4に接続され、ガスチャネルC5は、アクチュエーション/移送構成要素AT5に接続され、ガスチャネルC6は、アクチュエーション/移送構成要素AT6に接続されている。
システム100は、さらに、アクチュエータ制御部126(例えば、光分波器および光源、もしくは、電力コントローラなど)を備える。アクチュエータ制御部は、アクチュエータ/移送構成要素AT1〜AT6の各々のアクチュエータに接続されている。
1または複数のRF発生器104は、対応する1または複数のRFケーブル108を介して整合器106の対応する入力へ伝達される対応する1または複数のRF信号(例えば、パルスRF信号、連続波形RF信号など)を生成する。整合器106は、負荷のインピーダンスをソースのインピーダンスと整合して、整合器106の出力で変調RF信号を生成する。変調RF信号は、RF伝送ライン110を介してチャック114の下側電極に伝送される。さらに、各ガスラインGL1〜GL6は、1または複数のガスシリンダから対応するガスチャネルC1〜C6を介して対応するアクチュエータ/移送構成要素AT1〜AT6へ1または複数の処理ガスを移送する。例えば、ガスラインGL1は、ガスチャネルC1を介してアクチュエータ/移送構成要素AT1へ1または複数の処理ガスを移送し、ガスラインGL2は、ガスチャネルC2を介してアクチュエータ/移送構成要素AT2へ1または複数の処理ガスを移送し、・・・、ガスラインGL6は、ガスチャネルC6を介してアクチュエータ/移送構成要素AT6へ1または複数の処理ガスを移送する。いくつかの実施形態において、1または複数の処理ガスが有害である場合に、1または複数の処理ガスの圧力が減圧であることに注意されたい。
さらに、アクチュエータ制御部126は、対応するガスチャネルC1〜C6からギャップ128への1または複数の処理ガスの移送を可能にするように、アクチュエータ/移送構成要素AT1〜AT6のアクチュエータを制御する。変調RF信号が下側電極に供給され、1または複数の処理ガスがアクチュエータ/移送構成要素AT1〜AT6からギャップ128へ供給されると、チャック114の上面上に支持された基板を処理するために、プラズマが、プラズマリアクタ102内で点火されるか、または、プラズマリアクタ102内で維持される。
上側電極124は、上側電極124を通して垂直に伸びる1または複数の開口部(例えば、O1〜O6など)を有する。開口部O1は、アクチュエータ/移送構成要素AT1から上側電極124を介してギャップ128内に伸びる。同様に、開口部O2は、アクチュエータ/移送構成要素AT2から上側電極124を介してギャップ128内に伸びる。開口部O3は、アクチュエータ/移送構成要素AT3から上側電極124を介してギャップ128内に伸び、開口部O4は、アクチュエータ/移送構成要素AT4から上側電極124を介してギャップ128内に伸び、開口部O5は、アクチュエータ/移送構成要素AT5から上側電極124を介してギャップ128内に伸び、開口部O6は、アクチュエータ/移送構成要素AT6から上側電極124を介してギャップ128内に伸びる。開口部O1〜O6は、アクチュエータ/移送構成要素AT1〜AT6から上側電極124を介してギャップ128内に受け入れられる1または複数の処理ガスの通過を可能にする。
いくつかの実施形態において、アクティブシャワーヘッド112は、熱層116を備えない。様々な実施形態において、上側電極124は、基板支持層120の下方に配置されるのではなく、基板層122の上方、かつ、基板支持層120と断熱層118との間に配置される。
様々な実施形態において、チャネルC1およびC3は、バルブを介して互いに接続されており、バルブは、さらに、アクチュエーション/移送構成要素に接続する混合チャネルに接続されている。
いくつかの実施形態において、基板層122は、熱層116の上に隣接して配置されており、1または複数の処理ガスの移送のための開口部が、基板層122のアクチュエータ/移送構成要素AT1〜AT6から、熱層116、断熱層118、基板支持層120、および、上側電極124を介して、ギャップ128内に伸びている。これらの実施形態において、上側電極124は、基板支持層120に隣接する。
様々な実施形態において、基板層122および基板支持層120は、熱層116の上に配置される。例えば、基板支持層120は、熱層116の上部に隣接し、基板層122は、基板支持層120の上部に隣接する。1または複数の処理ガスの移送のための開口部が、アクチュエータ/移送構成要素AT1〜AT6から、基板支持層120、熱層116、断熱層118、および、上側電極124を介して、ギャップ128内に伸びている。上側電極124は、断熱層118に隣接する。
図1Bは、プラズマリアクタ152にガスを注入するためのシステム150の一実施形態を示す図である。システム150は、アクティブシャワーヘッド153が、上側電極124の低面の下に隣接する絶縁体コーティング154(例えば、アルミナコーティング、イットリアコーティング、セラミックコーティングなど)を備えることを除けば、システム100(図1A)と同じものである。絶縁体コーティング154は、ギャップ128内での基板処理のプラズマおよび副生成物(例えば、残留物質など)の腐食効果から上側電極124を保護するために、上側電極124の底面上に重ねられている。
開口部O1〜O6は、1または複数の処理ガスのギャップ128への通過を可能にするために、図1Bに示すように、絶縁体コーティング154を通して伸びている。開口部O1は、アクチュエータ/移送構成要素AT1から上側電極124および絶縁体コーティング154を介してギャップ128内に伸びる。同様に、開口部O2は、アクチュエータ/移送構成要素AT2から上側電極124および絶縁体コーティング154を介してギャップ128内に伸び、開口部O3は、アクチュエータ/移送構成要素AT3から上側電極124および絶縁体コーティング154を介してギャップ128内に伸び、開口部O4は、アクチュエータ/移送構成要素AT4から上側電極124および絶縁体コーティング154を介してギャップ128内に伸び、開口部O5は、アクチュエータ/移送構成要素AT5から上側電極124および絶縁体コーティング154を介してギャップ128内に伸び、開口部O6は、アクチュエータ/移送構成要素AT6から上側電極124および絶縁体コーティング154を介してギャップ128内に伸びている。
図1Cは、上側電極124とシャワーヘッドプレート164との間にある混合チャンバ162を説明するためにシステム160の一実施形態を示す図である。システム160は、アクティブシャワーヘッド168が混合チャンバ162およびシャワーヘッドプレート164を有することを除けば、システム100(図1A)と同じものである。混合チャンバ162は、上側電極124の下方、かつ、上側電極124とシャワーヘッド164との間に配置されており、シャワーヘッドプレート164は、混合チャンバ162の下方、かつ、混合チャンバ162とギャップ128との間に配置されている。混合チャンバ162は、アクティブシャワーヘッド168の壁170、上側電極124、および、シャワーヘッドプレート164によって囲まれている。
開口部O1は、アクチュエータ/移送構成要素AT1から上側電極124を介して混合チャンバ162内の空間に伸びている。同様に、開口部O2は、アクチュエータ/移送構成要素AT2から上側電極124を介して混合チャンバ162内の空間に伸び、開口部O3は、アクチュエータ/移送構成要素AT3から上側電極124を介して混合チャンバ162内の空間に伸び、開口部O4は、アクチュエータ/移送構成要素AT4から上側電極124を介して混合チャンバ162内の空間に伸び、開口部O5は、アクチュエータ/移送構成要素AT5から上側電極124を介して混合チャンバ162内の空間に伸び、開口部O6は、アクチュエータ/移送構成要素AT6から上側電極124を介して混合チャンバ162内の空間に伸びている。
1または複数のガスは、アクティブ/移送構成要素AT1〜AT6から混合チャンバ162内へ流れ、互いに混合される。1または複数の処理ガスの混合物は、シャワーヘッドプレート164を通して垂直に伸びる複数の開口部を介して、混合チャンバ162からギャップ128内へ流れる。いくつかの実施形態において、シャワーヘッドプレート164の開口部の数は、アクティブ移送達構成要素AT1〜AT6の開口部の数よりも多い。様々な実施形態において、シャワーヘッドプレート164の開口部の数は、アクティブ/移送構成要素AT1〜AT6の開口部の数以下である。
いくつかの実施形態において、混合チャンバ162は、アクティブ/移送構成要素AT1〜AT6の内の2以上から受け入れた1または複数の処理ガスの混合を可能にするパーティション(例えば、金属壁など)を備える。例えば、混合チャンバ162は、アクティブ/移送構成要素AT2〜AT5から受け入れた1または複数の処理ガスを混合するが、アクティブ/移送構成要素AT1およびAT6から受け入れた1または複数の処理ガスがアクティブ/移送構成要素AT2〜AT5から受け入れた1または複数の処理ガスと混ざることを許容しない垂直金属壁を備える。垂直壁および壁170は、アクティブ/移送構成要素AT1およびAT6から受け入れた1または複数の処理ガスを混合する。例えば、混合チャンバ162は、アクティブ/移送構成要素AT3およびAT4から受け入れた1または複数の処理ガスを混合するが、アクティブ/移送構成要素AT1、AT2、AT5、および、AT6から受け入れた1または複数の処理ガスがアクティブ/移送構成要素AT3およびAT4から受け入れた1または複数の処理ガスと混ざることを許容しない垂直金属壁を備える。垂直壁および壁170は、アクティブ/移送構成要素AT1、AT2、AT5、および、AT6から受け入れた1または複数の処理ガスを混合する。
いくつかの実施形態において、1または複数のRF発生器104ならびに整合器106がチャック130に接続され、上側電極124が接地に接続される代わりに、チャック130が接地に接続され、1または複数のRF発生器104ならびに整合器106が上側電極124に接続される。
様々な実施形態において、1または複数のRF発生器104が整合器106を介してチャック130に接続されるのに加えて、1または複数のRF発生器は、整合器を介して上側電極124に接続される。
図2Aは、誘導結合プラズマ(ICP)リアクタであるプラズマリアクタ202へガスを注入するためのシステムの一実施形態を示す図である。システム200は、1または複数のRF発生器104と、整合器106と、1または複数のRF発生器204と、別の整合器206と、プラズマリアクタ202と、を備える。1または複数のRF発生器204は、対応する1または複数のRFケーブル208を介して整合器206の対応する1または複数の入力に接続され、整合器206の出力は、RF伝送ライン210を介してプラズマリアクタ202のRFコイル212に接続されている。1または複数のRF発生器204の例は、xMHzRF発生器、もしくは、yMHzRF発生器、もしくは、zMHzRF発生器、もしくは、x、y、および、zMHzRF発生器の内の2以上の組み合わせ、を含む。
いくつかの実施形態において、RFコイル212に加えて、1または複数のさらなるRFコイルが用いられる。例えば、1または複数のさらなるRFコイルは、RFコイル212と同一平面上にあり、整合器206または別の整合に接続されており、別の整合器は、さらなる1または複数のRF発生器(図示せず)に接続される。
プラズマリアクタ202は、アクティブシャワーヘッド220を備えており、アクティブシャワーヘッド220は、熱層116と、断熱層118と、基板層122と、RFコイル212と、誘電体窓214と、を備える。RFコイル212は、誘電体窓214と基板層122との間に配置される。誘電体窓214は、ギャップ128とRFコイル212との間に配置される。ギャップ128は、誘電体窓214とチャック130との間に形成される。誘電体窓214は、ギャップ128内に形成されたプラズマから反射した電力を受けないようにRFコイル212を保護する。誘電体窓214は、開口部O1〜O6を有する。
1または複数のRF発生器204の各々は、1または複数のRFケーブル208の内の対応するケーブルを介して整合器206の対応する入力に接続されている。整合器206の出力は、RF伝送ライン210に接続されている。整合器206は、整合器206の出力に接続された負荷のインピーダンスを整合器206の入力に接続されたソースのインピーダンスと整合するために、電気回路構成要素を備える。例えば、整合器206は、プラズマリアクタ202および整合器206の出力に接続されたRF伝送ライン210のインピーダンスを、1または複数のRF発生器204および1または複数のRFケーブル208のインピーダンスと整合する。整合器206は、電力が、ソースに向かう方向に(例えば、整合206の出力に接続された負荷から整合206の入力に接続されたソースに向かって)を反射される可能性を低減する。
1または複数のRF発生器204は、対応する1または複数のRFケーブル208を介して整合器206に伝達される対応する1または複数のRF信号を生成する。1または複数のRF発生器204からRF信号を受信すると、整合器208は、整合器208の出力に接続された負荷のインピーダンスを整合器206の1または複数の入力に接続されたソースのインピーダンスと整合することで、変調RF信号を生成する。変調RF信号は、ギャップ128内でプラズマを生成または維持するために、RF伝送ライン210を介してRFコイル212に伝送される。
いくつかの実施形態において、1または複数のRF発生器204は、本明細書では、1または複数のソースRF発生器と呼ばれる。これらの実施形態において、チャック130に接続された1または複数のRF発生器104は、バイアスRF発生器と呼ばれる。
変調RF信号がRFコイル212およびチャック130に供給され、アクチュエータ制御部126がアクチュエータ/移送構成要素AT1〜AT6のアクチュエータを制御して、1または複数の処理ガスが開口部O7〜O12を介してギャップ128内に通過することを可能にしている間、プラズマは、ギャップ128内で生成または維持される。開口部O7は、アクチュエータ/移送構成要素AT1内に形成され、誘電体窓214を通して垂直に伸びる。同様に、開口部O8は、アクチュエータ/移送構成要素AT2内に形成され、誘電体窓214を通して垂直に伸び、開口部O9は、アクチュエータ/移送構成要素AT3内に形成され、誘電体窓214を通して垂直に伸び、開口部O10は、アクチュエータ/移送構成要素AT4内に形成され、誘電体窓214を通して垂直に伸び、開口部O11は、アクチュエータ/移送構成要素AT5内に形成され、誘電体窓214を通して垂直に伸び、開口部O12は、アクチュエータ/移送構成要素AT6内に形成され、誘電体窓214を通して垂直に伸びる。
様々な実施形態において、ファラデーシールドが、RFコイル212と誘電体窓214との間に提供される。
いくつかの実施形態において、基板層122は、熱層116の上に隣接して配置されており、1または複数の処理ガスの移送のための開口部が、アクチュエータ/移送構成要素AT1〜AT6から、熱層116、断熱層118、基板支持層120、および、誘電体窓164を介して、ギャップ128内に伸びている。これらの実施形態において、RFコイル212は、基板支持層120の下方に隣接している。
様々な実施形態において、基板層122および基板支持層120は、熱層116の上に配置される。例えば、基板支持層120は、熱層116の上部に隣接し、基板層122は、基板支持層120の上部に隣接する。1または複数の処理ガスの移送のための開口部が、アクチュエータ/移送構成要素AT1〜AT6から、基板支持層120、熱層116、断熱層118、および、誘電体窓214を介して、ギャップ128内に伸びている。これらの実施形態において、RFコイル212は、断熱層118の下方に隣接している。
図2Bは、プラズマリアクタ254の混合チャンバ162内での1または複数の処理ガスの混合を説明するためにシステム250の一実施形態を示す図である。プラズマリアクタ254は、プラズマリアクタ254が、混合チャンバ162およびシャワーヘッドプレート164をさらに備えたアクティブシャワーヘッド260を備えることを除けば、プラズマリアクタ202(図2A)と同じものである。アクチュエータ/移送構成要素AT1は開口部O7を有し、開口部O7は誘電体窓214を通して伸びる。同様に、アクチュエータ/移送構成要素AT2は開口部O8を有し、開口部O8は誘電体窓214を通して伸び、アクチュエータ/移送構成要素AT3は開口部O9を有し、開口部O9は誘電体窓214を通して伸び、アクチュエータ/移送構成要素AT4は開口部O10を有し、開口部O10は誘電体窓214を通して伸び、アクチュエータ/移送構成要素AT5は開口部O11を有し、開口部O11は誘電体窓214を通して伸び、アクチュエータ/移送構成要素AT6は、開口部O12を有し、開口部O12は誘電体窓214を通して伸びる。
混合チャンバ162は、誘電体窓214の下方、かつ、誘電体窓214とシャワーヘッドプレート164との間に配置されている。シャワーヘッドプレート164は、混合チャンバ162の下方、かつ、混合チャンバ162とギャップ128とのの間に配置されている。混合チャンバ162は、アクティブシャワーヘッド260の壁170、誘電体窓214、および、シャワーヘッドプレート164によって囲まれている。
変調RF信号が、RFコイル212およびチャック130へ供給されている間、アクチュエータ制御部126は、チャネルC1〜C6からアクチュエータ/移送構成要素AT1〜AT6へ移送された1または複数の処理ガスが、アクチュエータ/移送構成要素AT1〜AT6から対応する回顧部O7〜O12へさらに移送されるように、アクチュエータ/移送構成要素AT1〜AT6を制御する。例えば、チャネルC1からアクチュエータ/移送構成要素AT1へ移送された1または複数の処理ガスは、アクチュエータ/移送構成要素AT1から開口部O7へさらに移送される。同様に、チャネルC2からアクチュエータ/移送構成要素AT2へ移送された1または複数の処理ガスは、アクチュエータ/移送構成要素AT2から開口部O8へさらに移送され、チャネルC3からアクチュエータ/移送構成要素AT3へ移送された1または複数の処理ガスは、アクチュエータ/移送構成要素AT3から開口部O9へさらに移送され、チャネルC4からアクチュエータ/移送構成要素AT4へ移送された1または複数の処理ガスは、アクチュエータ/移送構成要素AT4から開口部O10へさらに移送され、チャネルC5からアクチュエータ/移送構成要素AT5へ移送された1または複数の処理ガスは、アクチュエータ/移送構成要素AT5から開口部O11へさらに移送され、チャネルC6からアクチュエータ/移送構成要素AT6へ移送された1または複数の処理ガスは、アクチュエータ/移送構成要素AT6から開口部O12へさらに移送される。
1または複数の処理ガスは、対応するアクチュエータ/移送構成要素AT1〜AT6および誘電体窓214の開口部O7〜O12から混合チャンバ162へさらに移送されて、互いに混合される。1または複数の処理ガスの混合物は、シャワーヘッドプレート164を通して垂直に伸びる複数の開口部を介して、混合チャンバ162からギャップ128内へ移送される。
図3は、プラズマリアクタ300のアクティブシャワーヘッド302への1または複数の処理ガスの供給を説明するための図である。アクティブシャワーヘッド302は、基板支持層120および基板層122を備える。基板層122は、基板支持層120に取り付けられている。絶縁体コーティング154は、任意選択的であり、基板層122に提供される。1または複数の処理ガスを移送するためのガスラインが、コネクタ(例えば、金属コネクタ、セラミックコネクタなど)で、または、別のメカニズム(例えば、溶接)で、基板支持層120の上面に接続されている。
1または複数の処理ガスは、ガスラインを介して、さらに、基板支持層120と基板層122の内の1または複数の層との中に形成されたガスチャネルを介して、アクチュエータ/移送構成要素AT1〜AT6の開口部O1〜O6へ移送される(図1A、図1B、図1C、図2A、および、図2B)。
図4は、アクティブシャワーヘッド400の一実施形態を示す図である。アクティブシャワーヘッド400は、図1Aのアクティブシャワーヘッド112、図1Bのアクティブシャワーヘッド153、図1Cのアクティブシャワーヘッド168、図2Aのアクティブシャワーヘッド220、および、図2Bのアクティブシャワーヘッド260の一例である。
アクティブシャワーヘッド400は、基板支持層120および基板層402を備える。基板層402は、図1A、図1B、図1C、図2A、および、図2Bの基板層122の一例である。基板層402は、アクチュエータ層403と、ダイヤフラム層404と、バルブシート層406と、ガス分配層408と、を備える。基板支持層120は、金属(例えば、アルミニウム、アルミニウム合金など)で形成される。アクチュエータ層403、ダイヤフラム層404、バルブシート層406、および、ガス分配層408の各々は、基板(例えば、半導体ウエハ、シリコンウエハなど)で形成される。
アクチュエータ層403は、支持基板層120およびアクチュエータ層403に取り付けられ、支持基板層120とダイヤフラム層404との間に位置する。さらに、ダイヤフラム層404は、アクチュエータ層403およびバルブシート層406に取り付けられ、アクチュエータ層403とバルブシート層406との間に位置する。また、バルブシート層406は、ダイヤフラム層404の下方かつガス分配層408の上方に位置する。
基板支持層120の底面410は、アクチュエータ層403の最上層412に取り付けられている(例えば、クランプされている、など)。さらに、アクチュエータ層403の底面414は、ダイヤフラム層404の上面416に取り付けられている(例えば、クランプ、締結、結合、接着剤を用いて結合、低温下で結合、などされている)。また、ダイヤフラム層404の底面418は、バルブシート層406の上面420に取り付けられている(例えば、結合、接着剤を用いて結合、締結、高温下で結合、などされている)。バルブシート層406の底面422は、ガス分配層408の上面424に取り付けられている(例えば、結合、接着剤を用いて結合、締結、高温下で結合、などされている)。
アクチュエータ427(例えば、線形アクチュエータ、圧電アクチュエータ、線形圧電アクチュエータ、モータ駆動アクチュエータ、圧電材料の単層、圧電材料の複数の層、など)が、アクチュエータ層403の一部になるように底面414に取り付けられている(例えば、結合、クランプ、などされている)。例えば、圧電材料の複数の層は、アクチュエータ427を形成するように、互いに取り付けられる。アクチュエータ427は、ダイヤフラム層404の部分Bに対向するように、ダイヤフラム層404の部分Bと垂直に整列される。複数のひずみセンサ426および428が、例えば接着剤などを用いて、ダイヤフラム層404の上面430に取り付けられている。ひずみセンサの例は、金属ホイル、または、絶縁体上に支持されて取り付けられた金属ホイル、を含む。この例において、絶縁体は、上面430に取り付けられている。上面430は、ダイヤフラム層404の上面416よりも低い高さを有することに注意されたい。
ひずみセンサ426は、ダイヤフラム層404の底面418の部分Aのひずみを測定する。さらに、ひずみセンサ428は、ダイヤフラム層404の底面418の部分Cのひずみを測定する。底面418は、部分AおよびCの間に位置する部分Bを有する。部分Bは、バルブシート層406のバルブシートと垂直に整列されている。いくつかの実施形態において、ダイヤフラム層404は、ひずみセンサ426またはひずみセンサ428もしくはひずみセンサ426および428の両方を有していない。
バルブシート層406は、フィルタ432(任意選択的である)が中にはめ込まれた移送チャネル436(例えば、オリフィス)を有する。移送チャネル436は、バルブシート層406の垂直層435Aによって形成されている。移送チャネル436は、垂直層435Aによって囲まれている。移送チャネル436は、ダイヤフラム層404の部分Bと、ガス分配層408の移送チャネル437との間に形成されている。バルブシート層406は、さらに、ガス通路434を備えており、ガス通路434は、バルブシート層406内に形成された空間である。例えば、ガス通路434は、バルブシート層422の垂直層431によって部分的に囲まれ、形成されている。ガス通路434は、バルブシート層406の部分Bとバルブシート層406の部分Cとの間に形成されている。ガス通路434は、ダイヤフラム層404の部分Cと、ガス分配層408内に形成されたガス受け入れチャンバ442との間に形成されている。バルブシート層406内に形成された移送チャネル436は、バルブシート層406の部分Aおよびバルブシート層406の部分Bによって部分的に囲まれている。
バルブシート層406内に配置されたフィルタ432は、バルブシート層406によって生成された粒子をフィルタ除去する。例えば、フィルタ432は、フィルタ432を通して流れる1または複数の処理ガス内の不純物を除去する多孔質膜(例えば、金属膜など)である。別の例として、フィルタ432は、多孔質膜(例えば、金属膜)の1または複数の層を備える。不純物は、バルブシート層406によって生成された粒子を含む。いくつかの実施形態において、バルブシート層406は、フィルタ432を備えない。
ガス分配層408の移送チャネル437はオリフィスであり、バルブシート層406の移送チャネル436と垂直に整列されている。移送チャネル437は、ガス分配層408の部分Aとガス分配層408の部分Bとの間に形成された空間である。移送チャネル437は、ガス分配層408の部分AおよびBの垂直層439Aによって形成され、部分的に囲まれている。
ガス分配層408は、ガス分配層408の縁部に通路チャネル438を備える。通路チャネル438は、ガス分配層424の上面424よりも低い高さになるようにガス分配層408の上面440を加工することによって形成される。通路チャネル438は、ガス分配層408の部分B内にエッチングされたガス受け入れチャンバ442内へ1または複数の処理ガスが通過することを可能にする。ガス受け入れチャンバ442は、ガス分配層408の部分B内に形成された空間である。例えば、ガス受け入れチャンバ422は、ガス分配層408内にエッチングされ、ガス分配層408の垂直層433Aおよびガス分配層408の水平層433Bによって部分的に囲まれている。ガス受け入れチャンバ422は、垂直層433A、水平層433B、ガス通路434、および、バルブシート層422の部分Cの底面422の間に形成されている。
アクチュエータ427は、マイクロアクチュエータ回路に接続され、その回路については、後に詳述する。さらに、ひずみセンサ426および428の各々は、ひずみセンサ426および428の各々におけるひずみを測定するための測定デバイスに接続される。測定デバイスの例は、ひずみセンサ426および428の各々におけるひずみによって生成される電圧の量を測定する電圧計、または、ひずみセンサ426および428の各々におけるひずみによって生成される電流の量を測定する電流計を含む。電圧量または電流量は、ひずみセンサ426および428の各々におけるひずみの量に対応する(例えば、一対一の関係を有する、対応関係を有する、など)。電圧量または電流量と、ひずみとの間の対応関係は、メモリデバイス(例えば、ランダムアクセスメモリ(RAM)、リードオンリーメモリ(ROM)、揮発性メモリ、不揮発性メモリなど)に格納される。メモリデバイスの例は、フラッシュメモリ、ハードディスクなどを含む。測定デバイスおよびメモリデバイスに接続されたホストコンピュータシステムのプロセッサが、電流量または電圧量からひずみを決定する。本明細書で用いられているように、プロセッサは、特定用途向け集積回路(ASIC)、プログラム可能論理デバイス(PLD)、マイクロプロセッサ、マイクロコントローラ、または、中央処理装置(CPU)であり、これらの用語は、本明細書では交換可能に用いられる。
いくつかの実施形態において、ひずみセンサ426および428に向かって光を放射する光源と、ひずみセンサ426および428から反射した光を検出する光検出器と、を有する光学測定デバイスが、測定デバイスとして用いられる。光検出器は、ひずみセンサ426および428から反射した光の量を示す電気信号を生成し、これは、ひずみセンサ426および428の各々のひずみを決定するために、ホストコンピュータシステムのプロセッサによって用いられる。
いくつかの実施形態において、通路チャネル438、ガス受け入れチャンバ442、ガス通路434、アクチュエータ427、ダイヤフラム層404の部分A、B、および、C、移送チャネル436、ならびに、移送チャネル437は、アクチュエータ/移送構成要素AT1、アクチュエータ/移送構成要素AT2、アクチュエータ/移送構成要素AT3、アクチュエータ/移送構成要素AT4、アクチュエータ/移送構成要素AT5、または、アクチュエータ/移送構成要素AT6(図1A、図1B、図1C、図2A、および、図2B)の一部であることに注意されたい。
同様に、様々な実施形態において、通路チャネル438、ガス受け入れチャンバ442、ガス通路434、アクチュエータ427、センサ426および428、ダイヤフラム層404の部分A、B、および、C、移送チャネル436、ならびに、移送チャネル437は、アクチュエータ/移送構成要素AT1、アクチュエータ/移送構成要素AT2、アクチュエータ/移送構成要素AT3、アクチュエータ/移送構成要素AT4、アクチュエータ/移送構成要素AT5、または、アクチュエータ/移送構成要素AT6(図1A、図1B、図1C、図2A、および、図2B)の一部であることに注意されたい。
さらに、様々な実施形態において、アクチュエータ427、ダイヤフラム層404の部分A、B、および、C、バルブシート、ならびに、移送チャネル436は、本明細書ではアクチュエータバルブと呼ぶこともあることに注意されたい。バルブシートは、いくつかの実施形態において、ダイヤフラム層404の部分Bが載るバルブシート層406の部分Bの上面420およびバルブシート層406の部分Aの上面420の一部である。
様々な実施形態において、ひずみセンサ426および428の代わりにまたはそれらに加えて、他のタイプのセンサ(例えば、流量センサ、温度センサ、ガス質量センサ、流体密度センサ、流体混合比センサなど)が、ひずみセンサ426および428が組み込まれるのと同様の方法(例えば、同じ方法など)で、ダイヤフラム層404に組み込まれる。
図5Aは、支持基板層120、アクチュエータ層403、ダイヤフラム層404、バルブシート層406、および、ガス分配層408が互いに取り付けられたアクティブシャワーヘッド400の一実施形態を示す図であり、アクチュエータ427は収縮位置にある。アクチュエータ制御部126は、通常開位置(NP)である収縮位置になるように、アクチュエータ427を制御する。例えば、アクチュエータ制御部126がアクチュエータ427を作動させるための信号を生成しない時、アクチュエータ427は、収縮位置にある。1または複数の処理ガスが、通路チャネル438およびガス受け入れチャンバ442を介して、ガス通路434へ流れる。通路チャネル438は、チャネルC1、C2、C3、C4、C5、または、C6(図1A、図1B、図1C、図2A、および、図2B)の一部である。
アクチュエータ427が収縮位置にある時、ガス通路434内の1または複数の処理ガスは、ダイヤフラム層404の部分Cを上方向に(例えば、アクチュエータ層403に向かって)押す力を生み出す。上方向に押すことで、ダイヤフラム層404の部分AおよびBも上方向に押される。この押しは、ダイヤフラム層404の部分A、B、および、Cの各々が、ダイヤフラム(例えば、柔軟な膜)としてどのように作用するかを示す。ダイヤフラム層404の部分Bが押し上げられると、ギャップ501が、ダイヤフラム層404の底面418とバルブシート層406の上面420との間に形成されることで、ガス通路434から移送チャネル436および437を介して移送チャネル437の出力側にある開口部502へ1または複数の処理ガスが通過することが可能になる。いくつかの実施形態において、移送チャネル437は、本明細書では、排気オリフィスと呼ばれる。
移送チャネル436内の1または複数の処理ガス中の不純物は、フィルタ432によってフィルタリングされ、1または複数の処理ガス(フィルタリング済み)が、移送チャネル437へ流れ込み、移送チャネル437は、開口部O1、O2、O3、O4、O5、O6、O7、O8、O9、O10、O11、または、O12(図1A、図1B、図1C、図2A、および、図2B)の一部である開口部502を有する。例えば、開口部502は、実際/移送構成要素AT1、AT2、AT3、AT4、AT5、または、AT6の開口部であり、プラズマリアクタ102、152、166、202、または、254(図1A、図1B、図1C、図2A、および、図2B)のいずれかのギャップ128へ向かう。
図5Bは、1または複数の処理ガスが開口部502に至るようにバルブシート層406およびガス分配層437を通して流れない様子を説明するために、アクティブシャワーヘッド400の一実施形態を示す図である。アクチュエータ制御部126は、アクチュエータ層403の底面414に対して伸長位置になるように作動するよう、アクチュエータ427を制御する。例えば、アクチュエータ制御部126は、アクチュエータ427が収縮位置から伸長位置になるように、アクチュエータ427に信号を送信する。伸長位置は、底面414に対して伸長し、図5Aに示したアクチュエータ427の収縮位置に比べてさらに下がった位置であり、収縮位置も底面414よりも下の位置である。
伸長位置にあるアクチュエータ427は、1または複数の処理ガスが通過するための上面420とダイヤフラム層404の部分Bとの間のギャップがなくなるように、ダイヤフラム層404を圧迫して、バルブシート層406の上面420に向かってダイヤフラム層404の部分Bを押しつける。1または複数の処理ガスが通路チャネル438を介してガス受け入れチャンバ442内に受け入れられると、1または複数の処理ガスは、ガス受け入れチャンバ442からガス通路434へ流れる。しかしながら、1または複数の処理ガスは、バルブシート層406の上面420とダイヤフラム層404の部分Bとの間のギャップがないため、ガス通路434から移送チャネル436へ、さらに、移送チャネル437を介して開口部502へ流れることはできない。ギャップ501がない時にダイヤフラム層404の部分Bが載っているバルブシート層406の上面420の一部は、本明細書では、バルブシート層422のバルブシートとも呼ばれることに注意されたい。1または複数の処理ガスの力は、バルブシート層406の上面420とダイヤフラム層404の部分Bとの間にギャップを生成するように上方向にダイヤフラム層404の部分Cを押し上げるほどではない。
いくつかの実施形態において、チャネルC1は、開口部502でのコンダクタンスよりも大きいコンダクタンスを有する。開口部502でのコンダクタンスは、移送チャネル437のコンダクタンスと同じである。
図6Aは、伸長位置である通常閉(NC)位置にあるアクチュエータ427を説明するためにアクティブシャワーヘッド400の一実施形態を示す図である。アクチュエータ制御部126は、信号を生成してアクチュエータ427に提供することをしない。アクチュエータ427は、信号がアクチュエータ制御部126から受信されていない時、アクチュエータ層403の底面414に対して伸長位置にある。アクチュエータ427が伸長位置にある時、ダイヤフラム層404の部分Bは、バルブシート層402の上面420に突き当たることで、ダイヤフラム層404の部分Bと上面420との間のギャップを塞ぐので、バルブシート層406のガス通路434からバルブシート層406の移送チャネル436へ1または複数の処理ガスが流れることはない。
図6Bは、開位置にあるアクチュエータ427を説明するためにアクティブシャワーヘッド400の一実施形態を示す図である。アクチュエータ制御部126は、図6Aの伸長位置と比較して底面418に対して引っ込むように、アクチュエータ427を制御する。例えば、アクチュエータ制御部126は、アクチュエータ427が、アクチュエータ層403の底面414に対して引っ込み、ダイヤフラム層414から離れるように、アクチュエータ427に信号を送信する。収縮位置にあるアクチュエータ427は、図6Aの伸長位置にあるアクチュエータ427と比較してダイヤフラム層414からさらに離れる。アクチュエータ427は、図6Aの伸長位置にある時、アクチュエータ427が収縮位置にある時よりもダイヤフラム層414の近くにある。
アクチュエータ427が収縮位置にある時、ダイヤフラム層404の位置Bとバルブシート層406の上面420との間のギャップ501が形成されることで、ガス通路434からギャップ501を介して移送チャネル436へ、さらに、移送チャネル437を介して開口部502へ、1または複数の処理ガスが通過することが可能になる。
いくつかの実施形態において、ひずみを測定するセンサ426および428の代わりにまたはそれらに加えて、他のパラメータ(例えば、本明細書に記載のアクティブシャワーヘッド内の温度、アクティブシャワーヘッド内に流れる1または複数の処理ガスのガス流量、アクティブシャワーヘッド内に流れる1または複数の処理ガスの質量、など)の1または複数のセンサが、アクティブシャワーヘッドの基板層の内の1または複数の層内に配置される。
図7は、ダイヤフラム層702の一部であるアクチュエータ702(例えば、圧電アクチュエータ、圧電単層、せん断応力アクチュエータなど)を説明するためにアクティブシャワーヘッド700の一実施形態を示す図である。アクティブシャワーヘッド700は、基板支持層120と、ダイヤフラム層702と、バルブシート層406と、ガス分配層408と、を備える。ダイヤフラム層702は、基板(例えば、半導体ウエハ、シリコンウエハなど)で形成される。
アクティブシャワーヘッド700は、基板支持層120とダイヤフラム層704との間のアクチュエータ層403(図4)を備えない。基板支持層120の底面410は、ダイヤフラム層704の上面416に取り付けられている(例えば、結合、クランプ、接着剤を用いて接着、などされている)。
ダイヤフラム層702は、ダイヤフラム層702がアクチュエータ702を備えることを除けば、ダイヤフラム層404(図5A、図5B、図6A、および、図6B)と同じである。アクチュエータ702の例は、ダイヤフラム層702よりも薄い膜(例えば、金属膜、圧電単層など)を含む。アクチュエータ702は、ダイヤフラム層702の上面430に取り付けられている(例えば、結合、接着剤を用いて接着、などされている)。いくつかの実施形態において、アクチュエータ702は、ダイヤフラム層704のA部分の少なくとも一部、ダイヤフラム層704のB部分、および、ダイヤフラム層704のC部分の少なくとも一部を覆っている。例えば、アクチュエータ702は、アクチュエータ427よりも広い直径を有する。
アクチュエータ702は、アクチュエータ制御部126によって制御される。アクチュエータ制御部126は、アクチュエータ702に信号を提供して、アクチュエータ702の曲率を変える(例えば、水平方向にアクチュエータ702を曲げる)。アクチュエータ702が閉位置になるように凸状に曲げられると、ダイヤフラム層704の部分Bがバルブシート層406の上面420に向かって押し進むことで、ダイヤフラム層704の部分Bとバルブシート層406の上面420との間のギャップ501が閉じられ、バルブシート層406のガス通路434から移送チャネル436へ1または複数の処理ガスが通過できなくなる。
さらに、アクチュエータ制御部126は、アクチュエータ702を曲げないようにアクチュエータ702の曲率を変化させるために、アクチュエータ702に信号を提供する。これは、アクチュエータ702の通常開位置である。例えば、アクチュエータ制御部126からアクチュエータ702へ信号が送信されない。アクチュエータ702は、信号を受信しない時、凸形状を形成するように水平方向に曲げられることがない。アクチュエータ702が曲げられない時、ギャップ501が、ダイヤフラム層702の部分Bとバルブシート層406の上面420との間に形成される。ギャップ501は、ガス通路434にある1または複数の処理ガスの力によって形成される。ギャップ501が形成されると、1または複数の処理ガスは、ガス通路434から移送チャネル436へ、さらに、移送チャネル437を介してガス分配層408の開口部502へ流れる。
いくつかの実施形態において、通路チャネル438、ガス受け入れチャンバ442、ガス通路434、アクチュエータ702、ダイヤフラム層704の部分A、B、および、C、移送チャネル436、ならびに、移送チャネル427は、アクチュエータ/移送構成要素AT1、アクチュエータ/移送構成要素AT2、アクチュエータ/移送構成要素AT3、アクチュエータ/移送構成要素AT4、アクチュエータ/移送構成要素AT5、または、アクチュエータ/移送構成要素AT6(図1A、図1B、図1C、図2A、および、図2B)の一部であることに注意されたい。
さらに、様々な実施形態において、アクチュエータ702、ダイヤフラム層704の部分A、B、および、C、バルブシート、ならびに、移送チャネル436は、本明細書ではアクチュエータバルブと呼ぶこともあることに注意されたい。
様々な実施形態において、本明細書に記載のアクチュエータは、アクティブシャワーヘッドから離れて配置され、適切な流体が、ギャップ501を作るまたは閉じるために、アクチュエータによって生み出された作動圧をダイヤフラム層404の部分Bへ伝える。
いくつかの実施形態において、センサ426および428は、センサ426および428がダイヤフラム層404の上面430に取り付けられるのと同様の方法で、ダイヤフラム層704の上面430に取り付けられる。例えば、センサ426はダイヤフラム層704の部分Aの一部に配置され、センサ428はダイヤフラム層704の部分Cの一部に配置される。
図8Aは、アクティブシャワーヘッド800によって形成されたゾーンAの配置を説明するためにアクティブシャワーヘッド800の一実施形態を示す上面図である。ゾーンAは、アクティブシャワーヘッド800の外周に沿って四隅に配置される。アクティブシャワーヘッド400(図4、図5A、図5B、図6A、および、図6B)またはアクティブシャワーヘッド700(図7)は、アクティブシャワーヘッド800の例である。各ゾーンAの例は、開口部502(図5A、図5B、図6A、および、図6B)にある領域である。その領域は、アクチュエータ427(図5A、図5B、図6A、および、図6B)、ダイヤフラム層404の部分A、B、および、C、移送チャネル436、ならびに、移送チャネル437(図4、図5A、図5B、図5C、および、図5D)の動作の結果として、1または複数の処理ガスを受ける。各ゾーンAの別の例は、アクチュエータ427、ダイヤフラム層404の部分A、B、および、C、センサ426および428(図4)、移送チャネル436、ならびに、移送チャネル437の動作により、開口部502で1または複数の処理ガスを受ける領域である。各ゾーンAのさらに別の例は、アクチュエータ427、ダイヤフラム層404の部分A、B、および、C、センサ426および428、フィルタ432、移送チャネル436、ならびに、移送チャネル437の動作により、開口部502で1または複数の処理ガスを受けた領域である。各ゾーンAの別の例は、アクチュエータ702(図7)、ダイヤフラム層404の部分A、B、および、C、移送チャネル436、ならびに、移送チャネル437の動作により、開口部502で1または複数の処理ガスを受ける領域である。各ゾーンAの別の例は、アクチュエータ702、ダイヤフラム層404の部分A、B、および、C、センサ426および428(図4)、移送チャネル436、ならびに、移送チャネル437の動作により、開口部502で1または複数の処理ガスを受けた領域である。各ゾーンAのさらに別の例は、アクチュエータ702、ダイヤフラム層404の部分A、B、および、C、センサ426および428、フィルタ432、移送チャネル436、ならびに、移送チャネル437の動作により、開口部502で1または複数の処理ガスを受ける領域である。
一例として、ゾーンAの内の第1ゾーンAは、アクチュエータ層403(図5A、図5B、図6A、および、図6B)の第1アクチュエータ、ダイヤフラム層404の部分(例えば、部分A、B、および、C)の第1セット、バルブシート層406の第1移送チャネル(例えば、移送チャネル436)、ならびに、ガス分配層408(図4、図5A、図5B、図5C、および、図5D)の第1移送チャネル(例えば、移送チャネル437)の動作の結果として、1または複数の処理ガスを受ける出力O1(図1A、図1B、図1C、および、図2B)または出力O7(図2A)にある第1領域である。ゾーンAの内の第2ゾーンAは、アクチュエータ層403の第2アクチュエータ、ダイヤフラム層404の部分の第2セット、バルブシート層406の第2移送チャネル、ならびに、ガス分配層408(図4、図5A、図5B、図5C、および、図5D)の第2移送チャネルの動作の結果として、1または複数の処理ガスを受ける出力O2(図1A、図1B、図1C、および、図2B)または出力O8(図2A)にある第2領域である。アクチュエータ427(図4)は、アクチュエータ層426の第1および第2アクチュエータの各々の例である。さらに、ダイヤフラム層404の部分A、B、および、C(図4)は、部分の第1セットまたは部分のセットに含まれる部分の例である。また、移送チャネル436(図4)は、バルブシート層406の第1移送チャネルおよびバルブシート層406の第2移送チャネルの各々の例である。移送チャネル437(図4)は、ガス分配層408の第1移送チャネルおよびガス分配層408の第2移送チャネルの各々の例である。
一例として、ゾーンAの内の第1ゾーンAは、ダイヤフラム層704(図7A)の第1アクチュエータ、ダイヤフラム層704の部分の第1セット、バルブシート層406(図7)の第1移送チャネル、および、ガス分配層408(図7)の第1移送チャネルの動作の結果として、1または複数の処理ガスを受ける出力O1(図1A、図1B、図1C、および、図2B)または出力O7(図2A)にある第1領域である。ゾーンAの内の第2ゾーンAは、ダイヤフラム層704の第2アクチュエータ、ダイヤフラム層704の部分の第2セット、バルブシート層406(図7)の第2移送チャネル、および、ガス分配層408(図7)の第2移送チャネルの動作の結果として、1または複数の処理ガスを受ける出力O2(図1A、図1B、図1C、および、図2B)または出力O8(図2A)にある第2領域である。アクチュエータ702(図4)は、ダイヤフラム層704の第1および第2アクチュエータの各々の例である。
いくつかの実施形態において、ゾーンは、複数のアクチュエータ/移送構成要素(例えば、AT1およびAT2など)からの1または複数の処理ガスが、例えば、複数の開口部502で受けられるところに形成される。
様々な実施形態において、ゾーンは、単一のアクチュエータ/移送構成要素によって形成される。いくつかの実施形態において、ゾーンは、互いに隣接する複数のアクチュエータ/移送構成要素によって形成される。
図8Bは、ゾーンAがアクティブシャワーヘッド802の直径に沿って線形に並べられたアクティブシャワーヘッド802の一実施形態を示す図である。アクティブシャワーヘッド400(図4、図5A、図5B、図6A、および、図6B)またはアクティブシャワーヘッド700(図7)は、アクティブシャワーヘッド802の例である。
図8Cは、ゾーンAがアクティブシャワーヘッド804の外周に沿って三角形の頂点に形成されたアクティブシャワーヘッド804の一実施形態を示す図である。アクティブシャワーヘッド400(図4、図5A、図5B、図6A、および、図6B)またはアクティブシャワーヘッド700(図7)は、アクティブシャワーヘッド804の例である。
図8Dは、ゾーンAがアクティブシャワーヘッド806の外周に沿って配置されたアクティブシャワーヘッド806の一実施形態を示す図である。アクティブシャワーヘッド400(図4、図5A、図5B、図6A、および、図6B)またはアクティブシャワーヘッド700(図7)は、アクティブシャワーヘッド806の例である。
図8Eは、基板層122への1または複数の処理ガスの移送を説明するためにアクティブシャワーヘッド850の一実施形態を示す図である。基板層122は、複数のアクチュエータを備えており、各アクチュエータには、符号870が付されている。アクチュエータ427(図4)またはアクチュエータ702(図7)は、アクチュエータ870の例である。
アクティブシャワーヘッド850は、測定層852と、層854と、ガスリザーバ層856と、基板支持層120と、基板層122と、電極層858と、混合チャンバ162と、シャワーヘッドプレート164と、を備える。測定層852の一例は、熱プレート層116(図1Cおよび図2B)である。測定層852の別の例は、セラミックプレートである。層854の一例は、断熱層118(図1Cおよび図2B)である。層854の別の例は、1または複数の処理ガスを通すためのチャネルC1の一部を形成するようにエッチングされたウエハ基板である。層854のさらに別の例は、断熱層118と、1または複数の処理ガスを通すためのチャネルC1の一部を形成するようにエッチングされたウエハ基板との組みあわせである。この例において、ウエハ基板は、断熱層118とガスリザーバ層856との間に位置するように、断熱層118の底面に取り付けられている(例えば、結合、クランプ、などされている)。混合チャンバ162は、基板層122の下方に配置された断熱層860(例えば、酸化アルミニウム(Al)層、セラミック層など)によって側面を囲まれている。混合チャンバ162は、基板層122、断熱層860、および、シャワーヘッドプレート164によって囲まれている。また、別の断熱層(例えば、酸化イットリウム(Y)層など)が、断熱層862の下方に配置され、シャワーヘッドプレート164の側面を囲む。
電極層858の例は、電極124(図1C)またはRFコイル212(図2B)である。電極層858がRFコイル212である場合、誘電体窓214(図2B)は、電極層858および混合チャンバ162の下方に配置される。
測定層852は、1または複数の計測ツール(例えば、チャネルC1内の1または複数の処理ガスの圧力を測定するセンサ、チャネルC1内の1または複数の処理ガスの温度を測定するセンサ、チャネルC1内の1または複数の処理ガスの質量を測定するセンサ、チャネルC1内の1または複数の処理ガスの流れの流量を測定するセンサ、流量計など)を備える。ガスリザーバ層856は、半導体材料(例えば、シリコン、電子特性を変えるために不純物でドープできる材料など)で形成される。一例として、ガスリザーバ層856は、1または複数のガスリザーバ(例えば、ガスリザーバGR1)を備えるようにエッチングされたウエハである。いくつかの実施形態では、ウエハが、1または複数の処理ガスの貯留のための空間であるガスリザーバを形成するようにエッチングされる。いくつかの実施形態では、複数のガスリザーバ層が、ガスリザーバ層856に貯留されるよりも多量のガスを貯留するために用いられる。
層854は、測定層852の下方かつガスリザーバ層856の上方に配置される。基板支持層120は、ガスリザーバ層856の下方かつ基板層122の上方に配置される。コネクタ858(例えば、金属プレート、金属コネクタなど)が、ガスラインGL1をチャネルC1と接続するために用いられる。例えば、コネクタ858は、アクティブシャワーヘッド800の上面862に取り付けられている(例えば、ネジ留め、ボルト留め、などされている)。上面119(図1Cおよび図2B)は、上面862の一例である。
チャネルC1は、測定層852および層854を通してガスリザーバGR1内に伸びる。チャネルC1は、さらに、ガスリザーバGR1から基板支持層120の1または複数の層を介して通路チャネル438(図5A、図5B、図6A、図6B、図7)まで伸びる。
ガスリザーバ層856は、さらなるガスリザーバGR2およびGR3を備える。一例として、ガスリザーバGR2はガスチャネルC2の一部であり、ガスリザーバGR3はガスチャネルC3の一部である。チャネルC2はチャネルC3から隔離(例えば、分離)され、チャネルC1はチャネルC3から隔離され、チャネルC1はチャネルC2から隔離されている。1または複数の処理ガスが、チャネルC2(図1Cおよび図2B)から受け入れられ、アクチュエーション/移送構成要素AT2へのさらなる移送に向けてガスリザーバGR2に貯留される。同様に、1または複数の処理ガスが、チャネルC3(図1Cおよび図2B)から受け入れられ、アクチュエーション/移送構成要素AT3へのさらなる移送に向けてガスリザーバGR3に貯留される。ゾーンAは、混合チャンバ162内でアクチュエーション/移送構成要素AT1の下方に形成され、別のゾーンAは、混合チャンバ162内でアクチュエーション/移送構成要素AT2の下方に形成され、さらに別のゾーンAは、混合チャンバ162内でアクチュエーション/移送構成要素AT3の下方に形成される。ゾーンA内の1または複数の処理ガスは、シャワーヘッドプレート164の開口部を通してギャップ128に移送される前に、混合チャンバ162内で互いに混合される。
図9Aは、アクチュエータ制御部126(図1A)の一例であるアクチュエータ制御部901を説明するためにシステム900の一実施形態を示す図である。システム900は、アクチュエータ制御部901を備える。アクチュエータ制御部901は、光源902と、光分波器904と、マイクロアクチュエータ回路906A、906B、906C、および、906Dと、を備える。光源902の例は、複数の波長の光を生成する光発生器(例えば、発光ダイオード)を含む。いくつかの実施形態において、光分波器904は、各波長が、異なるファイバ光ケーブルを伝わって異なるフォトダイオードに到達するように、波長を区別して波長を分離するファイバ光ネットワークを備える。光分波器904は、さらに、光の異なる波長を区別してフィルタリングするためのフィルタを備える。さらに、光分波器904は、異なるファイバ光ケーブルに波長を切り替えることを可能にするために、切り替え技術(MEMSシステム、熱光学スイッチなど)を備える。
マイクロアクチュエータ回路906Aは、インダクタI1と、フォトダイオードPD1と、バッテリB1とを備えており、これらはすべて、互いに直列に接続されている。同様に、マイクロアクチュエータ906Bは、インダクタI2と、フォトダイオードPD2と、バッテリB2とを備えており、これらはすべて、互いに直列に接続されている。マイクロアクチュエータ回路906Cは、インダクタI3と、フォトダイオードPD3と、バッテリB3とを備えており、これらはすべて、互いに直列に接続されている。マイクロアクチュエータ回路906Dは、インダクタI4と、フォトダイオードPD4と、バッテリB4とを備えており、これらはすべて、互いに直列に接続されている。いくつかの実施形態では、マイクロアクチュエータ回路内のバッテリの代わりに、キャパシタが用いられる。様々な実施形態において、マイクロアクチュエータ回路は、バッテリを備えない。システム900は、さらに、複数のアクチュエータを備えており、各アクチュエータには、符号870が付されている。
光源902は、光分波器904の入力側の通信媒体(例えば、光ファイバなど)を介して光分波器904に向かう光を生成する。光分波器904(例えば、フィルタおよび切り替え技術)は、光を複数の光に分離(例えば、分波など)し、複数の光の各々は、異なる波長を有する。
波長の内の第1波長の光は、光分波器904の出力に接続された第1光ファイバを介してフォトダイオードPD1へ向けられる。同様に、波長の内の第2波長の光は、光分波器904の別の出力に接続された第2光ファイバを介してフォトダイオードPD2へ向けられる。さらに、波長の内の第3波長の光は、光分波器904のさらに別の出力に接続された第3光ファイバを介してフォトダイオードPD3へ向けられ、波長の内の第4波長の光は、光分波器904の別の出力に接続された第4光ファイバを介してフォトダイオードPD4へ向けられる。
第1波長の光は、フォトダイオードPD1によって検出されて電気信号を生成する。同様に、第2波長の光は、フォトダイオードPD2によって検出されて電気信号を生成し、第3波長の光は、フォトダイオードPD3によって検出されて電気信号を生成し、第4波長の光は、フォトダイオードPD4によって検出されて電気信号を生成する。
フォトダイオードPD1によって生成された電気信号は、インダクタI1を通過して、電気信号のインピーダンスを変化させ、変化したインピーダンスを持つ電気信号は電荷を生成し、電荷は、バッテリB1に蓄えられる。同様に、フォトダイオードPD2によって生成された電気信号は、インダクタI2を通過して、電気信号のインピーダンスを変化させ、変化したインピーダンスを持つ電気信号は電荷を生成し、電荷は、バッテリB2に蓄えられる。さらに、フォトダイオードPD3によって生成された電気信号は、インダクタI3を通過して、電気信号のインピーダンスを変化させ、変化したインピーダンスを持つ電気信号は電荷を生成し、電荷は、バッテリB3に蓄えられる。また、フォトダイオードPD4によって生成された電気信号は、インダクタI4を通過して、電気信号のインピーダンスを変化させ、変化したインピーダンスを持つ電気信号は電荷を生成し、電荷は、バッテリB4に蓄えられる。
マイクロアクチュエータ回路906A内の変化したインピーダンスを持つ電気信号は、アクチュエータ870を通る電磁場を生成する。電磁場がアクチュエータ870を通ると、アクチュエータ870は、伸長または収縮もしくは伸長および収縮の両方を行う。同様に、マイクロアクチュエータ回路906B内の変化したインピーダンスを持つ電気信号は、アクチュエータ870を通ることで、アクチュエータ870を伸長または収縮もしくは伸長および収縮させる電磁場を生成する。光源902が作動していない(例えば、オフである、非稼働である、故障中である、など)時、バッテリ内に蓄えられた電荷が、アクチュエータ870の動きを制御する電磁場を生成するために用いられる。
いくつかの実施形態において、4つのフォトダイオードの代わりに、任意の他の数のフォトダイオードが用いられ、フォトダイオードの数は、アクチュエータ870の数と一致する。
様々な実施形態において、マイクロアクチュエータ回路は、インダクタを全く備えず、マイクロアクチュエータ回路のフォトダイオードが、マイクロアクチュエータ回路のバッテリに接続される。
いくつかの実施形態において、マイクロアクチュエータ回路は、インダクタを全く備えず、フォトダイオードを全く備えない。これらの実施形態において、マイクロアクチュエータ回路は、バッテリを備え、バッテリのプラス端子は、電気信号を生成するためにバッテリのマイナス端子に接続される。
図9Bは、1または複数のアクチュエータ870を作動させるためのアクチュエータ制御部951を説明するためにシステム950の一実施形態を示す図である。アクチュエータ制御部951は、アクチュエータ制御部126(図1A)の別の例である。システム950は、アクチュエータ制御部951およびアクチュエータ870を備える。アクチュエータ制御部951は、電力コントローラ954と、マイクロアクチュエータ回路952A、952B、952C、および、952Dと、を備える。電力コントローラ954の例は、ドライバ(例えば、より多くのトランジスタの内の1つ)に接続されたプロセッサを含む。
マイクロアクチュエータ952Aは、インダクタI1と、RF電源RF1と、バッテリB1とを備えており、これらはすべて、互いに直列に接続されている。同様に、マイクロアクチュエータ952Bは、インダクタI2と、RF電源RF2と、バッテリB2とを備えており、これらはすべて、互いに直列に接続されている。また、マイクロアクチュエータ952Cは、インダクタI3と、RF電源RF3と、バッテリB3とを備えており、これらはすべて、互いに直列に接続されている。マイクロアクチュエータ952Dは、インダクタI4と、RF電源RF4と、バッテリB4と、を備えており、これらはすべて、互いに直列に接続されている。
電力コントローラ954のプロセッサは、電力コントローラ954のドライバに提供するためにコマンド信号を生成する。電力コントローラ954のドライバは、コマンド信号を受信すると、RF電源RF1〜RF4に提供するために駆動電流信号を生成する。駆動電流信号を受信すると、RF電源RF1〜RF4は、対応するRF信号を生成する。
RF電源RF1によって生成されたRF信号は、RF信号のインピーダンスを変えるために、インダクタI1を通過する。変化したインピーダンスを持つRF信号は、バッテリB1に蓄えられる電荷を生成し、RF信号は、図9Aを参照して上述したように、アクチュエータ870の伸長または収縮を制御する。同様に、RF電源RF2によって生成されたRF信号は、RF信号のインピーダンスを変えるために、インダクタI2を通過する。変化したインピーダンスを持つRF信号は、バッテリB2に蓄えられる電荷を生成格納されるし、RF信号は、図9Aを参照して上述したように、アクチュエータ870の伸長または収縮を制御する。
様々な実施形態において、マイクロアクチュエータ回路は、インダクタを全く備えず、マイクロアクチュエータ回路のRF電源が、マイクロアクチュエータ回路のバッテリに接続される。
いくつかの実施形態において、マイクロアクチュエータ回路は、インダクタを全く備えず、RF電源を全く備えない。これらの実施形態において、マイクロアクチュエータ回路は、バッテリを備え、バッテリのプラス端子は、電気信号を生成するためにバッテリのマイナス端子に接続される。
いくつかの実施形態において、マイクロアクチュエータ回路のインダクタは、ドライバ(例えば、1または複数のトランジスタ)を介してプロセッサ(例えば、ホストコンピュータシステムのプロセッサ)に接続されたモータに接続される。ホストコンピュータシステムのプロセッサは、本明細書に記載したRF発生器の動作周波数および動作電力をRF発生器に提供する。プロセッサは、命令信号を生成して、ドライバに提供する。命令信号を受信すると、ドライバは、電流信号を生成し、電流信号をモータに送信する。モータは、電流信号に応じて、例えば、インダクタのコアを動かすなどして、マイクロアクチュエータ回路のインダクタのインダクタンスを変化させるために回転する。インダクタンスの変更は、マイクロアクチュエータ回路のフォトダイオードまたはRF電源によって生成される電気信号のインピーダンスを変化させて、アクチュエータ870が収縮または伸長する量を制御する。
図10は、ガス波注入およびドーズ制御を説明するためにシステム1000の一実施形態を示す図である。システム1000は、ホストコンピュータシステム1002と、アクティブシャワーヘッド1003と、複数のドライバDR1、DR2,DR3、DR4、DR5、および、DR6と、を備える。アクティブシャワーヘッド112(図1A)、153(図1B)、168(図1C)、220(図2A)、260(図2B)、302(図3)、400(図4)、700(図7)、および、850(図8E)は、アクティブシャワーヘッド1003の例である。ドライバDR1〜DR6の各々の例は、1または複数のトランジスタを含む。さらに、システム1000は、複数の流量制御メカニズムFCM1、FCM2、FCM3、FCM4、FCM5、および、FCM6を備える。流量制御メカニズムの一例は、モータを含む。流量制御メカニズムの別の例は、電磁場を生成するための回路(例えば、電流発生器など)を含む。各ドライバは、対応する流量制御メカニズムに接続されている。例えば、ドライバDR1は、流量制御メカニズムFCM1に接続され、以下同様に続き、ドライバDR6は、流量制御メカニズムFCM6に接続される。
各流量制御メカニズムは、対応するインダクタI1、I2、I3、I4、I5、および、I6に接続されている。例えば、流量制御メカニズムFCM1は、接続メカニズム(例えば、1または複数のロッド、1または複数のギアと1または複数のロッドとの組みあわせ、など)を介して、インダクタI1に接続され、以下同様に続き、流量制御メカニズムFCM6は、接続メカニズムを介してインダクタI6に接続される。インダクタI5およびI6は、対応するマイクロアクチュエータ回路(例えば、マイクロアクチュエータ回路906A(図9A)と同じ構造を有するマイクロアクチュエータ回路、マイクロアクチュエータ回路952A(図9B)と同じ構造を有するマイクロアクチュエータ回路など)のインダクタであることに注意されたい。
ホストコンピュータシステム1002は、プロセッサ1004およびメモリデバイス1006を備える。プロセッサ1004は、メモリデバイス1006およびドライバDR1〜DR6に接続されている。
ガス波注入モードにおいて、プロセッサ1004は、電流信号を生成するために、作動命令をドライバDR3およびDR4に送信する。作動命令を受信すると、電流信号が、ドライバDR3およびDR4によって生成され、対応する流量制御メカニズムFCM3およびFCM4に供給される。流量制御メカニズムFCM3およびFCM4は、対応するアクチュエータ/移送構成要素AT3およびAT4の対応するギャップ501(図5A)を介しての1または複数の処理ガスの通過を可能にするために、対応するインダクタI3およびI4のインダクタンスを変更するよう動作する。マイクロアクチュエータ回路のインダクタのインダクタンスの変化が、マイクロアクチュエータ回路を通して流れる電流の量を変化させ、これが、その量の電流によって生成される電磁場の量を変化させることに注意されたい。電磁場の量の変化は、アクチュエータ/移送構成要素がギャップ501を開閉するために動く量を変化させる。作動命令の送信後、プロセッサ1004は、電流信号の生成を停止するために、ドライバDR3およびDR4に停止命令を送信する。電流信号は、ドライバDR3およびDR4によって対応する流量制御メカニズムFCM3およびFCM4へは供給されなくなる。流量制御メカニズムFCM3およびFCM4は、対応するアクチュエータ/移送構成要素AT3およびAT4の対応するギャップ501(図5A)を介しての1または複数の処理ガスの通過を遮断するために、対応するインダクタI3およびI4のインダクタンスを変更するよう動作する。
ドライバDR3およびDR4への停止命令の送信後、プロセッサは、電流信号を生成するために、ドライバDR2およびDR5に作動命令を送信する。停止命令を受信すると、電流信号が生成され、ドライバDR2およびDR5によって対応する流量制御メカニズムFCM2およびFCM5に供給される。流量制御メカニズムFCM2およびFCM5は、対応するアクチュエータ/移送構成要素AT2およびAT5の対応するギャップ501を通して1または複数の処理ガスが通過することを可能にするために、対応するインダクタI2およびI5のインダクタンスを変更するよう動作する。ドライバDR3およびDR4への作動命令の送信後、プロセッサは、電流信号の生成を停止するために、ドライバDR2およびDR5に停止命令を送信する。停止命令を受信すると、電流信号は、ドライバDR2およびDR5によって対応する流量制御メカニズムFCM2およびFCM5に供給されなくなる。流量制御メカニズムFCM2およびFCM5は、対応するアクチュエータ/移送構成要素AT2およびAT5の対応するギャップ501を介しての1または複数の処理ガスの通過を遮断するために、対応するインダクタI2およびI5のインダクタンスを変更するよう動作する。
さらに、ドライバDR2およびDR5への停止命令の送信後、プロセッサは、電流信号を生成するために、ドライバDR1およびDR6に作動命令を送信する。作動命令を受信すると、電流信号が生成され、ドライバDR1およびDR6によって対応する流量制御メカニズムFCM1およびFCM6に供給される。流量制御メカニズムFCM1およびFCM6は、対応するアクチュエータ/移送構成要素AT1およびAT6の対応するギャップ501を通して1または複数の処理ガスが通過することを可能にするために、対応するインダクタI1およびI6のインダクタンスを変更するよう動作する。ドライバDR1およびDR6への作動命令の送信後、プロセッサは、電流信号の生成を停止するために、ドライバDR1およびDR6に停止命令を送信する。停止命令を受信すると、電流信号は、ドライバDR1およびDR6によって対応する流量制御メカニズムFCM1およびFCM6に供給されなくなる。流量制御メカニズムFCM1およびFCM6は、対応するアクチュエータ/移送構成要素AT1およびAT6の対応するギャップ501を介しての1または複数の処理ガスの通過を遮断するために、対応するインダクタI1およびI6のインダクタンスを変更するよう動作する。ドライバDR1およびDR6への停止命令の送信後、プロセッサは、電流信号を生成するために、ドライバDR3およびDR4に作動命令を送信する。このように、1または複数の処理ガスが、アクティブシャワーヘッド1003の中央からアクティブシャワーヘッド1003の縁部まで繰り返し供給される。
様々な実施形態において、1または複数の処理ガスは、上述したのとは逆の方法で、アクティブシャワーヘッド1003の縁部からアクティブシャワーヘッド1003の中央まで供給される。例えば、プロセッサ1004は、電流信号を生成するために作動命令をドライバDR1およびDR6に送信し、その後に停止命令を送信する。ドライバDR1およびDR6への停止命令の送信後、プロセッサ1004は、電流信号を生成するためにドライバDR2およびDR5に作動命令を送信し、その後に停止命令を送信する。同様に、ドライバDR2およびDR5への停止命令の送信後、プロセッサ1004は、電流信号を生成するために、ドライバDR3およびDR4に作動命令を送信する。その後、プロセッサ1004は、停止命令をドライバDR3およびDR4へ送信する。次いで、プロセッサ1004は、ドライバDR1およびDR6へ作動命令を再び送信することによって、この作動および停止のサイクルを繰り返す。
様々な実施形態において、アクチュエータ/移送構成要素AT2およびAT5の対応するギャップ501を介した1または複数の処理ガスの通過を可能にするためにアクチュエータ/移送構成要素AT2およびAT4を作動させるアクティベート命令の送信と、アクチュエータ/移送構成要素AT3およびAT4の対応するギャップ501を介した1または複数の処理ガスの通過を遮断するためにアクチュエータ/移送構成要素AT3およびAT4を停止させる停止命令の送信との間には重複がある。例えば、アクチュエータ/移送構成要素AT2およびAT5を作動させる作動命令は、アクチュエータ/移送構成要素AT3およびAT4を停止させる停止命令を送信する前の所定の期間に送信される。
いくつかの実施形態において、1または複数の処理ガスは、アクチュエータ/移送構成要素AT1〜AT6からギャップ128(図1A)に向かって任意の順序で移送される。例えば、プロセッサ1004は、ランダムな順序または疑似ランダムな順序でアクチュエータ/移送構成要素AT1〜AT6を作動させるために、ランダムな順序または疑似ランダムな順序で電流信号を生成するようにドライバDR1〜DR6へ作動命令を送信する。別の例として、プロセッサ1004は、ランダムな順序または疑似ランダムな順序でアクチュエータ/移送構成要素AT1〜AT6を停止させるために、ランダムな順序または疑似ランダムな順序で電流信号の生成を停止するようにドライバDR1〜DR6へ停止命令を送信する。
ドーズ制御モードにおいて、アクチュエータ870の各々は、予め指定されたレベルまで開閉するように正確に制御される。例えば、アクチュエータ870の内の1または複数は、完全に開閉せずに、予め指定されたレベルを達成するように閉じられる。例えば、アクチュエータ427は、ダイヤフラム層404(図5A)の上面430(図4)からバルブシート層406(図5A)の上面420に向かって途中まで伸長される。別の例として、プロセッサ1004は、予め指定された量の電流を有する電流信号を生成するために、命令をドライバDR3およびDR4に送信する。電流信号が、ドライバDR3およびDR4によって生成され、対応する流量制御メカニズムFCM3およびFCM4へ供給される。流量制御メカニズムFCM3およびFCM4は、対応するアクチュエータ/移送構成要素AT3およびAT4の対応するアクチュエータ870の動きを制御することで、対応するギャップ501を介して所定の流量で1または複数の処理ガスが通過することを可能にするように、対応するアクチュエータ/移送構成要素AT3およびAT4のギャップ501の量を制御するために、対応するインダクタI3およびI4のインダクタンスを予め指定された電流量に基づいた予め指定されたレベルまで変化させるよう動作する。さらに、命令送信後の所定の期間の後、プロセッサ1004は、電流信号の生成を停止させるために、ドライバDR3およびDR4に別の命令を送信する。ドライバDR3およびDR4が電流信号を生成しない時、FCM3およびFCM4は、ギャップ501が完全に開くように、対応するインダクタI3およびI4のインダクタンスを変更するよう動作する。さらに別の例として、プロセッサ1004は、電流信号を生成するために、命令をドライバDR3およびDR4に送信する。電流信号が、ドライバDR3およびDR4によって生成され、対応する流量制御メカニズムFCM3およびFCM4へ供給される。FCM3およびFCM4は、対応するアクチュエータ/移送構成要素AT3およびAT4の対応するアクチュエータ870の動きを制御することで、対応するアクチュエータ/移送構成要素AT3およびAT4のギャップ501を通した1または複数の処理ガスの通過を遮断するようにギャップ501を閉じるために、インダクタI3およびI4のインダクタンスを変更するよう動作する。さらに、命令送信後の所定の期間の後、プロセッサ1004は、予め指定された量を有する電流信号への別の命令をドライバDR3およびDR4に送信する。ドライバDR3およびDR4が、予め指定された量を有する電流信号を生成すると、FCM3およびFCM4は、予め指定されたレベルだけアクチュエータ/移送構成要素AT3およびAT4のギャップ501を開くように、対応するアクチュエータ/移送構成要素AT3およびAT4の対応するアクチュエータ870の動きを制御するために、対応するインダクタI3およびI4のインダクタンスを変更するよう動作する。予め指定されたレベルでギャップ501を開くことで、1または複数の処理ガスが所定の流量でギャップ501を通過することが可能になる。アクチュエータ/移送構成要素AT3およびAT4のギャップ501のかかる開閉は、いくつかの実施形態において、ドーズ制御モードで複数回繰り返される。
いくつかの実施形態において、ドーズ制御モードは、1または複数の処理ガスの仮想定常流を達成するように操作され、その際、アクチュエータ/移送構成要素AT1〜AT6のギャップ501は、同一または様々なドーズにおいてアクチュエータ/移送構成要素AT1〜AT6の出力501で1または複数の処理ガスを供給して、アクチュエータ/移送構成要素AT1〜AT6のゾーンAにわたって1または複数の処理ガスの定常流を達成するように制御される。
いくつかの実施形態において、仮想定常流は、プラズマ処理(例えば、ウエハのエッチング、ウエハへの材料の蒸着、ウエハの洗浄など)での不均一性を低減するために達成される。様々な実施形態において、アクチュエータ/移送構成要素AT1〜AT6の出力501での1または複数の処理ガスの供給の制御は、処理結果を改善するために処理化学物質の分布の傾斜を達成するのに役立つ。
いくつかの実施形態において、図4を参照して上述した測定デバイスは、ホストコンピュータシステム1002のプロセッサ1004に接続されており、ホストコンピュータシステム1002は、対応するドライバDR1〜DR6および対応する流量バルブ制御メカニズムFCM1〜FCM6を介して、対応するインダクタI1〜I6に接続されている。プロセッサ1004は、ひずみに基づいて、アクチュエータ/移送構成要素AT1〜AT6のギャップ501を介して流れる1または複数の処理ガスについて達成されるべき対応する流量を決定する。プロセッサ1004は、達成されるべき対応する流量に基づいて、対応するドライバDR1〜DR6にコマンド信号を送信する。ドライバDR1〜DR6は、コマンド信号を受信すると、対応する量の電流を有する対応する電流信号を生成する。流量バルブ制御メカニズムFCM1〜FCM6は、対応する電流信号を受信すると、アクチュエータ/移送構成要素AT1〜AT6の対応するギャップ501を通る1または複数の処理ガスの対応する流量を達成するために、対応するインダクタI1〜I6のインダクタンスを変更して、さらに、アクチュエータ870を制御してギャップ501の量を変えるように動作する(例えば、モータが回転するか、または、回路が電流を生成する、など)。
様々な実施形態において、図8Eを参照して上述した1または複数の計測ツールは、プロセッサ1004に接続される。プロセッサ1004は、パラメータ(例えば、チャネルC1〜C6内の1または複数の処理ガスの圧力、1または複数の処理ガスの温度、1または複数の処理ガスのタイプなど)の内の1または複数に基づいて、アクチュエータ/移送構成要素AT1〜AT6のギャップ501を介して流れる1または複数の処理ガスについて達成されるべき対応する流量を決定する。プロセッサ1004は、達成されるべき対応する流量に基づいて、対応するドライバDR1〜DR6にコマンド信号を送信する。ドライバDR1〜DR6は、コマンド信号を受信すると、対応する量の電流を有する対応する電流信号を生成する。流量バルブ制御メカニズムFCM1〜FCM6は、対応する電流信号を受信すると、アクチュエータ/移送構成要素AT1〜AT6の対応するギャップ501を通る1または複数の処理ガスの対応する流量を達成するために、対応するインダクタI1〜I6のインダクタンスを変更して、さらに、アクチュエータ870を制御してギャップ501の量を変えるように動作する(例えば、モータが回転するか、または、回路が電流を生成する、など)。
実施形態の一部についてはMEMSバルブを用いて説明したが、いくつかの実施形態において、MEMSバルブではないその他のタイプのバルブが用いられることに注意されたい。
本明細書に記載の実施形態は、ハンドヘルドハードウェアユニット、マイクロプロセッサシステム、マイクロプロセッサベースまたはプログラム可能な家電、ミニコンピュータ、メインフレームコンピュータなど、様々なコンピュータシステム構成で実施されてもよい。実施形態は、ネットワークを通して接続された遠隔処理ハードウェアユニットによってタスクが実行される分散コンピューティング環境で実施されてもよい。
一部の実施形態において、本明細書に記載したコントローラは、システムの一部であり、システムは、上述の例の一部であってよい。かかるシステムは、1または複数の処理ツール、1または複数のチャンバ、処理のための1または複数のプラットフォーム、および/または、特定の処理構成要素(ウエハペデスタル、ガスフローシステムなど)など、半導体処理装置を備える。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化される。電子機器は、「コントローラ」と呼ばれてもよく、1または複数のシステムの様々な構成要素または副部品を制御しうる。コントローラは、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、RF発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/またはシステムと接続または結合されたロードロックの内外へのウエハ移動など、本明細書に開示の処理のいずれを制御するようプログラムされる。
概して、様々な実施形態において、コントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義される。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、ASICとして定義されるチップ、PLD、および/または、1または複数のマイクロプロセッサ、もしくは、プログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含む。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でコントローラに伝えられて、半導体ウエハに対するまたは半導体ウエハのための特定の処理を実行するためのもしくはシステムへのパラメータ、因子、変数などを定義する命令である。プログラム命令は、一部の実施形態において、ウエハの1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ダイの加工中に1または複数の処理工程を達成するために処理エンジニアによって定義されるレシピの一部である。
コントローラは、一部の実施形態において、コンピュータの一部であるか、または、コンピュータに接続されており、かかるコンピュータは、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、または、それらの組み合わせでシステムに結合されている。例えば、コントローラは、「クラウド」内にあるか、もしくは、ウエハ処理のリモートアクセスを可能にするファブホストコンピュータシステムの全部または一部である。コンピュータは、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、または、新たな処理を開始するために、システムへのリモートアクセスを可能にして、製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、もしくは、複数の製造動作からの傾向または性能指標を調べる。
一部の実施形態では、リモートコンピュータ(例えば、サーバ)が、ネットワーク(ローカルネットワークまたはインターネットを含む)を介してシステムに処理レシピを提供する。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備え、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。いくつかの例において、コントローラは、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理工程の各々のためのパラメータ、因子、および/または、変数を指定する。パラメータ、因子、および/または、変数は、実行される処理のタイプならびにコントローラがインターフェース接続するまたは制御するよう構成されたツールのタイプに固有であることを理解されたい。したがって、上述のように、コントローラは、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1または複数の別個のコントローラを備えることなどによって分散される。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置された(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1または複数の集積回路を含む。
限定はしないが、様々な実施形態において、方法が適用されるシステムの例は、プラズマエッチングチャンバまたはモジュール、蒸着チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層蒸着(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、プラズマ強化化学蒸着(PECVD)チャンバまたはモジュール、洗浄タイプチャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの加工および/または製造に関連するかまたは利用されうる任意のその他の半導体処理システムを含む。
一部の実施形態において、上述の動作は、いくつかのタイプのプラズマチャンバ、例えば、誘導結合プラズマ(ICP)リアクタ、トランス結合プラズマチャンバ、導体ツール、誘電体ツールを備えるプラズマチャンバ、電子サイクロトロン共鳴(ECR)リアクタを備えるプラズマチャンバなど、に適用されることにも注意されたい。例えば、1または複数のRF発生器が、ICPリアクタ内のインダクタに接続される。インダクタの形状の例は、ソレノイド、ドーム形コイル、平坦形コイルなどを含む。
上述のように、ツールによって実行される1または複数の処理工程に応じて、ホストコンピュータは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信する。
上述の実施形態を念頭に置いて、実施形態の一部は、コンピュータシステムに格納されたデータを含め、コンピュータによって実行される様々な動作を用いることを理解されたい。これらの動作は、物理量を物理的に扱う動作である。本実施形態の一部を形成する本明細書で説明した動作はいずれも、有用な機械動作である。
実施形態の一部は、さらに、これらの動作を実行するためのハードウェアユニットまたは装置に関する。装置は、専用コンピュータ向けに特別に構成される。専用コンピュータとして規定された場合、コンピュータは、特定の目的に含まれない他の処理、プログラム実行、または、ルーチンを実行しつつ、特定の目的のために動作することができる。
一部の実施形態において、動作は、コンピュータメモリ、キャッシュに格納されたまたはコンピュータネットワークを介して取得された1または複数のコンピュータプログラムによって選択的にアクティベートまたは構成されたコンピュータで処理されてもよい。データがコンピュータネットワークを介して取得されると、そのデータは、コンピュータネットワーク(例えば、コンピューティングリソースのクラウド)上の他のコンピュータによって処理されてもよい。
1または複数実施形態は、非一時的なコンピュータ読み取り可能媒体上にコンピュータ読み取り可能なコードとして製造されてもよい。非一時的なコンピュータ読み取り可能媒体は、データを格納する任意のデータ記憶ハードウェアユニット(例えば、メモリデバイスなど)であり、データは、その後、コンピュータシステムによって読み出される。非一時的なコンピュータ読み取り可能媒体の例としては、ハードドライブ、ネットワーク接続ストレージ(NAS)、ROM、RAM、コンパクトディスク−ROM(CD−ROM)、CD−レコーダブル(CD−R)、CD−リライタブル(CD−RW)、磁気テープ、および、その他の光学式および非光学式のデータ記憶ハードウェアユニットが挙げられる。一部の実施形態において、非一時的なコンピュータ読み取り可能媒体は、コンピュータ読み取り可能なコードが分散的に格納および実行されるように、ネットワーク接続されたコンピュータシステム上に分散されたコンピュータ読み取り可能なタンジブル媒体を含む。
上述の方法動作は、特定の順序で提示されているが、様々な実施形態において、その他のハウスキーピング処理が動作の合間に実行される、もしくは、方法動作が、若干異なる時間に実行される、様々な間隔で方法動作が起きることを許容するシステムに方法動作が分散される、または、上述したのと異なる順序で実行されるように調整されることを理解されたい。
さらに、一実施形態において、本開示に記載された様々な実施形態に記載された範囲を逸脱することなしに、本明細書に記載の任意の実施形態の1または複数の特徴が、任意の他の実施形態の1または複数の特徴と組み合わされることに注意されたい。
理解を深めるために、本実施形態について、ある程度詳しく説明したが、添付の特許請求の範囲内でいくらかの変更および変形を行ってもよいことは明らかである。したがって、本実施形態は、例示的なものであって、限定的なものではないとみなされ、実施形態は、本明細書に示した詳細に限定されない。

Claims (20)

  1. 半導体処理のためのシステムであって、
    アクチュエータ制御部と、
    ガスラインと、
    前記ガスラインおよび前記アクチュエータ制御部に接続されたプラズマリアクタと、
    を備え、
    前記プラズマリアクタは、
    チャックアセンブリと、
    前記チャックアセンブリの上方に配置されたアクティブシャワーヘッドと、
    を備え、
    前記アクティブシャワーヘッドは、
    複数の基板層であって、前記基板層は、前記アクチュエータ制御部に接続されたアクチュエータ/移送構成要素を備え、前記アクチュエータ/移送構成要素は、ガスチャネルを介して前記ガスラインに接続されている、複数の基板層と、
    前記基板層の下方に配置された電極層であって、前記電極層および前記アクチュエータ/移送構成要素は、前記チャックアセンブリと前記アクティブシャワーヘッドとの間のギャップにつながる開口部を共有する、電極層と、
    を備え、
    前記アクチュエータ制御部は、前記ガスラインおよび前記ガスチャネルから受け入れられた1または複数の処理ガスが前記開口部を通して前記ギャップ内に通過することを可能にするように、前記アクチュエータ/移送構成要素を制御するよう構成されている、システム。
  2. 請求項1に記載のシステムであって、前記ガスラインは、前記アクティブシャワーヘッドの上面に取り付けられており、ガスシリンダと前記アクティブシャワーヘッドとの間のガスボックスに接続されることなしに、前記ガスシリンダに接続されている、システム。
  3. 請求項1に記載のシステムであって、前記アクチュエータ/移送構成要素は、アクチュエータを備え、前記アクチュエータは、前記基板層の内の1つの中に配置され、前記アクチュエータ制御部に接続され、前記アクチュエータ制御部は、伸長または収縮するように前記アクチュエータを制御するよう構成されている、システム。
  4. 請求項1に記載のシステムであって、前記アクティブシャワーヘッドは、前記電極層の下方に絶縁体コーティングを備え、前記開口部は、前記絶縁体コーティングを通して伸びている、システム。
  5. 請求項1に記載のシステムであって、前記電極層は、容量電極プレート、または、少なくとも高周波(RF)コイルを備える、システム。
  6. 請求項1に記載のシステムであって、前記基板層は、
    前記アクティブシャワーヘッドの構成要素を上に支持するための支持基板層と、
    前記支持基板層の下方に配置されたアクチュエータ層であって、前記アクチュエータ層は、前記アクチュエータ制御部に接続されたアクチュエータを有する、アクチュエータ層と、
    前記アクチュエータ層の下方に配置されたダイヤフラム層であって、前記ダイヤフラム層の底面は、複数のダイヤフラムとして機能する、ダイヤフラム層と、
    前記ダイヤフラム層の下方に配置されたバルブシート層であって、前記バルブシート層は、移送チャネルおよびガス通路を備える、バルブシート層と、
    前記バルブシート層の下方に配置されたガス分配層であって、前記ガス分配層は、移送チャネル、ガス受け入れチャンバ、および、通路チャネルを備える、ガス分配層と、
    を備え、
    前記アクチュエータ制御部は、前記通路チャネルから受け入れられた前記1または複数の処理ガスが、前記ガス受け入れチャンバ、前記ガス通路、前記バルブシート層の前記移送チャネル、および、前記ガス分配層の前記移送チャネルを介して、前記ギャップ内に通過することを可能にするように、前記アクチュエータを制御するよう構成されている、システム。
  7. 請求項1に記載のシステムであって、前記基板層は、
    前記アクティブシャワーヘッドの構成要素を上に支持するための支持基板層と、
    前記支持基板層の下方に配置されたダイヤフラム層であって、前記ダイヤフラム層の底面は、複数のダイヤフラムとして機能し、前記ダイヤフラム層は、アクチュエータを備える、ダイヤフラム層と、
    前記ダイヤフラム層の下方に配置されたバルブシート層であって、前記バルブシート層は、移送チャネルおよびガス通路を備える、バルブシート層と、
    前記バルブシート層の下方に配置されたガス分配層であって、前記ガス分配層は、移送チャネル、ガス受け入れチャンバ、および、通路チャネルを備える、ガス分配層と、
    を備え、
    前記アクチュエータ制御部は、前記通路チャネルから受け入れられた前記1または複数の処理ガスが、前記ガス受け入れチャンバ、前記ガス通路、前記バルブシート層の前記移送チャネル、および、前記ガス分配層の前記移送チャネルを介して、前記ギャップ内に通過することを可能にするように、前記アクチュエータを制御するよう構成されている、システム。
  8. 請求項1に記載のシステムであって、前記アクチュエータ/移送構成要素は、前記1または複数の処理ガスを前記ギャップ内の第1ゾーンに移送するよう構成され、前記アクティブシャワーヘッドは、さらなるアクチュエータ/移送構成要素を備え、前記さらなるアクティブ/移送構成要素は、1または複数の処理ガスを前記ギャップ内の第2ゾーンに移送するよう構成されている、システム。
  9. 請求項1に記載のシステムであって、前記アクティブシャワーヘッドは、前記1または複数の処理ガスに関連するパラメータを測定するための測定ツールを備えるよう構成された測定層を備える、システム。
  10. 請求項1に記載のシステムであって、前記アクティブシャワーヘッドは、前記1または複数の処理ガスを貯留するためのガスリザーバ層を備える、システム。
  11. 請求項1に記載のシステムであって、前記アクチュエータ制御部は、
    光を発するよう構成された光源と、
    或る波長の光を提供するように前記光を分離するために前記光源に接続された光分波器と、
    前記波長の前記光を受け入れて電気信号を生成するために前記光分波器に接続されたマイクロアクチュエータ回路であって、前記アクチュエータ/移送構成要素は、前記マイクロアクチュエータ回路に接続されたアクチュエータを備え、前記アクチュエータは、前記電気信号によって生成された電磁場の影響下で伸縮するよう構成されている、マイクロアクチュエータ回路と、
    を備える、システム。
  12. 請求項11に記載のシステムであって、前記マイクロアクチュエータ回路は、
    前記波長の前記光を検出するよう構成されたフォトダイオードと、
    前記電気信号のインピーダンスを変えるためにフォトダイオードと直列に接続されたインダクタと、
    を備える、システム。
  13. 半導体処理のためのシステムであって、
    アクチュエータ制御部と、
    ガスラインと、
    前記ガスラインおよび前記アクチュエータ制御部に接続されたプラズマリアクタと、
    を備え、
    前記プラズマリアクタは、
    チャックアセンブリと、
    前記チャックアセンブリの上方のアクティブシャワーヘッドと、を備え、
    前記アクティブシャワーヘッドは、
    複数の基板層であって、前記基板層は、前記アクチュエータ制御部に接続されたアクチュエータ/移送構成要素を備え、前記アクチュエータ/移送構成要素は、ガスチャネルを介して前記ガスラインに接続されている、複数の基板層と、
    前記基板層の下方に配置された電極層と、
    前記電極層の下方に配置された混合チャンバと、
    前記混合チャンバの下方に配置され、複数の開口部を有するシャワーヘッドプレートであって、前記電極層および前記アクチュエータ/移送構成要素は、前記混合チャンバにつながる開口部を共有する、シャワーヘッドプレートと、
    を備え、
    前記アクチュエータ制御部は、ガス混合物を生成するために、前記ガスラインおよび前記ガスチャネルから受け入れられた1または複数の処理ガスが、前記電極層および前記アクチュエータ/移送構成要素によって共有された前記開口部を通して前記混合チャンバ内に移動することを可能にするように、前記アクチュエータ/移送構成要素を制御するよう構成され、前記ガス混合物は、前記シャワーヘッドプレートの前記複数の開口部を介して前記ギャップ内に流れるよう構成されている、システム。
  14. 請求項13に記載のシステムであって、前記ガスラインは、前記アクティブシャワーヘッドの上面に取り付けられており、ガスシリンダと前記アクティブシャワーヘッドとの間のガスボックスに接続されることなしに、前記ガスシリンダに接続されている、システム。
  15. 請求項13に記載のシステムであって、前記アクチュエータ/移送構成要素は、アクチュエータを備え、前記アクチュエータは、前記基板層の内の1つの中に配置され、前記アクチュエータ制御部に接続され、前記アクチュエータ制御部は、伸長または収縮するように前記アクチュエータを制御するよう構成されている、システム。
  16. 請求項13に記載のシステムであって、前記アクチュエータ/移送構成要素は、前記1または複数の処理ガスを前記混合チャンバ内のゾーンに移送するよう構成され、前記アクティブシャワーヘッドは、さらなるアクチュエータ/移送構成要素を備え、前記さらなるアクティブ/移送構成要素は、1または複数の処理ガスを前記混合チャンバ内の別のゾーンに移送するよう構成されている、システム。
  17. 半導体処理のためのアクティブシャワーヘッドであって、
    複数の基板層であって、前記基板層は、アクチュエータ/移送構成要素を備え、前記アクチュエータ/移送構成要素は、ガスチャネルを介してガスラインに接続されている、複数の基板層と、
    前記基板層の下方に配置された電極層であって、前記電極層および前記アクチュエータ/移送構成要素は、開口部を共有する、電極層と、
    を備え、
    前記アクチュエータ/移送構成要素は、前記ガスラインおよび前記ガスチャネルから受け入れられた1または複数の処理ガスが前記開口部内に移動することを可能にするよう構成されている、アクティブシャワーヘッド。
  18. 請求項17に記載のアクティブシャワーヘッドであって、前記基板層は、
    アクチュエータを有するアクチュエータ層と、
    前記アクチュエータ層の下方に配置されたダイヤフラム層であって、前記ダイヤフラム層の底面は、複数のダイヤフラムとして機能する、ダイヤフラム層と、
    前記ダイヤフラム層の下方に配置されたバルブシート層であって、前記バルブシート層は、移送チャネルおよびガス通路を備える、バルブシート層と、
    前記バルブシート層の下方に配置されたガス分配層であって、前記ガス分配層は、移送チャネル、ガス受け入れチャンバ、および、通路チャネルを備える、ガス分配層と、
    を備え、
    前記アクチュエータは、前記通路チャネルから受け入れられた前記1または複数の処理ガスが、前記ガス受け入れチャンバ、前記ガス通路、および、前記バルブシート層の前記移送チャネルを介して、前記ガス分配層の前記移送チャネルに通過することを可能にするように動くよう構成されている、アクティブシャワーヘッド。
  19. 請求項17に記載のアクティブシャワーヘッドであって、前記基板層は、
    底面を有するダイヤフラム層であって、前記ダイヤフラム層の底面は、複数のダイヤフラムであり、前記ダイヤフラム層は、アクチュエータを備える、ダイヤフラム層と、
    前記ダイヤフラム層の下方に配置されたバルブシート層であって、前記バルブシート層は、移送チャネルおよびガス通路を備える、バルブシート層と、
    前記バルブシート層の下方に配置されたガス分配層であって、前記ガス分配層は、移送チャネル、ガス受け入れチャンバ、および、通路チャネルを備える、ガス分配層と、
    を備え、
    前記アクチュエータは、前記通路チャネルから受け入れられた前記1または複数の処理ガスが、前記ガス受け入れチャンバ、前記ガス通路、および、前記バルブシート層の前記移送チャネルを介して、前記ガス分配層の前記移送チャネルに通過することを可能にするように動くよう構成されている、アクティブシャワーヘッド。
  20. 請求項17に記載のアクティブシャワーヘッドであって、上面を有し、前記ガスラインは、前記アクティブシャワーヘッドの前記上面に取り付けられており、ガスシリンダと前記アクティブシャワーヘッドとの間のガスボックスに接続されることなしに、前記ガスシリンダに接続されている、アクティブシャワーヘッド。
JP2019523871A 2016-11-09 2017-10-25 アクティブシャワーヘッド Active JP7113010B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/346,920 2016-11-09
US15/346,920 US10403476B2 (en) 2016-11-09 2016-11-09 Active showerhead
PCT/US2017/058313 WO2018089196A1 (en) 2016-11-09 2017-10-25 Active showerhead

Publications (3)

Publication Number Publication Date
JP2019536268A true JP2019536268A (ja) 2019-12-12
JP2019536268A5 JP2019536268A5 (ja) 2021-01-14
JP7113010B2 JP7113010B2 (ja) 2022-08-04

Family

ID=62064086

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019523871A Active JP7113010B2 (ja) 2016-11-09 2017-10-25 アクティブシャワーヘッド

Country Status (7)

Country Link
US (2) US10403476B2 (ja)
EP (1) EP3539150B1 (ja)
JP (1) JP7113010B2 (ja)
KR (2) KR102649016B1 (ja)
CN (1) CN109891565B (ja)
TW (1) TWI778989B (ja)
WO (1) WO2018089196A1 (ja)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9620376B2 (en) * 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
JP6880076B2 (ja) * 2016-06-03 2021-06-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板距離の監視
SG11201912567RA (en) 2017-06-27 2020-01-30 Canon Anelva Corp Plasma processing apparatus
KR102257134B1 (ko) * 2017-06-27 2021-05-26 캐논 아네르바 가부시키가이샤 플라스마 처리 장치
CN110800376B (zh) 2017-06-27 2022-04-01 佳能安内华股份有限公司 等离子体处理装置
KR20220031132A (ko) 2017-06-27 2022-03-11 캐논 아네르바 가부시키가이샤 플라스마 처리 장치
EP4391011A2 (en) 2017-09-26 2024-06-26 LAM Research Corporation Systems and methods for pulse width modulated dose control
JP7122102B2 (ja) * 2017-11-08 2022-08-19 東京エレクトロン株式会社 ガス供給システム及びガス供給方法
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
SG11202009122YA (en) 2018-06-26 2020-10-29 Canon Anelva Corp Plasma processing apparatus, plasma processing method, program, and memory medium
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
CN110148549A (zh) * 2019-06-19 2019-08-20 深圳市诚峰智造有限公司 等离子处理装置
US11158488B2 (en) * 2019-06-26 2021-10-26 Mks Instruments, Inc. High speed synchronization of plasma source/bias power delivery
CN114555997A (zh) * 2019-07-26 2022-05-27 朗姆研究公司 用于半导体处理设备的非弹性体、非聚合物、非金属膜阀
CN112951696B (zh) * 2019-12-10 2024-04-09 中微半导体设备(上海)股份有限公司 等离子体处理设备及其气体挡板结构、等离子体处理方法
JP2023507111A (ja) * 2019-12-17 2023-02-21 アプライド マテリアルズ インコーポレイテッド 高密度プラズマ化学気相堆積チャンバ
CN111081524B (zh) * 2019-12-31 2022-02-22 江苏鲁汶仪器有限公司 一种可旋转的法拉第清洗装置及等离子体处理系统
CN112437533A (zh) * 2020-12-07 2021-03-02 大连理工大学 一种提高等离子体均匀性的电源系统及方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05302684A (ja) * 1991-05-30 1993-11-16 Hitachi Ltd バルブ及びそれを用いた半導体製造装置
JPH0714822A (ja) * 1993-06-15 1995-01-17 Nec Corp 半導体装置の製造装置
JP2002129331A (ja) * 2000-10-24 2002-05-09 Sony Corp 成膜装置および処理装置
JP2003529926A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
JP2009289782A (ja) * 2008-05-27 2009-12-10 Toray Ind Inc プラズマcvd装置およびアモルファスシリコン薄膜の製造方法
JP2012503342A (ja) * 2008-09-22 2012-02-02 アプライド マテリアルズ インコーポレイテッド 高アスペクト比構造のエッチングに適したエッチングリアクタ

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
JPH11135296A (ja) * 1997-07-14 1999-05-21 Applied Materials Inc マルチモードアクセスを有する真空処理チャンバ
US6136725A (en) * 1998-04-14 2000-10-24 Cvd Systems, Inc. Method for chemical vapor deposition of a material on a substrate
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
EP1371751B1 (en) * 2001-02-09 2011-08-17 Tokyo Electron Limited Film forming device
WO2002071463A1 (en) * 2001-03-02 2002-09-12 Tokyo Electron Limited Shower head gas injection apparatus with secondary high pressure pulsed gas injection
KR100423953B1 (ko) * 2001-03-19 2004-03-24 디지웨이브 테크놀러지스 주식회사 화학기상증착장치
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
JP2005536042A (ja) 2002-08-08 2005-11-24 トリコン テクノロジーズ リミティド シャワーヘッドの改良
US20040040503A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US20040040502A1 (en) 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US20050103265A1 (en) 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
KR100628888B1 (ko) * 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
US7993489B2 (en) * 2005-03-31 2011-08-09 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US20080008686A1 (en) 2006-07-10 2008-01-10 The Brigham And Women's Hospital, Inc. Tetracycline repressor regulated oncolytic viruses
KR100845917B1 (ko) * 2006-09-27 2008-07-11 최대규 대면적 플라즈마 처리를 위한 유도 결합 플라즈마 반응기
US8043430B2 (en) * 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
JP5140321B2 (ja) 2007-05-31 2013-02-06 株式会社アルバック シャワーヘッド
CN101689450B (zh) * 2007-07-20 2012-07-18 应用材料公司 等离子体工艺设备中用于至射频驱动电极的气体传递的射频扼流器
WO2009042137A2 (en) * 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US8152954B2 (en) 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
CN101451237B (zh) * 2007-11-30 2012-02-08 中微半导体设备(上海)有限公司 具有多个等离子体反应区域的包括多个处理平台的等离子体反应室
US8876024B2 (en) 2008-01-10 2014-11-04 Applied Materials, Inc. Heated showerhead assembly
US20090236214A1 (en) * 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
KR101026551B1 (ko) * 2008-04-03 2011-04-01 한국기계연구원 압전구동 액적 디스펜싱 헤드
KR100998011B1 (ko) * 2008-05-22 2010-12-03 삼성엘이디 주식회사 화학기상 증착장치
WO2009154889A2 (en) 2008-06-20 2009-12-23 Applied Materials, Inc. Gas distribution showerhead skirt
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US20100037823A1 (en) 2008-08-18 2010-02-18 Applied Materials, Inc. Showerhead and shadow frame
KR101027952B1 (ko) * 2008-12-22 2011-04-12 주식회사 케이씨텍 샤워헤드 및 이를 구비하는 원자층 증착장치
KR101634714B1 (ko) 2009-05-13 2016-06-30 어플라이드 머티어리얼스, 인코포레이티드 양극산화처리된 샤워헤드
WO2011031521A2 (en) 2009-08-27 2011-03-17 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
TWI372081B (en) 2010-02-02 2012-09-11 Hermes Epitek Corp Showerhead
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8551248B2 (en) 2010-04-19 2013-10-08 Texas Instruments Incorporated Showerhead for CVD depositions
WO2011159690A2 (en) 2010-06-15 2011-12-22 Applied Materials, Inc. Multiple precursor showerhead with by-pass ports
US8721791B2 (en) 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
US8460466B2 (en) 2010-08-02 2013-06-11 Veeco Instruments Inc. Exhaust for CVD reactor
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US20120108072A1 (en) 2010-10-29 2012-05-03 Angelov Ivelin A Showerhead configurations for plasma reactors
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US20120315396A1 (en) 2011-06-13 2012-12-13 Intermolecular, Inc. Apparatus and method for combinatorial plasma distribution through a multi-zoned showerhead
JP5977069B2 (ja) * 2011-07-08 2016-08-24 日本電波工業株式会社 恒温槽付水晶発振器の温度制御回路
US20130026136A1 (en) * 2011-07-29 2013-01-31 Qualcomm Mems Technologies, Inc. Sputter-etch tool and liners
US20130273262A1 (en) * 2012-04-13 2013-10-17 Applied Materials, Inc. Static deposition profile modulation for linear plasma source
US8895452B2 (en) * 2012-05-31 2014-11-25 Lam Research Corporation Substrate support providing gap height and planarization adjustment in plasma processing chamber
US9896769B2 (en) * 2012-07-20 2018-02-20 Applied Materials, Inc. Inductively coupled plasma source with multiple dielectric windows and window-supporting structure
US9018022B2 (en) * 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
US9610591B2 (en) 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US9881788B2 (en) * 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
SG11201703129YA (en) 2014-10-17 2017-05-30 Lam Res Corp Gas supply delivery arrangement including a gas splitter for tunable gas flow control
US9920844B2 (en) * 2014-11-26 2018-03-20 Lam Research Corporation Valve manifold deadleg elimination via reentrant flow path

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05302684A (ja) * 1991-05-30 1993-11-16 Hitachi Ltd バルブ及びそれを用いた半導体製造装置
JPH0714822A (ja) * 1993-06-15 1995-01-17 Nec Corp 半導体装置の製造装置
JP2003529926A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
JP2002129331A (ja) * 2000-10-24 2002-05-09 Sony Corp 成膜装置および処理装置
JP2009289782A (ja) * 2008-05-27 2009-12-10 Toray Ind Inc プラズマcvd装置およびアモルファスシリコン薄膜の製造方法
JP2012503342A (ja) * 2008-09-22 2012-02-02 アプライド マテリアルズ インコーポレイテッド 高アスペクト比構造のエッチングに適したエッチングリアクタ

Also Published As

Publication number Publication date
US10403476B2 (en) 2019-09-03
TW202301420A (zh) 2023-01-01
EP3539150A1 (en) 2019-09-18
KR20220103191A (ko) 2022-07-21
KR20190069602A (ko) 2019-06-19
EP3539150A4 (en) 2020-07-08
TWI778989B (zh) 2022-10-01
EP3539150B1 (en) 2022-06-15
CN109891565A (zh) 2019-06-14
JP7113010B2 (ja) 2022-08-04
US20190371573A1 (en) 2019-12-05
US10804079B2 (en) 2020-10-13
KR102649016B1 (ko) 2024-03-18
CN109891565B (zh) 2024-04-26
US20180130640A1 (en) 2018-05-10
KR102419627B1 (ko) 2022-07-08
TW201830460A (zh) 2018-08-16
WO2018089196A1 (en) 2018-05-17

Similar Documents

Publication Publication Date Title
JP7113010B2 (ja) アクティブシャワーヘッド
JP6947510B2 (ja) プラズマ源のチャンバ部材、および、基板c−リングの平行移動のために半径方向外側に配置されたリフトピンを備えるペデスタル
US20190362940A1 (en) Control of on-wafer cd uniformity with movable edge ring and gas injection adjustment
US20150170943A1 (en) Semiconductor system assemblies and methods of operation
JP2020043079A (ja) 均一なプラズマ処理のためのノズル
TW201735235A (zh) 用以進行邊緣環特徵化之系統及方法
TW201445630A (zh) 多模式蝕刻腔室源組件
US11393663B2 (en) Methods and systems for focus ring thickness determinations and feedback control
US10777386B2 (en) Methods for controlling plasma glow discharge in a plasma chamber
KR102382273B1 (ko) Rf 전력 공급된 패러데이 차폐부를 가진 코일을 포함한 기판 프로세싱 시스템
KR20220018045A (ko) 광섬유를 통한 플라즈마 챔버들의 기판 지지부로 전력 및 데이터 송신
KR102662822B1 (ko) MEMS-기반 코리올리 (coriolis) 질량 유량 제어기
TWI843195B (zh) 主動式噴淋頭、電漿反應器及電漿系統
US20240210163A1 (en) In-situ wafer thickness and gap monitoring using through beam laser sensor
US11581206B2 (en) Capacitive sensor for chamber condition monitoring
KR20220065843A (ko) 예열된 샤워헤드를 포함하는 저온 플라즈마 강화된 화학적 기상 증착 (pecvd) 프로세스
TW201607379A (zh) 軟脈動
CN103187222A (zh) 喷淋头以及半导体处理设备
US10851458B2 (en) Connector for substrate support with embedded temperature sensors
TW202215621A (zh) 用於高效率傳熱的單塊式台座

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20201021

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201127

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20211125

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211130

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220222

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220526

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220628

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220725

R150 Certificate of patent or registration of utility model

Ref document number: 7113010

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150