TW201735235A - 用以進行邊緣環特徵化之系統及方法 - Google Patents

用以進行邊緣環特徵化之系統及方法 Download PDF

Info

Publication number
TW201735235A
TW201735235A TW106101332A TW106101332A TW201735235A TW 201735235 A TW201735235 A TW 201735235A TW 106101332 A TW106101332 A TW 106101332A TW 106101332 A TW106101332 A TW 106101332A TW 201735235 A TW201735235 A TW 201735235A
Authority
TW
Taiwan
Prior art keywords
edge ring
substrate
processing system
substrate processing
determining
Prior art date
Application number
TW106101332A
Other languages
English (en)
Other versions
TWI774652B (zh
Inventor
馬庫斯 穆塞爾曼
安祖 D 貝利三世
瓊 麥可卻斯尼
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201735235A publication Critical patent/TW201735235A/zh
Application granted granted Critical
Publication of TWI774652B publication Critical patent/TWI774652B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/3288Maintenance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

基板處理系統中的基板支撐件包括配置成支撐基板的內部分、圍繞內部分的邊緣環、與控制器。控制器進行使邊緣環升高以選擇性地導致邊緣環接合基板、及使內部分降低以選擇性地導致邊緣環接合基板之至少一者。控制器判定邊緣環何時接合基板,並基於邊緣環何時接合基板之判定計算基板處理系統之至少一特性。

Description

用以進行邊緣環特徵化之系統及方法
本揭露內容關於用以測量基板處理系統中的邊緣環的系統與方法。   [相關申請案的交互參照]
本專利申請案主張於2016年3月29日提出申請之美國臨時專利申請案第62/314,659號之權利。以上所參照之專利申請案的完整揭露內容以參考文獻合併於此。
在此提供的先前技術描述係為了大致呈現本揭露內容上下文之目的。此先前技術部分中所述之目前列名發明人之工作、及不可以其他方式認定為申請時之先前技術的實施態樣敘述皆不明示或暗示地承認其為針對本揭露內容之先前技術。
基板處理系統可用以蝕刻基板(如半導體晶圓)上之膜層。基板處理系統通常包括處理腔室、氣體分配裝置、與基板支撐件。在處理期間,將基板安排在基板支撐件上。可將不同的氣體混合物導至處理腔室中,且射頻(RF)電漿可用以活化化學反應。
基板支撐件可包括配置在基板支撐件之外部分(例如,在周邊的外側及/或與其相鄰)周圍的邊緣環。邊緣環可設置成將電漿限制於基板上方的體積、保護基板支撐件免於因電漿所致的侵蝕等。
基板處理系統中的基板支撐件包括配置成支撐基板的內部分、圍繞內部分的邊緣環、與控制器。控制器進行使邊緣環升高以選擇性地導致邊緣環接合基板、及使內部分降低以選擇性地導致邊緣環接合基板之至少一者。控制器判定邊緣環何時接合基板,並基於邊緣環何時接合基板之判定計算基板處理系統之至少一特性。
判定基板處理系統之特性的方法包括將測試基板配置在基板支撐件的內部分上。測試基板包括自測試基板邊緣向外延伸的接觸指。該方法更包括:進行升高圍繞內部分的邊緣環以導致邊緣環的內徑接合接觸指、及降低內部分以導致邊緣環之內徑接合接觸指之至少一者、判定邊緣環之內徑何時接合接觸指、與基於邊緣環之內徑何時接合接觸指的判定而判定基板處理系統之至少一特性。
依據實施方式、申請專利範圍、及圖式,本揭露內容之其他領域的可應用性將變得顯而易見。實施方式與具體範例僅意旨於說明之目的,並非意旨限制本揭露內容的範疇。
基板處理腔室中的基板支撐件可包括用以將電漿限制於基板上方體積的邊緣環,可保護基板支撐件免於因電漿所致的侵蝕等。例如,邊緣環可配置成控制基板與邊緣環附近及周圍之電漿鞘的特性,以達到期望的臨界尺寸均勻性(CDU)。邊緣環之不同表面因暴露於腔室內的電漿而隨時間經歷磨損,導致邊緣環尺寸的改變。因此,腔室內受處理之基板的CDU可能受到影響。
因此,期望週期性地測量受磨損影響的邊緣環尺寸,以判定是否更換或調整邊緣環(較佳地在不開啟基板處理腔室之情形下)。用以測量邊緣環尺寸之範例性方法包括使用雷射與光電二極體以測量配置在基板支撐件上之基板的傾斜、使用雷射位移感測器等。
依據本揭露內容之原理的邊緣環測量系統與方法實施可移動/可調節的邊緣環(及/或可調節的基板支撐件、卡盤、基座等)與測試或虛擬(dummy)晶圓,以測量邊緣環的尺寸。邊緣環可使用例如一或更多銷及相關的致動器(例如,三自由度或3DOF、平行的機械手臂)而為可移動的,用以獨立地升高及降低邊緣環之個別部分。測試晶圓包括配置在測試晶圓之邊緣周圍並自其向外延伸之一或更多接觸指。
測試晶圓之有效直徑(例如,由接觸指之外端點所定義之有效直徑)大於邊緣環的內徑。因此,接觸指接觸邊緣環的上表面。以此方式,升高與降低邊緣環相對應地升高與降低測試晶圓,並可獨立控制銷以達到相對於測試晶圓之邊緣環之期望的定向(例如,高度、傾斜等)。在包括可調節基板支撐件之範例中,可降低基板支撐件以使接觸指以類似的方式接觸邊緣環。在其中測試晶圓僅包括一接觸指之範例中,可定位測試晶圓(例如,旋轉地),使得接觸指與邊緣環之期望的部分對準。因此,升高邊緣環以接合接觸指將使晶圓基於該位置之邊緣環的特性而不同地傾斜。
基板處理腔室可包括定位以測量配置在基板支撐件上之晶圓的各種特性的測量元件,例如光測量裝置,像是光譜反射計(SR)、雷射光譜反射計(LSR)等。例如,可直接使SR定位在基板支撐件上以在晶圓處導引SR訊號朝下。光電二極體、電荷耦合裝置(CCD)、或其它感測裝置配置成感測從晶圓表面反射的SR訊號。反射的SR訊號之特性指示晶圓之各種特性。例如,反射的SR訊號可指示晶圓相對於基板支撐件(亦即,平行於基板支撐件之上表面)是否實質上平坦、傾斜等。因此,若使邊緣環升高,反射的SR訊號的角度將在邊緣環接合一或更多接觸指時即改變。
相反地,若使用邊緣環使晶圓自基板支撐件升高,則邊緣環中不均的磨損將使反射的SR訊號的角度與預期的角度不同(亦即,對應於實質平坦放置在基板支撐件上之晶圓的角度)。類似地,若使用邊緣環(例如,藉由僅致動一銷以使邊緣環傾斜)有意地使晶圓傾斜,則反射的SR訊號將仍指示晶圓之傾斜是否對應晶圓之預期的傾斜。
以此方式,將本文所述系統與方法配置成判定邊緣環何時接合接觸指,並進一步判定邊緣環之不同部分的磨損。例如,接觸指之個別幾何形狀(例如,接觸面輪廓)可決定邊緣環受測量的部分。若接觸指配置成接觸邊緣環之內徑,則接觸指與邊緣環之間的接觸可指示邊緣環之內徑上的磨損。相反地,若接觸指配置成接觸邊緣環之中間或外徑,則接觸指與邊緣環之間的接觸可指示邊緣環的中間或外徑上的磨損。雖本文所述為SR裝置,然本揭露內容之原理可使用配置成感測邊緣環何時接合測試晶圓及導致測試晶圓移動、傾斜等之任何的測量裝置執行。
現參照圖1,顯示用以蝕刻依據本揭露內容之基板之疊層(僅舉例而言,鎢(W)疊層)之基板處理腔室100的範例。雖顯示並描述特定的基板處理腔室,然本文所述方法可在其他類型的基板處理系統上實施。
基板處理腔室100包括下腔室區域102與上腔室區域104。下腔室區域102由腔室側壁表面108、腔室底面110、與氣體分配裝置114之下表面定義。
上部腔室區域104由氣體分配裝置114之上表面與圓頂118之內表面定義。在一些範例中,圓頂118設置在第一環形支撐件121上。在一些範例中,第一環形支撐件121包括用以輸送製程氣體至上腔室區域104之一或更多隔開的孔123(以下將進一步描述)。在一些範例中,處理氣體藉由一或更多隔開的孔123以相對於包括氣體分配裝置114之平面的銳角往上的方向輸送,但可使用其它角度/方向。在一些範例中,第一環形支撐件121中的氣流通道134供應氣體至一或更多隔開的孔123。
第一環形支撐件121可設置在第二環形支撐件125上,該第二環形支撐件125定義用以將製程氣體自氣流通道129輸送至下腔室區域102之一或更多隔開的孔127。在一些範例中,氣體分配裝置114中的孔131對準孔127。在其他範例中,氣體分配裝置114具有較小的直徑且不需要孔131。在一些範例中,製程氣體藉由一或更多隔開的孔127以相對於包括氣體分配裝置114之平面的銳角往下的方向朝基板輸送,但可使用其它角度/方向。
在其它範例中,上腔室區域104為具有平坦頂面的圓柱形,且可使用一或更多平坦感應線圈。又在其他範例中,單一腔室可與位在噴淋頭與基板支撐件之間的間隔件一起使用。
基板支撐件122係配置於下腔室區域102中。在一些範例中,基板支撐件122包括靜電卡盤(ESC),但可使用其他類型的基板支撐件。在蝕刻期間,基板126係配置於基板支撐件122的上表面上。在一些範例中,基板126的溫度可由加熱板132、具有流體通道之可選的冷卻板、及一或更多感測器(未顯示)控制,但可使用任何其他合適的基板支撐件的溫度控制系統。
在一些範例中,氣體分配裝置114包括噴淋頭(例如,具有複數隔開的孔133的板128)。複數隔開的孔133自板128的上表面延伸至板128的下表面。在一些範例中,隔開的孔133具有範圍介於0.4”至0.75”之間的直徑,且噴淋頭由具有以導電材料製成之嵌入電極的導電材料(如鋁)或非導電材料(如陶瓷)製成。
配置一或更多感應線圈140於圓頂118的外部分周圍。當通電時,一或更多感應線圈140在圓頂118內部產生電磁場。在一些範例中,使用上部線圈與下部線圈。氣體注入器142自氣體輸送系統150-1注入一或更多氣體混合物。
在一些範例中,氣體輸送系統150-1包括一或更多氣體源152、一或更多閥154、一或更多質流控制器(MFC)156、與混合歧管158,但可使用其它類型的氣體輸送系統。氣體分流器(未顯示)可用以改變氣體混合物之流速。 另一氣體輸送系統150-2可用以供應蝕刻氣體或蝕刻氣體混合物至氣流通道129及/或134(除了來自氣體注入器142之蝕刻氣體之外,或取代來自氣體注入器142之蝕刻氣體)。
適合的氣體輸送系統於2015年12月4日提出申請並題為「Gas Delivery System」之共同轉讓之美國臨時專利申請案系列第14/945,680號中顯示與描述,其整體以參考文獻合併於此。適合的單或雙氣體注入器與其它氣體注入位置係中顯示與描述於2016年1月7日提出申請、並題為「Substrate Processing System with Multiple Injection Points and Dual Injector」之共同轉讓之美國臨時專利申請案系列第62/275,837號,其整體以參考文獻合併於此。
在一些範例中,氣體注入器142包括以朝下方向導引氣體之中心注入位置、及以相對該朝下方向之一角度注入氣體的一或更多側注入位置。在一些範例中,氣體輸送系統150-1以第一流速輸送氣體混合物之第一部分至中心注射位置,並以第二流速輸送氣體混合物之第二部分至氣體注入器142之(複數)側注射位置。在其他範例中,不同的氣體混合物藉由氣體注入器142輸送。在一些範例中,氣體輸送系統150-1輸送調節氣體至氣體流動通道129與134及/或至處理腔室中如將於以下敘述之其它位置。
電漿產生器170可用以產生輸出至一或更多感應線圈140的RF功率。電漿190在上腔室區域104中產生。在一些範例中,電漿產生器170包括RF產生器172與匹配網路174。匹配網路174使RF產生器172之阻抗與一或更多感應線圈140之阻抗匹配。在一些範例中,連接氣體分配裝置114至參考電位(如接地)。閥178與泵180可用以控制下腔室區域102與上腔室區域104內部之壓力,並用以抽空反應物。
控制器176與氣體輸送系統150-1及150-2、閥178、泵180、及/或電漿產生器170連通,以控制製程氣體、沖洗氣體、RF電漿之流動與腔室壓力。 在一些範例中,藉由一或更多感應線圈140使電漿維持在圓頂118內部。一或更多氣體混合物係使用氣體注入器142(及/或孔123)自腔室的頂部導入,且電漿係使用氣體分配裝置114限制在圓頂118內。
將電漿限制在圓頂118內允許電漿物質之體積複合並經由氣體分配裝置114使期望的蝕刻劑物質流出。在一些範例中,無RF偏壓施加至基板126。因此,在基板126上無活性鞘且離子不以任何有限的能量撞擊基板。一些量的離子將經由氣體分配裝置114擴散出電漿區域。然而,擴散的電漿的量之大小比位在圓頂118內的電漿低一個數量級。電漿中大多數離子在高壓下因體積複合而丟失。在氣體分配裝置114之上表面的表面複合損失亦降低氣體分配裝置114下方的離子密度。
在其他範例中,提供RF偏壓產生器184,且其包括RF產生器186與匹配網路188。RF偏壓可用以在氣體分配裝置114與基板支撐件之間產生電漿,或者在基板上產生自偏壓以吸引離子。控制器176可用以控制RF偏壓。
基板支撐件122包括邊緣環192。依據本揭露內容之原理的邊緣環192可相對於基板126移動(例如,可在垂直方向上往上及往下移動),且/或支撐件122可往上及往下移動。例如,邊緣環192及/或基板支撐件122可經由回應控制器176之一或更多致動器控制(以下將更詳細地描述)。
基板126包括定位成接合邊緣環192之一或更多接觸指194。例如,升高與降低邊緣環192及/或基板支撐件122選擇性地使邊緣環192接合接觸指194(以下將更詳細地描述)。SR裝置196配置成在基板126的表面導引SR訊號。SR訊號藉由感測器(例如,光電二極體)198反射與接收。當邊緣環192與接觸指194接觸時(例如,藉由反射的SR訊號指示者),邊緣環192的尺寸(例如,因磨損影響的邊緣環)可使用邊緣環192(及/或基板支撐件122)的高度計算。
現參照圖2A、2B、與2C,顯示具有依據本揭露內容之原理配置於其上之個別的測試基板或晶圓204的範例性基板支撐件200。基板支撐件200可各包括具有內部分(例如,對應於ESC)208與外部分212之基底或基座。在範例中,內部分208可獨立於外部分212且可相對於外部分212(亦即,在其中內部分208配置成升高及/或降低之範例中)移動。控制器216與一或更多致動器220連通以選擇性地升高與降低邊緣環224。僅為範例,邊緣環224在圖2A中顯示處於完全降低的位置,而在圖2B與2C中處於範例性升高的位置。如範例中所示,致動器220對應於配置成在垂直方向上選擇性地延伸及縮回銷228的銷致動器。在其他範例中可使用其他適合類型的致動器。例如,致動器220可對應於配置成接合個別的銷228之螺紋以逐步方式升高與降低銷228的馬達。僅為範例,邊緣環224對應於陶瓷或石英邊緣環。
測試晶圓204包括一或更多接觸指232。雖顯示兩接觸指232,然在範例中測試晶圓204可包括一、二、三或更多接觸指232。在圖2B中,顯示控制器216與致動器220連通以升高整個邊緣環224。例如,可配置控制器216、致動器220、與銷228,使得僅升高與降低整個邊緣環224,或控制器216可配置成單獨控制銷228。因此,測試晶圓204相對於基板支撐件200為實質平坦的(亦即,平行於基板支撐件200)。相反地,在圖2C中,顯示控制器216與僅致動器220之一者連通以升高銷228之個別一者與僅邊緣環224之一部分。因此,測試晶圓204相對於基板支撐件200傾斜。
在其中基板支撐件200僅包括致動器220之一者與個別的銷228之範例中,測試晶圓204可在不同位置旋轉與配置,以使接觸指232與邊緣環224之不同的部分對準。以此方式,當邊緣環224接合接觸指232時,邊緣環224的高度指示與接觸指232對準之邊緣環224的部分的尺寸(例如,磨損)。
在如圖3A、3B、與3C所示之另一範例中,邊緣環224(及/或邊緣環224安裝於其上的外部分212)的絕對高度可相對於腔室之底面固定。反之,內部分208(例如,ESC)可相對於邊緣環224移動。因此,控制器216可與致動器220連通以相對於邊緣環224升高與降低內部分208,而相對於基板支撐件200調整邊緣環224的高度。內部分208在圖3A中顯示處於升高的位置,在圖3B與3C中處於範例性降低的位置。因此,在其中測試晶圓204包括複數接觸指232(例如,如圖3B中所示)的範例中,整個測試晶圓204由邊緣環224支撐,且相對於(亦即,平行於)基板支撐件200為實質平坦的。相反地,在其中測試晶圓204僅包括接觸指232之一者的範例中(例如,如圖3C所示),對應於接觸指232之測試晶圓204的部分由邊緣環224支撐,且測試晶圓204相對於基板支撐件200傾斜。測試晶圓204可在不同位置旋轉與配置,以使接觸指232與邊緣環224之不同的部分對準。
在以上範例中,邊緣環224與內部分208之個別的升高與降低高度(及測試晶圓204相對於基板支撐件200之相對應的定向或傾斜)僅為說明性目的顯示。在操作中,可僅升高邊緣環224直到邊緣環224接合一或更多接觸指232,因而改變反射的SR訊號的特性。類似地,可僅降低內部分208直到接觸指232之一或更多者接合邊緣環224,因而改變反射的SR訊號的特性。
以此方式,控制器216係配置成監測反射的SR訊號的特性,以偵測邊緣環224何時接合接觸指232(反之亦然)、判定其中邊緣環224接合接觸指232之邊緣環224(及/或內部分208)之第一高度、判定第一高度與對應新(亦即,未磨損、最佳的…等)的邊緣環的高度之間的差異、及基於差異計算邊緣環224的尺寸。因此,當邊緣環224隨時間磨損時,可計算邊緣環224之上表面的變化,並可定位邊緣環224(及/或內部分208)以補償磨損。例如,若控制器216計算出邊緣環224內徑的厚度減小0.X毫米(例如,圍繞著邊緣環224圓周的平均),則控制器216可在基板的處理期間使邊緣環224升高0.X毫米。此外,控制器216可判定(並經由LED、圖形界面等指示使用者)邊緣環224何時需要維修、更換等。當控制器216由使用者等驅動時,其可經由以上方法週期性地執行邊緣環224尺寸的測量。
圖4A與4B顯示範例性測試晶圓260與264的平面圖。在圖4A中,測試晶圓260包括複數(例如,三)接觸指268。在圖4B中,測試晶圓264僅包括接觸指268之一者。接觸指268之一或更多者可包括凹槽272。凹槽272可用以將測試晶圓260與264以相對於基板支撐件之期望對準加以定位。例如,測試晶圓260與264之位置可藉由偵測凹槽272(例如,使用照相機或其它影像感測裝置)並相應地計算測試晶圓260與264之對準而判定。
圖5A與5B、6A與6B、及7A與7C顯示範例性邊緣環300與測試晶圓304。圖5A、6A、與7A說明在邊緣環300經歷磨損之前的邊緣環300的高度H。圖5A、6A、與7A說明高度H加上偏差量d以補償邊緣環300之個別磨損。例如,H + d對應於當判定邊緣環300(例如,使用控制器216與反射的SR訊號)接合接觸指308、312、與316時之邊緣環300的個別高度。接觸指308、312、與316具有用以測量邊緣環300尺寸之不同的幾何形狀(亦即,接觸表面輪廓)。在圖5A與5B中,接觸指308係配置成接合邊緣環300的內徑。如圖3B所示,當邊緣環300的內徑隨時間磨損時,邊緣環300以不同的高度接合接觸指308。因此,可升高邊緣環300以判定邊緣環300內徑的磨損量(與尺寸上之相對應變化),並達到邊緣環的上表面與處理中晶圓之間的期望關係。反之,接觸指312配置成接合邊緣環300的外徑,且接觸指316配置成接合邊緣環300的中間直徑。以此方式,可測量邊緣環300之不同部分的尺寸。
現參照圖8,依據本揭露內容之用以測量邊緣環尺寸的範例性方法於404開始。在408,測試基板配置於基板支撐件上。例如,測試基板包括如上所述關於圖1至7的接觸指,且接觸指於基板支撐件之邊緣環上方延伸。在412,升高邊緣環(或在一些範例中,降低基板支撐件的內部分)。在416,方法400(例如,控制器216)判定邊緣環(例如,邊緣環的內徑)是否接合接觸指。例如,控制器216基於自測試基板表面反射的訊號判定邊緣環是否接合接觸指。若是,則方法400進行至420。若否,則方法400進行至412。
在420,方法400(例如,控制器216)基於邊緣環何時接合接觸指以判定基板處理系統之至少一特性。例如,控制器216基於邊緣環接合接觸指時之邊緣環(或在其中降低內部分之範例中之基板支撐件的內部分)的位置/高度、升高邊緣環之總高度等而計算邊緣環的磨損。方法400結束於424。
先前描述在本質上僅為說明性的,而決非意圖限制本揭露內容、其應用、或用途。本揭露內容之廣泛教導可以各種形式執行。因此,雖本揭露內容包括特定範例,然由於當研究圖式、說明書、與所附的申請專利範圍時,其他變化將變得顯而易見,故本揭露內容之真實範疇不應如此受限。應理解:在不改變本揭露內容之原理的情形下,方法中之一或更多步驟可以不同次序(或同時)執行。再者,雖以上所述實施例之每一者係具有某些特徵,然關於本揭露內容之任何實施例所述該等特徵之任何一或更多者可在任何其他實施例之特徵中實施及/或與其組合(即使並未明確描述該組合)。換言之,所述實施例並非相互排斥,且一或更多實施例彼此的置換維持在本揭露內容之範疇中。
使用包括「連接」、「接合」、「耦合」、「鄰近」、「在…旁」、「在…之上」、「上方」、「下方」、與「安置」之各種術語描述元件之間(例如,在組件、電路元件、半導體疊層等之間)的空間與功能的關係。除非明確地描述為「直接」之情形下,當於上述揭露內容中描述第一與第二元件之間的關係時,其關係可為其中在第一與第二元件之間不存在其它插入元件之直接關係,亦可為其中在第一與第二元件之間存在一或更多插入元件(空間上或功能上之任一者)的間接關係。如本文所用,詞組A、B、與C之至少一者應解釋成代表邏輯(A或B或C)、使用非排除性邏輯OR、且不應解釋成代表「A之至少一者、B之至少一者、與C之至少一者」。
在一些執行中,控制器為系統的一部分,其可為上述範例的一部分。這樣的系統可包含包括一或更多處理工具、一或更多腔室、一或更多處理平台、及/或特定處理元件(晶圓基座、氣流系統等)。這些系統可與電子件整合,用以在半導體晶圓或基板處理之前、期間、與之後控制其操作。電子件可稱作「控制器」,其可控制一或更多系統之各種元件或次零件。取決於處理要求及/或系統類型,可編程控制器以控制本文所揭之任何製程,包括處理氣體的輸送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流量設定、流體輸送設定、位置與操作設定、連接至或與特定系統接口之工具、與其他傳送工具、及/或負載鎖室之晶圓傳送進出。
概括地說,可定義控制器為具有接收指令、發出指令、控制操作、使清潔操作得以進行、使端點測量得以進行、及相似操作之各種積體電路、邏輯、記憶體、及/或軟體的電子件。積體電路可包括儲存程式指令之韌體形式的晶圓、數位訊號處理器(DSP)、定義成特殊應用積體電路(ASIC)的晶圓、及/或執行程式指令(例如,軟體)之一或更多微處理器或微控制器。程式指令可為以各種獨立設定(或程式檔案)之形式傳送至控制器的指令,並定義用以在或對半導體晶圓或系統執行特定製程的操作參數。在一些實施例中,操作參數可為藉由製程工程師定義之配方的一部分,以在一或更多疊層、材料、金屬、表面、電路、及/或晶圓之晶圓的生產期間完成一或更多處理步驟。
在一些實施例中,控制器可為電腦的一部分或與其耦合,該電腦與系統整合、耦合至系統、以其他方式網路連結至系統、或其組合。例如,控制器可在「雲端」、或整個或晶圓廠主機系統的一部分中,其可容許晶圓處理之遠端存取。電腦可使對系統之遠端存取得以進行,以監控目前生產操作的進度、檢查過去生產操作的歷史、檢查來自複數生產操作的趨勢或性能指標、以改變目前處理的參數、設定處理步驟以接續目前處理、或開啟新製程。在一些範例中,遠端電腦(例如,伺服器)可透過網路提供製程配方至系統,該網路包括區域網路或網際網路。遠端電腦可包括使參數及/或設定之進入或程式化得以進行之人機介面,該參數及/或設定隨後自遠端電腦傳送至系統。在一些範例中,控制器接收資料形式的指令,該資料在一或更多操作期間指定待執行處理步驟之每一者的參數。應理解參數可特定於待執行製程的類型、與控制器用以與其接口或控制之工具的類型。因此如上所述,控制器可像是藉由包含以網路相連並朝共同目的(如本文所述的製程與控制)運作之一或更多離散式控制器而呈分佈狀。這樣目的之分佈狀控制器的範例可為與遠端定位 (如在平台水平上或遠端電腦的一部分)之一或更多積體電路連通之在腔室之一或更多積體電路,其合併以控制腔室上的製程。
在沒有限制的情形下,範例性系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉洗淨腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALD)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、與任何其他有關或用於半導體晶圓之生產及/或製造的半導體製程系統。
如上所提,取決於待以工具執行之一或更多製程步驟,控制器可與以下連通:一或更多其他工具電路與模組、其他工具元件、叢集工具、其他工具介面、相鄰的工具、鄰近的工具、定位於整個工廠的工具、主電腦、另一控制器、或用於在半導體製造工廠內攜帶晶圓容器往來工具位置及/或裝載埠之材料輸送的工具。
100‧‧‧基板處理腔室
102‧‧‧下腔室區域
104‧‧‧上腔室區域
108‧‧‧腔室側壁表面
110‧‧‧腔室底面
114‧‧‧氣體分配裝置
118‧‧‧圓頂
121‧‧‧第一環形支撐件
122‧‧‧基板支撐件
123‧‧‧孔
125‧‧‧第二環形支撐件
126‧‧‧基板
127‧‧‧孔
128‧‧‧板
129‧‧‧氣流通道
131‧‧‧孔
132‧‧‧加熱板
133‧‧‧孔
134‧‧‧氣流通道
140‧‧‧感應線圈
142‧‧‧氣體注入器
150-1‧‧‧氣體輸送系統
150-2‧‧‧氣體輸送系統
152‧‧‧氣體源
154‧‧‧閥
156‧‧‧質流控制器
158‧‧‧歧管
170‧‧‧電漿產生器
172‧‧‧RF產生器
174‧‧‧匹配網路
176‧‧‧控制器
178‧‧‧閥
180‧‧‧泵
184‧‧‧RF偏壓產生器
186‧‧‧RF產生器
188‧‧‧匹配網路
190‧‧‧電漿
192‧‧‧邊緣環
194‧‧‧接觸指
196‧‧‧SR裝置
198‧‧‧感測器
200‧‧‧基板支撐件
204‧‧‧測試晶圓
208‧‧‧內部分
212‧‧‧外部分
216‧‧‧控制器
220‧‧‧致動器
224‧‧‧邊緣環
228‧‧‧銷
232‧‧‧接觸指
260‧‧‧測試晶圓
264‧‧‧測試晶圓
268‧‧‧接觸指
272‧‧‧凹槽
300‧‧‧邊緣環
304‧‧‧測試晶圓
308‧‧‧接觸指
312‧‧‧接觸指
316‧‧‧接觸指
400‧‧‧方法
404‧‧‧操作
408‧‧‧操作
412‧‧‧操作
416‧‧‧操作
420‧‧‧操作
424‧‧‧操作
本揭露內容將依據實施方式與附圖而變得更受到完整瞭解,其中:
圖1為依據本揭露內容之範例性處理腔室之功能性方塊圖;
圖2A顯示依據本揭露內容之下降位置中的範例性邊緣環;
圖2B顯示依據本揭露內容之上升位置中的範例性邊緣環;
圖2C顯示依據本揭露內容之傾斜位置中的範例性邊緣環;
圖3A顯示依據本揭露內容之上升位置中的範例性基板支撐件;
圖3B顯示配置在依據本揭露內容之下降位置中的基板支撐件上的範例性測試晶圓;
圖3C顯示配置在依據本揭露內容之下降位置中的基板支撐件上的另一範例性測試晶圓;
圖4A與4B為依據本揭露內容之範例性測試晶圓的俯視圖;
圖5A與5B說明具有依據本揭露內容之第一幾何形狀的接觸指;
圖6A與6B說明具有依據本揭露內容之第二幾何形狀的接觸指;
圖7A與7B說明具有依據本揭露內容之第三幾何形狀的接觸指;及
圖8說明用以測量依據本揭露內容之邊緣環尺寸的範例性方法的步驟。
在圖式中,參考編號可重複使用以標識相似及/或相同的元件。
200‧‧‧基板支撐件
204‧‧‧測試晶圓
208‧‧‧內部分
212‧‧‧外部分
216‧‧‧控制器
220‧‧‧致動器
224‧‧‧邊緣環
228‧‧‧銷
232‧‧‧接觸指

Claims (18)

  1. 一種基板處理系統的基板支撐件,該基板支撐件包含: 一內部分,配置成支撐一基板; 一邊緣環,圍繞該內部分;及 一控制器,其 進行以下至少一者:(i)升高該邊緣環以選擇性地使該邊緣環接合該基板、及(ii)降低該內部分以選擇性地使該邊緣環接合該基板; 判定該邊緣環何時接合該基板;及 基於該邊緣環何時接合該基板之判定而計算該基板處理系統之至少一特性。
  2. 如申請專利範圍第1項之基板處理系統的基板支撐件,其中判定該邊緣環何時接合該基板包括:判定用以使該邊緣環接合該基板的以下至少一者:升高該邊緣環的量、及降低該內部分的量。
  3. 如申請專利範圍第1項之基板處理系統的基板支撐件,其中該至少一特性為該邊緣環的尺寸。
  4. 如申請專利範圍第1項之基板處理系統的基板支撐件,其中該基板包括自該基板之一邊緣向外延伸之至少一接觸指,且其中該至少一接觸指配置成接合該邊緣環。
  5. 如申請專利範圍第4項之基板處理系統的基板支撐件,其中該接觸指配置成接合該邊緣環之一內徑。
  6. 如申請專利範圍第1項之基板處理系統的基板支撐件,其中為了判定該邊緣環何時接合該基板,該控制器監控自該基板之一表面反射之一訊號。
  7. 如申請專利範圍第1項之基板處理系統的基板支撐件,其中為了計算該基板處理系統之該至少一特性,該控制器判定用以使該邊緣環接合該基板的以下至少一者:升高該邊緣環的量、及降低該內部分的量。
  8. 如申請專利範圍第1項之基板處理系統的基板支撐件,更包含: 複數銷,定位成支撐該邊緣環;及 複數致動器,回應該控制器並配置成選擇性地升高與降低該複數銷之個別者。
  9. 如申請專利範圍第1項之基板處理系統的基板支撐件,更包含: 至少一致動器,回應該控制器並配置成選擇性地升高與降低該內部分。
  10. 一種判定基板處理系統之特性的方法,該方法包含: 在一基板支撐件之一內部份上配置一測試基板,其中該測試基板包括自該測試基板之一邊緣向外延伸之一接觸指; 進行以下至少一者:(i)升高圍繞該內部分之一邊緣環以使該邊緣環之一內徑接合該接觸指、及(ii)降低該內部分以使該邊緣環之該內徑接合該接觸指; 判定該邊緣環之該內徑何時接合該接觸指;及 基於該邊緣環之該內徑何時接合該接觸指之判定,而計算該基板處理系統之至少一特性。
  11. 如申請專利範圍第10項之判定基板處理系統之特性的方法,其中判定該邊緣環之該內徑何時接合該基板包括:判定用以使該邊緣環之該內徑接合該基板的以下至少一者:升高該邊緣環的量、及降低該內部分的量。
  12. 如申請專利範圍第10項之判定基板處理系統之特性的方法,其中該至少一特性為該邊緣環的尺寸。
  13. 如申請專利範圍第10項之判定基板處理系統之特性的方法,其中該基板包括自該基板之一邊緣向外延伸之至少一接觸指,且其中該至少一接觸指係配置成接合該邊緣環。
  14. 如申請專利範圍第13項之判定基板處理系統之特性的方法,其中該接觸指係配置成接合該邊緣環之一內徑。
  15. 如申請專利範圍第10項之判定基板處理系統之特性的方法,其中判定該邊緣環何時接合該基板包括監控自該基板之一表面反射之一訊號。
  16. 如申請專利範圍第10項之判定基板處理系統之特性的方法,其中計算該基板處理系統之該至少一特性包括:判定用以使該邊緣環接合該基板的以下至少一者:升高該邊緣環的量、及降低該內部分的量。
  17. 如申請專利範圍第10項之判定基板處理系統之特性的方法,其中升高該邊緣環包括:使用定位成支撐該邊緣環之複數銷、及配置成選擇性地升高與降低該複數銷之個別者的複數致動器,來升高該邊緣環。
  18. 如申請專利範圍第10項之判定基板處理系統之特性的方法,其中降低該內部分包括使用配置成選擇性地升高與降低該內部分之至少一致動器,來降低該內部分。
TW106101332A 2016-03-29 2017-01-16 用以進行邊緣環特徵化之系統及方法 TWI774652B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662314659P 2016-03-29 2016-03-29
US62/314,659 2016-03-29
US15/403,786 US11011353B2 (en) 2016-03-29 2017-01-11 Systems and methods for performing edge ring characterization
US15/403,786 2017-01-11

Publications (2)

Publication Number Publication Date
TW201735235A true TW201735235A (zh) 2017-10-01
TWI774652B TWI774652B (zh) 2022-08-21

Family

ID=59959706

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106101332A TWI774652B (zh) 2016-03-29 2017-01-16 用以進行邊緣環特徵化之系統及方法

Country Status (5)

Country Link
US (1) US11011353B2 (zh)
JP (1) JP6976686B2 (zh)
KR (1) KR20170113014A (zh)
CN (2) CN107240541B (zh)
TW (1) TWI774652B (zh)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
WO2017131927A1 (en) 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
JP6966286B2 (ja) * 2017-10-11 2021-11-10 東京エレクトロン株式会社 プラズマ処理装置、フォーカスリングの昇降制御方法およびフォーカスリングの昇降制御プログラム
CN109841536A (zh) * 2017-11-29 2019-06-04 长鑫存储技术有限公司 边缘补偿系统、晶圆载台系统及晶圆安装方法
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
JP7037964B2 (ja) * 2018-03-09 2022-03-17 東京エレクトロン株式会社 測定器、及びフォーカスリングを検査するためのシステムの動作方法
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
KR102433436B1 (ko) 2018-07-04 2022-08-17 삼성전자주식회사 기판 처리 시스템, 기판 처리 시스템에서의 에지 링 정렬 검사 방법 및 이를 수행하기 위한 원반형 비젼 센서
KR20230106754A (ko) * 2018-08-13 2023-07-13 램 리써치 코포레이션 에지 링 포지셔닝 및 센터링 피처들을 포함하는 플라즈마 시스 튜닝을 위한 교체가능한 에지 링 어셈블리 및/또는 접을 수 있는 에지 링 어셈블리
JP7076351B2 (ja) * 2018-10-03 2022-05-27 東京エレクトロン株式会社 プラズマ処理装置、及びリング部材の厚さ測定方法
JP2020087969A (ja) * 2018-11-15 2020-06-04 東京エレクトロン株式会社 プラズマ処理装置、及びリング部材の形状測定方法
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP2020115499A (ja) * 2019-01-17 2020-07-30 東京エレクトロン株式会社 プラズマ処理装置、及びリング部材の位置ずれ測定方法
US20220146258A1 (en) * 2019-03-06 2022-05-12 Lam Research Corporation Measurement system to measure a thickness of an adjustable edge ring for a substrate processing system
US11279032B2 (en) 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
WO2020214327A1 (en) 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
US10964584B2 (en) 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
US11913777B2 (en) * 2019-06-11 2024-02-27 Applied Materials, Inc. Detector for process kit ring wear
US11626305B2 (en) 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
KR20210002175A (ko) 2019-06-26 2021-01-07 삼성전자주식회사 센서 모듈 및 이를 구비하는 식각 장치
CN112216646A (zh) * 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
CN112701027A (zh) * 2019-10-22 2021-04-23 夏泰鑫半导体(青岛)有限公司 等离子体处理装置及边缘环的更换方法
US11370114B2 (en) 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
CN111341698B (zh) * 2020-03-09 2022-07-26 苏州能讯高能半导体有限公司 一种刻蚀设备
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US6075606A (en) 1996-02-16 2000-06-13 Doan; Trung T. Endpoint detector and method for measuring a change in wafer thickness in chemical-mechanical polishing of semiconductor wafers and other microelectronic substrates
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6206976B1 (en) * 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
JP2001230239A (ja) * 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP3388228B2 (ja) * 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US20040040664A1 (en) 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
US6938505B2 (en) * 2002-08-13 2005-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber wafer detection
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US7064812B2 (en) 2003-08-19 2006-06-20 Tokyo Electron Limited Method of using a sensor gas to determine erosion level of consumable system components
JP2006173223A (ja) 2004-12-14 2006-06-29 Toshiba Corp プラズマエッチング装置およびそれを用いたプラズマエッチング方法
JP4006004B2 (ja) 2004-12-28 2007-11-14 株式会社東芝 半導体製造装置及び半導体装置の製造方法
KR20060121362A (ko) * 2005-05-24 2006-11-29 삼성전자주식회사 정전척 에지 링 측정 장치
KR20080023569A (ko) 2006-09-11 2008-03-14 주식회사 하이닉스반도체 식각프로파일 변형을 방지하는 플라즈마식각장치
KR100783062B1 (ko) 2006-12-27 2007-12-07 세메스 주식회사 기판 지지 장치, 플라즈마 식각 장치 및 플라즈마 식각방법
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
US7988813B2 (en) 2007-03-12 2011-08-02 Tokyo Electron Limited Dynamic control of process chemistry for improved within-substrate process uniformity
JP5317424B2 (ja) 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US7832354B2 (en) 2007-09-05 2010-11-16 Applied Materials, Inc. Cathode liner with wafer edge gas injection in a plasma reactor chamber
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
JP2009188173A (ja) 2008-02-06 2009-08-20 Tokyo Electron Ltd 基板処理方法及び基板処理装置
JP2010034416A (ja) 2008-07-30 2010-02-12 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
US8409995B2 (en) 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
JP2011210853A (ja) 2010-03-29 2011-10-20 Tokyo Electron Ltd 消耗量測定方法
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
JP6046128B2 (ja) 2011-05-31 2016-12-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマ(icp)リアクタ用動的イオンラジカルシーブ及びイオンラジカルアパーチャ
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US20140273460A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Passive control for through silicon via tilt in icp chamber
US10105883B2 (en) * 2013-03-15 2018-10-23 Nanonex Corporation Imprint lithography system and method for manufacturing
US9425077B2 (en) 2013-03-15 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus with transportable edge ring for substrate transport
CN104299929A (zh) 2013-07-19 2015-01-21 朗姆研究公司 用于原位晶片边缘和背侧等离子体清洁的系统和方法
CN103730318B (zh) 2013-11-15 2016-04-06 中微半导体设备(上海)有限公司 一种晶圆边缘保护环及减少晶圆边缘颗粒的方法
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
CN104752141B (zh) 2013-12-31 2017-02-08 中微半导体设备(上海)有限公司 一种等离子体处理装置及其运行方法
CN104851832B (zh) 2014-02-18 2018-01-19 北京北方华创微电子装备有限公司 一种固定装置、反应腔室及等离子体加工设备
JP6204869B2 (ja) 2014-04-09 2017-09-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN105336561B (zh) 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10438833B2 (en) * 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10312121B2 (en) * 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems

Also Published As

Publication number Publication date
TWI774652B (zh) 2022-08-21
US20170287682A1 (en) 2017-10-05
CN107240541A (zh) 2017-10-10
CN110767525B (zh) 2022-08-02
JP2017183701A (ja) 2017-10-05
JP6976686B2 (ja) 2021-12-08
KR20170113014A (ko) 2017-10-12
CN107240541B (zh) 2019-10-15
US11011353B2 (en) 2021-05-18
CN110767525A (zh) 2020-02-07

Similar Documents

Publication Publication Date Title
TWI774652B (zh) 用以進行邊緣環特徵化之系統及方法
US11342163B2 (en) Variable depth edge ring for etch uniformity control
US20210183687A1 (en) Edge ring arrangement with moveable edge rings
US11424103B2 (en) Control of on-wafer cd uniformity with movable edge ring and gas injection adjustment
US11605546B2 (en) Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10541168B2 (en) Edge ring centering method using ring dynamic alignment data
TW201941298A (zh) 用於電漿處理中之均勻性控制的漸縮上電極
KR20170114250A (ko) 웨이퍼 내 프로세스 균일도를 제어하기 위한 방법 및 장치
US20230083737A1 (en) System, method, and user interface for edge ring wear compensation
TW202036711A (zh) 用於將對基板體電阻率變動響應之沉積或蝕刻速率變化降低的射頻功率補償
JP2023527503A (ja) 基板処理システムのための高精度エッジリングのセンタリング

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent