CN110767525A - 用于确定边缘环特性的系统和方法 - Google Patents

用于确定边缘环特性的系统和方法 Download PDF

Info

Publication number
CN110767525A
CN110767525A CN201910856579.4A CN201910856579A CN110767525A CN 110767525 A CN110767525 A CN 110767525A CN 201910856579 A CN201910856579 A CN 201910856579A CN 110767525 A CN110767525 A CN 110767525A
Authority
CN
China
Prior art keywords
edge ring
substrate
test substrate
substrate support
test
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201910856579.4A
Other languages
English (en)
Other versions
CN110767525B (zh
Inventor
马库斯·穆瑟尔曼
安德鲁·D·贝利三世
乔恩·麦克切斯尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rum Research Corp
Original Assignee
Rum Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rum Research Corp filed Critical Rum Research Corp
Publication of CN110767525A publication Critical patent/CN110767525A/zh
Application granted granted Critical
Publication of CN110767525B publication Critical patent/CN110767525B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/3288Maintenance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Control Of Metal Rolling (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

本发明涉及用于执行边缘环表征的系统和方法。衬底处理系统中的衬底支撑件包括:内部部分,围绕所述内部部分的边缘环,以及控制器。所述控制器配置为:选择性地升高边缘环,接收指示布置在内部部分上的测试衬底的定位的测量信号,基于指示测试衬底的定位的测量信号确定所述边缘环何时接合测试衬底,并且基于对所述边缘环何时接合测试衬底的所述确定来计算所述边缘环的至少一个特征。

Description

用于确定边缘环特性的系统和方法
本申请是申请号为201710036188.9、申请日为2017年1月17日、发明名称为“用于执行边缘环表征的系统和方法”的申请的分案申请。
相关申请的交叉引用
本申请要求于2016年3月29日提交的美国临时申请No.62/314,659的权益。上述申请的全部公开内容通过引用并入本文。
技术领域
本公开涉及用于测量衬底处理系统中的边缘环的系统和方法。
背景技术
这里提供的背景描述是为了一般地呈现本公开的背景的目的。在该背景技术部分以及在提交时不会以其他方式认为是现有技术的描述的方面中描述的程度上,目前署名的发明人的工作既不明确地也不隐含地被承认为针对本公开的现有技术。
衬底处理系统可用于蚀刻衬底(例如半导体晶片)上的膜。衬底处理系统通常包括处理室、气体分配装置和衬底支撑件。在处理期间,衬底被布置在衬底支撑件上。可以将不同的气体混合物引入到处理室中,并且射频(RF)等离子体可以用于激活化学反应。
衬底支撑件可以包括围绕衬底支撑件的外部部分(例如,在周边的外部和/或与周边相邻)布置的边缘环。可以提供边缘环以将等离子体限制到衬底上方的体积,保护衬底支撑件免受等离子体等引起的侵蚀。
发明内容
在衬底处理系统中的衬底支撑件包括:布置成支撑衬底的内部部分、围绕所述内部部分的边缘环、以及控制器。所述控制器执行以下操作中的至少一个:升高所述边缘环以选择性地使所述边缘环接合所述衬底,以及降低所述内部部分以选择性地使所述边缘环接合所述衬底。所述控制器确定所述边缘环何时接合所述衬底,并且基于对所述边缘环何时接合所述衬底的所述确定来计算所述衬底处理系统的至少一个特性。
一种确定衬底处理系统的特性的方法包括将测试衬底布置在衬底支撑件的内部部分上。所述测试衬底包括从所述测试衬底的边缘向外延伸的接触指。所述方法还包括:升高围绕所述内部部分的边缘环以使所述边缘环的内径接合所述接触指和降低所述内部部分以使所述边缘环的所述内径接合所述接触指中的至少一项,确定所述边缘环的所述内径何时接合所述接触指,以及基于对所述边缘环的所述内径何时接合所述接触指的所述确定来确定所述衬底处理系统的至少一个特性。
从详细描述、权利要求和附图,本公开的其他适用领域将变得显而易见。详细描述和具体示例仅意图用于说明的目的,并且不旨在限制本公开的范围。
附图说明
从详细描述和附图将更充分地理解本公开,其中:
图1是根据本公开的示例性处理室的功能框图;
图2A示出了根据本公开的处于降低位置的示例性边缘环;
图2B示出了根据本公开的处于升高位置的示例性边缘环;
图2C示出了根据本公开的处于倾斜位置的示例性边缘环;
图3A示出了根据本公开的处于升高位置的示例性衬底支撑件;
图3B示出了根据本公开的布置在处于降低位置的衬底支撑件上的示例性测试晶片;
图3C示出了根据本公开的布置在处于降低位置的衬底支撑件上的另一示例性测试晶片;
图4A和4B是根据本公开的示例性测试晶片的平面图;
图5A和5B示出了根据本公开的具有第一几何形状的接触指;
图6A和6B示出了根据本公开的具有第二几何形状的接触指;
图7A和7B示出了根据本公开的具有第三几何形状的接触指;以及
图8示出了根据本公开的用于测量边缘环的尺寸的示例性方法的步骤。
在附图中,附图标记可以重复使用以标识类似和/或相同的元件。
具体实施方式
衬底处理室中的衬底支撑件可以包括边缘环,边缘环用于将等离子体限制在衬底上方的体积,保护衬底支撑件免受由等离子体等引起的侵蚀。例如,边缘环可以被布置成控制衬底和边缘环附近及围绕衬底和边缘环的等离子体鞘的特性,以实现期望的临界尺寸均匀性(CDU)。边缘环的各种表面由于暴露于室内的等离子体而随着时间的推移经历磨损,导致边缘环的尺寸变化。因此,在室内处理的衬底的CDU可能受到影响。
因此,期望优选在不打开衬底处理室的情况下,周期性地测量受磨损影响的边缘环的尺寸,以确定是否更换或调整边缘环。用于测量边缘环的尺寸的示例性方法包括使用激光器和光电二极管,利用激光位移传感器等来测量布置在衬底支撑件上的衬底的倾斜。
根据本公开的原理的边缘环测量系统和方法实施可移动/可调节的边缘环(和/或可调节的衬底支撑件、卡盘、基座等)和测试晶片或仿真(dummy)晶片以测量边缘环的尺寸。可以使用例如用于独立地升高和降低边缘环的相应部分的一个或多个销以及相关联的致动器(例如,三个自由度或3DOF的平行机械手)来使边缘环可移动。测试晶片包括布置在测试晶片的边缘周围并从测试晶片的边缘向外延伸的一个或多个接触指。
测试晶片的有效直径(例如,由接触指的外端限定)大于边缘环的内径。因此,接触指接触边缘环的上表面。以这种方式,升高和降低边缘环相应地升高和降低测试晶片,并且可以独立地控制销以实现边缘环相对于测试晶片的期望定位(例如,高度、倾斜等)。在包括可调节的衬底支撑件的示例中,衬底支撑件可以被降低以使接触指以类似的方式接触边缘环。在测试晶片仅包括一个接触指的示例中,测试晶片可以被(例如,旋转地)定位,使得接触指与边缘环的期望部分对准。因此,升高边缘环以接合接触指将基于边缘环在该位置处的特性来使晶片有区别地地倾斜。
衬底处理室可以包括被定位成测量布置在衬底支撑件上的晶片的各种特性的测量装置(例如,光测量装置(例如光谱反射计或SR、激光光谱反射计或LSR等))。例如,SR可以直接位于衬底支撑件上方以在晶片处向下引导SR信号。光电二极管、电荷耦合器件(CCD)或其它感测装置被布置成感测从晶片的表面反射的SR信号。反射SR信号的特性指示晶片的各种特性。例如,反射SR信号可以指示晶片相对于衬底支撑件是否基本上平坦(即,平行于衬底支撑件的上表面)、是否倾斜等。因此,如果边缘环被升高,则一旦边缘环接合一个或多个接触指,反射SR信号的角度将改变。
相反,如果使用边缘环将晶片从衬底支撑件升高,则边缘环中的不均匀磨损将导致反射SR信号的角度与预期角度(即,对应于晶片在衬底支撑件上基本上平坦的角度)不同。类似地,如果使用边缘环(例如,通过仅致动一个销来倾斜边缘环)来有意地倾斜晶片,则反射SR信号将仍然指示晶片的倾斜是否对应于晶片的预期倾斜。
以这种方式,本文所述的系统和方法被配置为确定边缘环何时接合接触指,并且进一步确定边缘环的各个部分上的磨损。例如,接触指的相应几何形状(例如,接触表面轮廓)可以确定边缘环的哪个部分被测量。如果接触指被布置成接触边缘环的内径,则接触指和边缘环之间的接触可以指示边缘环的内径上的磨损。相反,如果接触指被布置成接触边缘环的中间直径或外径,则接触指和边缘环之间的接触可以指示边缘环的中间直径或外径上的磨损。虽然本文中描述为SR装置,但是本公开的原理可以使用被配置为感测边缘环何时接合测试晶片以及使测试晶片移动、倾斜等的任何测量装置来实现。
现在参考图1,示出了根据本公开的用于蚀刻衬底的层(例如,仅钨或W层)的衬底处理室100的示例。尽管示出和描述了特定的衬底处理室,但是本文描述的方法可以在其他类型的衬底处理系统上实现。
衬底处理室100包括下室区域102和上室区域104。下室区域102由室侧壁表面108、室底表面110和气体分配装置114的下表面限定。
上室区域104由气体分配装置114的上表面和圆顶118的内表面限定。在一些示例中,圆顶118搁置在第一环形支撑件121上。在一些示例中,第一环形支撑件121包括用于将工艺气体输送到上室区域104的一个或多个间隔开的孔123,如下面将进一步描述的。在一些示例中,工艺气体通过一个或多个间隔开的孔123以相对于包括气体分配装置114的平面成锐角的向上方向输送,但也可以使用其它角度/方向。在一些示例中,第一环形支撑件121中的气体流动通道134向一个或多个间隔开的孔123供应气体。
第一环形支撑件121可以搁置在第二环形支撑件125上,第二环形支撑件125限定用于将工艺气体从气体流动通道129输送到下室区域102的一个或多个间隔开的孔127。在一些示例中,气体分配装置114中的孔131与孔127对准。在其他示例中,气体分配装置114具有较小的直径,并且不需要孔131。在一些示例中,工艺气体通过一个或多个间隔开的孔127以相对于包括气体分配装置114的平面成锐角的朝向衬底的向下方向输送,但也可以使用其它角度/方向。
在其他示例中,上室区域104是具有平坦顶表面的圆筒形,并且可以使用一个或多个平坦的感应线圈。在其他示例中,单个室可以与位于喷头和衬底支撑件之间的间隔件(spacer)一起使用。
衬底支撑件122布置在下室区域102中。在一些示例中,衬底支撑件122包括静电卡盘(ESC),但是可以使用其他类型的衬底支撑件。在蚀刻期间,衬底126布置在衬底支撑件122的上表面上。在一些示例中,衬底126的温度可以由加热板132、具有流体通道的可选冷却板以及一个或多个传感器(未示出)来控制,但是可以使用任何其他合适的衬底支撑件温度控制系统。
在一些示例中,气体分配装置114包括喷头(例如,具有多个间隔开的孔133的板128)。多个间隔开的孔133从板128的上表面延伸到板128的下表面。在一些示例中,间隔开的孔133具有在0.4”至0.75”范围内的直径,并且喷头由导电材料(诸如铝)或具有由导电材料制成的嵌入电极的非导电材料(诸如陶瓷)制造。
一个或多个感应线圈140围绕圆顶118的外部部分布置。当通电时,一个或多个感应线圈140在圆顶118内部产生电磁场。在一些示例中,使用上线圈和下线圈。气体注射器142从气体输送系统150-1注射一种或多种气体混合物。
在一些示例中,气体输送系统150-1包括一个或多个气体源152、一个或多个阀154、一个或多个质量流量控制器(MFC)156、和混合歧管158,但是可以使用其它类型的气体输送系统。气体分流器(未示出)可以用于改变气体混合物的流速。(除了来自气体注射器142的蚀刻气体或者代替来自气体注射器142的蚀刻气体)可以使用另一气体输送系统150-2来向气体流动通道129和/或134供应蚀刻气体或蚀刻气体混合物。
在于2015年12月4日提交的名称为“Gas Delivery System”的共同转让的美国专利申请序列No.14/945,680中示出并描述了合适的气体输送系统,其全部内容通过引用并入本文。在于2016年1月7日提交的名称为“Substrate Processing System with MultipleInjection Points and Dual Injector”的共同转让的美国临时专利申请序列No.62/275,837中示出和描述了合适的单气体注射器或双气体注射器和其它气体注射位置,其全部内容通过引用并入本文。
在一些示例中,气体注射器142包括沿向下方向引导气体的中心注射位置和以相对于向下方向成角度注射气体的一个或多个侧注射位置。在一些示例中,气体输送系统150-1将气体混合物的第一部分以第一流速输送到中心注射位置,以及将气体混合物的第二部分以第二流速输送到气体注射器142的侧注射位置。在其他示例中,由气体注射器142输送不同的气体混合物。在一些示例中,气体输送系统150-1将调谐气体输送到气体流动通道129和134和/或输送到处理室中的其它位置,如在下面将描述的。
等离子体发生器170可以用于产生输出到一个或多个感应线圈140的RF功率。等离子体190在上室区域104中产生。在一些示例中,等离子体发生器170包括RF发生器172和匹配网络174。匹配网络174将RF发生器172的阻抗与一个或多个感应线圈140的阻抗匹配。在一些示例中,气体分配装置114连接到诸如地的参考电位。阀178和泵180可以用于控制下室区域102和上室区域104内部的压力并且用于抽空反应物。
控制器176与气体输送系统150-1和150-2、阀178、泵180和/或等离子体发生器170连通,以控制工艺气体的流动、吹扫气体、RF等离子体和室压力。在一些示例中,通过一个或多个感应线圈140在圆顶118内维持等离子体。使用气体注射器142(和/或孔123)从室的顶部引入一种或多种气体混合物,并且使用气体分配装置114将等离子体限制在圆顶118内。
将等离子体限制在圆顶118内允许等离子体物质和通过气体分配装置114流出的所需蚀刻剂物质的体积复合。在一些示例中,没有RF偏置施加到衬底126。结果,在衬底126上不存在活性鞘,并且离子不以任何有限的能量撞击衬底。某些数量的离子将通过气体分配装置114扩散出等离子体区域。然而,扩散的等离子体的量的数量级比位于圆顶118内部的等离子体低。等离子体中的大多数离子在高压下通过体积复合而损失。气体分配装置114的上表面处的表面复合损失也降低气体分配装置114下方的离子密度。
在其他示例中,提供RF偏置发生器184,并且RF偏置发生器184包括RF发生器186和匹配网络188。RF偏置可以用于在气体分配装置114和衬底支撑件之间产生等离子体,或者在衬底126上产生自偏置以吸引离子。控制器176可以用于控制RF偏置。
衬底支撑件122包括边缘环192。根据本公开的原理的边缘环192可相对于衬底126移动(例如,在垂直方向上可向上和向下移动),和/或衬底支撑件122可向上和向下移动。例如,边缘环192和/或衬底支撑件122可以经由响应于控制器176的一个或多个致动器来控制,如在下面更详细地描述的。
衬底126包括定位成接合边缘环192的一个或多个接触指194。例如,升高和降低边缘环192和/或衬底支撑件122选择性地使边缘环192接合接触指194,如下面更详细地描述的。SR装置196布置成在衬底126的表面处引导SR信号。SR信号由传感器(例如,光电二极管)198反射和接收。在边缘环192与接触指194接触(例如,如由反射SR信号所指示的)时,可以使用边缘环192(和/或衬底支撑件122)的高度来计算(例如,如受磨损影响的)边缘环192的尺寸。
现在参考图2A、2B和2C,示出了根据本公开的原理的其上布置有相应的测试衬底或晶片204的示例性衬底支撑件200。衬底支撑件200可以各自包括具有内部部分(例如,对应于ESC)208和外部部分212的基座或底座。在示例中,内部部分208可以独立于外部部分212并且可相对于外部部分212移动(即,在内部部分208被构造成被升高和/或降低的示例中)。控制器216与一个或多个致动器220连通,以选择性地升高和降低边缘环224。仅作为示例,边缘环224示出为处于图2A中的完全降低的位置以及处于图2B和2C中的示例性升高的位置。如示例中所示,致动器220对应于被构造成在垂直方向上选择性地延伸和缩回销228的销致动器。在其他示例中可以使用其他合适类型的致动器。例如,致动器220可以对应于被构造成接合相应销228的螺纹的马达,从而以逐步方式升高和降低销228。仅作为示例,边缘环224对应于陶瓷或石英边缘环。
测试晶片204包括一个或多个接触指232。尽管示出了两个接触指232,但是在示例中,测试晶片204可以包括一个、两个、三个或三个以上接触指232。在图2B中,控制器216被示出与致动器220连通以升高整个边缘环224。例如,控制器216、致动器220和销228可以被配置为使得仅整个边缘环224被升高和降低,或者控制器216可以被配置为单独地控制销228。因此,测试晶片204相对于衬底支撑件200是基本上平坦的(即,平行于衬底支撑件200)。相反,在图2C中,控制器216被示出仅与致动器220中的一个连通以升高销228中的相应一个并且仅升高边缘环224的一部分。因此,测试晶片204相对于衬底支撑件200倾斜。
在衬底支撑件200仅包括致动器220中的一个和相应的销228的示例中,测试晶片204可以旋转并布置在不同位置,以使接触指232与边缘环224的不同部分对准。以这种方式,当边缘环224接合接触指232时,边缘环224的高度指示边缘环224的与接触指232对准的部分的尺寸(例如,磨损)。
在如图3A、3B和3C所示的另一个示例中,边缘环224(和/或边缘环224安装在其上的外部部分212)的绝对高度相对于室底表面可以是固定的。相反,内部部分208(例如,ESC)可相对于边缘环224移动。因此,控制器216可与致动器220连通以相对于边缘环224升高和降低内部部分208,以调节边缘环224相对于衬底支撑件200的高度。内部部分208被示出为处于图3A中的升高位置,以及处于图3B和3C中的示例性降低位置。因此,在测试晶片204包括多个接触指232(例如,如图3B所示)的示例中,整个测试晶片204由边缘环224支撑,并且相对于衬底支撑件200基本上是平坦的(即,平行于衬底支撑件200)。相反,在测试晶片204仅包括接触指232中的一个(例如,如图3C所示)的示例中,边缘环224支撑对应于接触指232的测试晶片204的一部分,并且测试晶片204相对于衬底支撑件200倾斜。测试晶片204可以旋转并布置在不同位置,以使接触指232与边缘环224的不同部分对准。
在上述示例中,边缘环224和内部部分208的相应升高和降低的高度(以及测试晶片204相对于衬底支撑件200的相应取向或倾斜)仅出于说明的目的而示出。在操作中,可以仅升高边缘环224,直到边缘环224接合接触指232中的一个或多个,从而改变反射SR信号的特性。类似地,可以仅降低内部部分208,直到接触指232中的一个或多个接合边缘环224,从而改变反射SR信号的特性。
以这种方式,控制器216被配置成:监测反射SR信号的特性以检测边缘环224何时接合接触指232(反之亦然),确定边缘环224与接触指232接合处的边缘环224(和/或内部部分208)的第一高度,确定第一高度和对应于新的(即未磨损的、最理想的等)边缘环的高度之间的差值,以及基于该差值来计算边缘环224的尺寸。因此,当边缘环224随着时间推移而磨损时,可以计算边缘环224的上表面中的变化,并且可以定位边缘环224(和/或内部部分208)以补偿磨损。例如,如果控制器216计算出边缘环224的内径的厚度减小了0.X毫米(例如,平均围绕边缘环224的圆周),则在衬底的处理期间,控制器216可以将边缘环224升高0.X毫米。此外,控制器216可以确定(并且经由LED、图形界面等向用户指示)边缘环224何时需要维护、更换等。当用户等提示时,控制器216可以周期性地通过上述方法执行边缘环224尺寸的测量。
图4A和4B示出了示例测试晶片260和264的平面图。在图4A中,测试晶片260包括多个(例如三个)接触指268。在图4B中,测试晶片264仅包括接触指268中的一个。接触指268中的一个或多个可以包括凹口272。凹口272可以用于相对于衬底支撑件以期望的对准来定位测试晶片260和264。例如,可以通过检测凹口272(例如,使用照相机或其它图像感测装置)以及相应地计算测试晶片260和264的对准来确定测试晶片260和264的位置。
图5A和5B、6A和6B以及7A和7B示出了示例性边缘环300和测试晶片304。图5A、6A和7A示出了在边缘环300经历磨损之前的边缘环300的高度H。图5B、6B和7B示出了高度H加上偏移量d以补偿边缘环300的相应磨损。例如,H+d对应于当(例如,使用控制器216和反射SR信号)确定边缘环300接合接触指308、312和316时边缘环300的相应高度。接触指308、312和316具有用于测量边缘环300的尺寸的不同几何形状(即,接触表面轮廓)。在图5A和5B中,接触指308被配置为接合边缘环300的内径。如图5B所示,随着边缘环300的内径随时间推移而磨损,边缘环300在不同的高度接合接触指308。因此,边缘环300可以被升高以确定对边缘环300的内径的磨损量(以及尺寸的相应变化),以及实现边缘环的上表面和正被处理的晶片之间的期望关系。相反,接触指312被配置为接合边缘环300的外径,以及接触指316被配置为接合边缘环300的中间直径。以这种方式,可以测量边缘环300的不同部分的尺寸。
现在参考图8,根据本公开的用于测量边缘环的尺寸的示例性方法400在404开始。在408,将测试衬底布置在衬底支撑件上。例如,测试衬底包括如上相对于图1-7所述的接触指,并且接触指在衬底支撑件的边缘环上方延伸。在412,边缘环被升高(或者,在一些示例中,衬底支撑件的内部部分被降低)。在416,方法400(例如,控制器216)确定边缘环(例如,边缘环的内径)是否接合接触指。例如,控制器216基于从测试衬底的表面反射的信号来确定边缘环是否接合接触指。如果为真,则方法400继续到420。如果为假,则方法400继续到412。
在420,方法400(例如,控制器216)基于边缘环何时接合接触指来确定衬底处理系统的至少一个特性。例如,控制器216基于在边缘环接合接触指时边缘环(或在内部部分被降低的示例中的衬底支撑件的内部部分)的位置/高度、边缘环被升高的总量等来计算边缘环的磨损。方法400在424结束。
前面的描述本质上仅仅是说明性的,并且绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,虽然本公开包括特定示例,但是本公开的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方式在上面被描述为具有某些特征,但是相对于本公开的任何实施方式描述的那些特征中的任何一个或多个,可以在任何其它实施方式的特征中实现和/或与任何其它实施方式的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方式不是相互排斥的,并且一个或多个实施方式彼此的置换保持在本公开的范围内。
使用各种术语来描述元件之间(例如,模块之间、电路元件之间、半导体层之间等)的空间和功能关系,各种术语包括“连接”、“接合”、“耦合”、“相邻”、“紧挨”、“在...顶部”、“在...上面”、“在...下面”和“设置”。除非将第一和第二元件之间的关系明确地描述为“直接”,否则在上述公开中描述这种关系时,该关系可以是直接关系,其中在第一和第二元件之间不存在其它中间元件,但是也可以是间接关系,其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个、B中的至少一个和C中的至少一个”。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何工艺,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、进出工具和其他输送工具和/或连接到特定系统或与特定系统接口的装载锁的晶片输送。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用终点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式输送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或在晶片厂(fab)主机系统的全部或一部分中,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、从多个制造操作研究趋势或性能度量,以改变当前处理的参数、设置要跟随当前处理的处理步骤、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户接口,然后将该参数和/或设置从远程计算机输送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个离散控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。

Claims (17)

1.一种衬底处理系统中的衬底支撑件,所述衬底支撑件包括:
内部部分;
围绕所述内部部分的边缘环;以及
控制器,其被配置成:
选择性地升高所述边缘环,
接收指示布置在所述内部部分上的测试衬底的定位的测量信号,
基于指示所述测试衬底的所述定位的所述测量信号确定所述边缘环何时接合所述测试衬底,以及
基于对所述边缘环何时接合所述测试衬底的所述确定来计算所述边缘环的至少一个特性。
2.根据权利要求1所述的衬底支撑件,其中,为了确定所述边缘环何时接合所述测试衬底,所述控制器还被配置为确定使所述边缘环接合所述测试衬底的所述边缘环被升高的量。
3.根据权利要求1所述的衬底支撑件,其中所述至少一个特性是所述边缘环的尺寸。
4.根据权利要求1所述的衬底支撑件,还包括所述测试衬底,其中所述测试衬底包括从所述测试衬底的边缘向外延伸的至少一个接触指,并且其中所述至少一个接触指被设置成接合所述边缘环。
5.根据权利要求4所述的衬底支撑件,其中所述接触指被布置成接合所述边缘环的内径。
6.根据权利要求1所述的衬底支撑件,其中,为了确定所述边缘环何时接合所述测试衬底,所述控制器被配置成使用所述测量信号监测从所述测试衬底的表面反射的信号。
7.根据权利要求1所述的衬底支撑件,其中,为了计算所述边缘环的所述至少一个特性,所述控制器被配置为确定使所述边缘环接合所述测试衬底的所述边缘环被升高的量。
8.根据权利要求1所述的衬底支撑件,其还包括:
多个销,其定位成支撑所述边缘环;以及
多个致动器,其响应于所述控制器并被布置成选择性地升高和降低所述多个销中的相应销。
9.一种衬底处理系统,其包括根据权利要求1所述的衬底支撑件并且还包括测量装置,其被配置成产生基于所述测试衬底的所述定位的所述测量信号。
10.一种确定衬底处理系统中的衬底支撑件的边缘环的特性的方法,所述方法包括:
将测试衬底布置在所述衬底支撑件的内部部分上;
升高所述边缘环;
接收指示布置在所述衬底支撑件的所述内部部分上的所述测试衬底的定位的测量信号;
基于指示所述测试衬底的所述定位的所述测量信号确定所述边缘环何时接合所述测试衬底;以及
基于对所述边缘环何时接合所述衬底的确定来计算所述边缘环的至少一个特性。
11.根据权利要求10所述的方法,其中确定所述边缘环何时接合所述衬底包括确定所述边缘环的内径何时接合所述测试衬底以及确定使所述边缘环的所述内径接合所述测试衬底的所述边缘环被升高的量。
12.根据权利要求10所述的方法,其中所述至少一个特性是所述边缘环的尺寸。
13.根据权利要求10所述的方法,其中所述衬底包括从所述测试衬底的边缘向外延伸的至少一个接触指,并且其中所述至少一个接触指被布置成接合所述边缘环。
14.根据权利要求13所述的方法,其中所述接触指被布置成接合所述边缘环的内径。
15.根据权利要求10所述的方法,其中确定所述边缘环何时接合所述测试衬底包括使用所述测量信号监测从所述测试衬底的表面反射的信号。
16.根据权利要求10所述的方法,其中计算所述边缘环的所述至少一个特性包括确定使所述边缘环接合所述衬底的所述边缘环被升高的量。
17.根据权利要求10所述的方法,其中升高所述边缘环包括使用被定位成支撑所述边缘环的多个销以及被布置成选择性地升高和降低所述多个销中的相应销的多个致动器来升高所述边缘环。
CN201910856579.4A 2016-03-29 2017-01-17 用于确定边缘环特性的系统和方法 Active CN110767525B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662314659P 2016-03-29 2016-03-29
US62/314,659 2016-03-29
US15/403,786 2017-01-11
US15/403,786 US11011353B2 (en) 2016-03-29 2017-01-11 Systems and methods for performing edge ring characterization
CN201710036188.9A CN107240541B (zh) 2016-03-29 2017-01-17 用于执行边缘环表征的系统和方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201710036188.9A Division CN107240541B (zh) 2016-03-29 2017-01-17 用于执行边缘环表征的系统和方法

Publications (2)

Publication Number Publication Date
CN110767525A true CN110767525A (zh) 2020-02-07
CN110767525B CN110767525B (zh) 2022-08-02

Family

ID=59959706

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201910856579.4A Active CN110767525B (zh) 2016-03-29 2017-01-17 用于确定边缘环特性的系统和方法
CN201710036188.9A Active CN107240541B (zh) 2016-03-29 2017-01-17 用于执行边缘环表征的系统和方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201710036188.9A Active CN107240541B (zh) 2016-03-29 2017-01-17 用于执行边缘环表征的系统和方法

Country Status (5)

Country Link
US (1) US11011353B2 (zh)
JP (1) JP6976686B2 (zh)
KR (1) KR20170113014A (zh)
CN (2) CN110767525B (zh)
TW (1) TWI774652B (zh)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
JP6966286B2 (ja) * 2017-10-11 2021-11-10 東京エレクトロン株式会社 プラズマ処理装置、フォーカスリングの昇降制御方法およびフォーカスリングの昇降制御プログラム
CN109841536A (zh) * 2017-11-29 2019-06-04 长鑫存储技术有限公司 边缘补偿系统、晶圆载台系统及晶圆安装方法
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
JP7037964B2 (ja) * 2018-03-09 2022-03-17 東京エレクトロン株式会社 測定器、及びフォーカスリングを検査するためのシステムの動作方法
US10600623B2 (en) 2018-05-28 2020-03-24 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
KR102433436B1 (ko) 2018-07-04 2022-08-17 삼성전자주식회사 기판 처리 시스템, 기판 처리 시스템에서의 에지 링 정렬 검사 방법 및 이를 수행하기 위한 원반형 비젼 센서
JP6859426B2 (ja) * 2018-08-13 2021-04-14 ラム リサーチ コーポレーションLam Research Corporation エッジリングの位置決めおよびセンタリング機構を組み込んだプラズマシース調整のための交換可能および/または折りたたみ式エッジリングアセンブリ
JP7076351B2 (ja) * 2018-10-03 2022-05-27 東京エレクトロン株式会社 プラズマ処理装置、及びリング部材の厚さ測定方法
JP2020087969A (ja) * 2018-11-15 2020-06-04 東京エレクトロン株式会社 プラズマ処理装置、及びリング部材の形状測定方法
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP2020115499A (ja) * 2019-01-17 2020-07-30 東京エレクトロン株式会社 プラズマ処理装置、及びリング部材の位置ずれ測定方法
WO2020180656A1 (en) * 2019-03-06 2020-09-10 Lam Research Corporation Measurement system to measure a thickness of an adjustable edge ring for a substrate processing system
US11279032B2 (en) 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
US10964584B2 (en) 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
US11913777B2 (en) * 2019-06-11 2024-02-27 Applied Materials, Inc. Detector for process kit ring wear
US11626305B2 (en) 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
KR20210002175A (ko) 2019-06-26 2021-01-07 삼성전자주식회사 센서 모듈 및 이를 구비하는 식각 장치
CN112216646A (zh) * 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
CN112701027A (zh) * 2019-10-22 2021-04-23 夏泰鑫半导体(青岛)有限公司 等离子体处理装置及边缘环的更换方法
US11370114B2 (en) 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
CN111341698B (zh) * 2020-03-09 2022-07-26 苏州能讯高能半导体有限公司 一种刻蚀设备
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6206976B1 (en) * 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
US20020072240A1 (en) * 2000-12-07 2002-06-13 Semiconductor Leading Edge Technologies, Inc. Plasma etching apparatus with focus ring and plasma etching method
US20020071128A1 (en) * 1996-02-16 2002-06-13 Doan Trung T. Endpoint detector and method for measuring a change in wafer thickness in chemical-mechanical polishing of semiconductor wafers and other microelectronic substrates
US20040031338A1 (en) * 2002-08-13 2004-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber wafer detection
KR20060121362A (ko) * 2005-05-24 2006-11-29 삼성전자주식회사 정전척 에지 링 측정 장치
US20100025369A1 (en) * 2008-07-30 2010-02-04 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US20160039126A1 (en) * 2013-03-15 2016-02-11 Nanonex Corporation Imprint lithography system and method for manufacturing

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
JP2001230239A (ja) * 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US20040040664A1 (en) 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US7064812B2 (en) 2003-08-19 2006-06-20 Tokyo Electron Limited Method of using a sensor gas to determine erosion level of consumable system components
JP2006173223A (ja) 2004-12-14 2006-06-29 Toshiba Corp プラズマエッチング装置およびそれを用いたプラズマエッチング方法
JP4006004B2 (ja) 2004-12-28 2007-11-14 株式会社東芝 半導体製造装置及び半導体装置の製造方法
KR20080023569A (ko) 2006-09-11 2008-03-14 주식회사 하이닉스반도체 식각프로파일 변형을 방지하는 플라즈마식각장치
KR100783062B1 (ko) 2006-12-27 2007-12-07 세메스 주식회사 기판 지지 장치, 플라즈마 식각 장치 및 플라즈마 식각방법
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
US7988813B2 (en) 2007-03-12 2011-08-02 Tokyo Electron Limited Dynamic control of process chemistry for improved within-substrate process uniformity
JP5317424B2 (ja) 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US7832354B2 (en) 2007-09-05 2010-11-16 Applied Materials, Inc. Cathode liner with wafer edge gas injection in a plasma reactor chamber
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
JP2009188173A (ja) 2008-02-06 2009-08-20 Tokyo Electron Ltd 基板処理方法及び基板処理装置
US8409995B2 (en) 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
JP2011210853A (ja) 2010-03-29 2011-10-20 Tokyo Electron Ltd 消耗量測定方法
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
KR101926571B1 (ko) 2011-05-31 2018-12-10 어플라이드 머티어리얼스, 인코포레이티드 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US20140273460A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Passive control for through silicon via tilt in icp chamber
US9425077B2 (en) 2013-03-15 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus with transportable edge ring for substrate transport
CN104299929A (zh) 2013-07-19 2015-01-21 朗姆研究公司 用于原位晶片边缘和背侧等离子体清洁的系统和方法
CN103730318B (zh) 2013-11-15 2016-04-06 中微半导体设备(上海)有限公司 一种晶圆边缘保护环及减少晶圆边缘颗粒的方法
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
CN104752141B (zh) 2013-12-31 2017-02-08 中微半导体设备(上海)有限公司 一种等离子体处理装置及其运行方法
CN104851832B (zh) 2014-02-18 2018-01-19 北京北方华创微电子装备有限公司 一种固定装置、反应腔室及等离子体加工设备
JP6204869B2 (ja) 2014-04-09 2017-09-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN105336561B (zh) 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10438833B2 (en) * 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10312121B2 (en) * 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020071128A1 (en) * 1996-02-16 2002-06-13 Doan Trung T. Endpoint detector and method for measuring a change in wafer thickness in chemical-mechanical polishing of semiconductor wafers and other microelectronic substrates
US6206976B1 (en) * 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
US20020072240A1 (en) * 2000-12-07 2002-06-13 Semiconductor Leading Edge Technologies, Inc. Plasma etching apparatus with focus ring and plasma etching method
US20040031338A1 (en) * 2002-08-13 2004-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber wafer detection
KR20060121362A (ko) * 2005-05-24 2006-11-29 삼성전자주식회사 정전척 에지 링 측정 장치
US20100025369A1 (en) * 2008-07-30 2010-02-04 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US20160039126A1 (en) * 2013-03-15 2016-02-11 Nanonex Corporation Imprint lithography system and method for manufacturing

Also Published As

Publication number Publication date
US11011353B2 (en) 2021-05-18
TWI774652B (zh) 2022-08-21
CN110767525B (zh) 2022-08-02
US20170287682A1 (en) 2017-10-05
JP6976686B2 (ja) 2021-12-08
CN107240541B (zh) 2019-10-15
CN107240541A (zh) 2017-10-10
JP2017183701A (ja) 2017-10-05
TW201735235A (zh) 2017-10-01
KR20170113014A (ko) 2017-10-12

Similar Documents

Publication Publication Date Title
CN110767525B (zh) 用于确定边缘环特性的系统和方法
US11342163B2 (en) Variable depth edge ring for etch uniformity control
US11424103B2 (en) Control of on-wafer cd uniformity with movable edge ring and gas injection adjustment
US11605546B2 (en) Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10541168B2 (en) Edge ring centering method using ring dynamic alignment data
US10872747B2 (en) Controlling showerhead heating via resistive thermal measurements
US20230083737A1 (en) System, method, and user interface for edge ring wear compensation
CN112868084B (zh) 衬底处理系统和用于操作衬底处理系统的方法
US20230298859A1 (en) Optimizing edge radical flux in a downstream plasma chamber
US20220243323A1 (en) Use of rotation to correct for azimuthal non-uniformities in semiconductor substrate processing
US20230369025A1 (en) High precision edge ring centering for substrate processing systems

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant