CN1777696B - 用于原子层沉积的方法和设备 - Google Patents

用于原子层沉积的方法和设备 Download PDF

Info

Publication number
CN1777696B
CN1777696B CN2004800106570A CN200480010657A CN1777696B CN 1777696 B CN1777696 B CN 1777696B CN 2004800106570 A CN2004800106570 A CN 2004800106570A CN 200480010657 A CN200480010657 A CN 200480010657A CN 1777696 B CN1777696 B CN 1777696B
Authority
CN
China
Prior art keywords
layer deposition
flow rate
atomic layer
conductance
purge
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CN2004800106570A
Other languages
English (en)
Other versions
CN1777696A (zh
Inventor
刘辛叶
托马斯·E·塞德尔
爱德华·李
肯·多林
萨桑甘·拉马纳坦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Yogi Ness Co
Eugene Technology Co Ltd
Original Assignee
Genus Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Genus Inc filed Critical Genus Inc
Publication of CN1777696A publication Critical patent/CN1777696A/zh
Application granted granted Critical
Publication of CN1777696B publication Critical patent/CN1777696B/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

用不同的吹扫流量,及在某些情况下用不同的泵送容量,实施原子层沉积循环的不同阶段。同时使反应腔保持在标称恒定的压力下。在某些情况下,吹扫流量可以利用不同的气体和/或通过不同的流动路线提供。这些操作提供原子层沉积循环时间的改善和在消耗品使用方面提供经济的操作。在某些实施例中,利用环形节流阀提供一种机构,所述机构用于控制来自反应腔的气体流动路线中的下游流量限制流导。

Description

用于原子层沉积的方法和设备
相关申请
本申请涉及美国临时专利申请No.60/455,034并要求其优先权,所述申请No.60/455,034标题为“用于原子层沉积循环时间改善的方法和设备”,申请日为03/14/2003,受让给本申请的受让人,并包括在本文中作为参考文献。
技术领域
本发明涉及薄膜工艺,更具体地说,涉及用于改善原子层沉积(ALD)工艺循环时间的方法和设备。
背景技术
在材料沉积领域中,称之为原子层沉积(ALD)的工艺作为一种有希望的选择已经显示出扩展化学汽相沉积(CVD)技术的能力。一般原子层沉积是一种工艺,其中把常规的CVD工艺分成若干单个的按顺序的沉积步骤,所述步骤在理论上实现单分子或原子层厚度水平上的饱和(并表现为自限生长)。在每个沉积步骤之后,必需从反应腔中除去其中所用的未反应的化学先驱物(及不想要的反应副产品)。现有处理技术包括所谓的“泵送”或“抽空”方法和“吹扫(purge)”或“流动”方法。在这些方法中,吹扫或流动方法已变成用于原子层沉积反应器商业化生产选定的方法,因为通过吹扫除去先驱物的效率与通过抽空所提供的效率相比得到改善。参见由H.S.Nalwa(编辑)的薄膜材料手册,卷1,第二章(2002)中,M.Ritala和M.Leskela所著,“沉积和加工”。
在吹扫或流动方法中,将化学分子先驱物分开加入一个反应器中。通常,每次先驱物暴露之后,接着用一种惰性气体吹扫,以便在刚好加入下一个先驱物之前,帮助从反应器中除去过量的反应先驱化学物质。这种步骤顺序可以重复几次,以便完全形成所希望的材料膜。实施这些顺序的步骤或阶段的总时间叫做循环时间(CT),这些步骤或阶段为:(i)暴露先驱物A,(ii)惰性或中性气体吹扫(用于除去未反应的先驱物A),(iii)暴露先驱物B,及(iv)惰性或中性气体吹扫(用于除去未反应的先驱物B)。把上述4个阶段分别叫做A的暴露阶段,A的吹扫阶段,B的暴露阶段,和B的吹扫阶段。把包括A的暴露阶段和后面A的吹扫阶段的时间阶段叫做半循环A。同样,把包括B的暴露阶段和后面B的吹扫阶段的时间阶段叫做半循环B。
为了形成更大的晶片产量,半导体制造厂家的目标是减少原子层沉积工艺的CT。在文献中报导了许多脉冲/吹扫时间,并普遍发现,吹扫时间比暴露脉冲时间长。当希望在大面积衬底上有很好的薄膜均匀度时,这尤其如此。因此,在目前技术水平下,上述原子层沉积循环的吹扫时间段一般来说是CT的限制因素。实际上,典型的情况是所花的吹扫时间比暴露时间长1.5-5倍。注意即使是在等离子体辅助的原子层沉积工艺情况下也是如此,在上述等离子体辅助的原子层沉积工艺中,只需要一次吹扫过程。参见受让给本发明受让人的Sneh的美国专利6,200,893。
为了增加所希望的晶片产量,必需减少循环时间,尤其是减少吹扫时间。可以实现的一种方法是增加吹扫气体流量。提供较高流量下的吹扫气体往往会使完成吹扫阶段所需的时间减至最少。然而,如果采用常规的原子层沉积反应器设计(该设计利用恒定的吹扫气体流量并利用下游节流阀来保持恒定的反应腔压力),这往往会增加所需的先驱物暴露时间。这是由于增加吹扫气体的流量(所述吹扫气体在暴露阶段期间作为一种中性载体)往往会比较低的吹扫气体流量情况能更快地将化学物先驱物赶出反应腔。因此,可以预料单位时间间隔内将失去更多的化学物先驱物,所以必然增加暴露时间。
为了避免这种情况,可以实施一种双级吹扫气体流量。也就是说,在先驱物暴露期间,可以用比较低的吹扫气体流量(以使反应腔内先驱物滞留时间最长),而在吹扫阶段期间可以用比较高的吹扫气体流量(以使所需的吹扫时间减至最少)。实施这种双级吹扫气体流量的第一个已知系统已由Steven Shatas为San Jose,CA模块化工艺技术(“MPT”)公司在1998年研制出来。后来,在1999年,Shatas和MPT(与它的顾客之一协作)组合使用由一对质量流量控制器驱动的双级吹扫气流和反应腔下游的快速转换节流阀,以同时控制流量和反应器压力。这个系统使操作人员能在原子层沉积循环期间改变先驱物的滞留时间,同时在先驱物除去期间提供低的滞留时间和在暴露期间提供长的滞留时间。
最近,Shen描述了一种双级流动系统,所述双级流动系统在先驱物暴露期间利用一种吹扫气体旁路进入一个抽吸腔(位于反应腔下游)中。见O.Sneh,WO 03/062490A2,“原子层沉积设备和方法”(2003.7.31)。在这种所谓的“同步调节流量抽吸”(SMFD)法中,在吹扫期间保持高流量穿过反应腔,但在沉积期间采用低流量。低流量通过经由一反应器旁通管道将相当大一部分吹扫气体排放到下游抽吸腔中达到。因此,在沉积期间,只有一部分吹扫气流通往反应腔,因此能让化学物先驱物于其中保持足够的滞留时间。
用于提供双级或多级流的Shatas系统和Sneh系统二者都有一些缺点。在Shatas系统中,利用质量流量控制部件提供双吹扫气源。这些部件的响应速度受到限制,并且在气源不注入反应器中期间还需要辅助的中性吹扫气体流量(排放)。这就使吹扫气体的利用效率比较低。同样,在Sneh的SMFD装置中,吹扫气源在暴露期间绕过反应器,但吹扫气体从它的气源总是以高速流动。这往往会浪费吹扫气体。因此,需要一些新的方法和设备来减少吹扫时间而同时保持足够的先驱物滞留时间。
发明内容
在一个实施例中,本发明提供用一第一吹扫流量和第一泵送容量实施原子层沉积工艺的暴露阶段(在某些情况下,所述暴露阶段可以是一种等离子体辅助的阶段),及用一第二吹扫流量和第二泵送容量实施原子层沉积工艺的吹扫阶段,上述第二吹扫流量大于第一吹扫流量,而上述第二泵送容量大于第一泵送容量。这些操作程序可以例如通过操作反应腔下游的节流阀,以使节流阀在吹扫阶段期间比暴露阶段期间打开更多来实施,而同时使实施该原子层沉积工艺的反应腔保持在标称恒定的压力下。在某些情况下,第一吹扫流量和第二吹扫流量可以利用不同的气体和/或可以通过不同的流动路线提供。
在某些情况下,第二吹扫流量和第二泵送容量可以在暴露阶段期间材料沉积终止之前开始。可供选择地,或者此外,第二吹扫流量和第二泵送容量可以如此起动,以便阻止(break)反应腔内的紊流(比如,帮助除去各先驱物)。另外,原子层沉积工艺的第二暴露阶段可以用第三吹扫流量和第三泵送容量实施,上述第三吹扫流量和第三泵送容量分别与第一吹扫流量和第一泵送容量不同。在某些情况下,第三吹扫流量可以是没有吹扫流量。
在各种实施例中,第一吹扫流量可以在暴露阶段期间完成材料沉积之前,在时间上与第一泵送容量切换到第二泵送容量基本上是重合的点处切换到第二吹扫流量,或者在时间上与第一泵送容量切换到第二泵送容量不同的点处转换到第二吹扫流量。在某些情况下,各吹扫流量可以通过下列方式来切换,即在与位于反应腔下游的第二流量限制流导被切换成与来自反应腔的第二气体流动路线断开的基本上重合的时间点处,将位于反应腔上游的第一流量限制流导切换成与通到反应腔的第一气体流动路线断开。
在还有另一些实施例中,本发明可供在半循环内,利用第一吹扫流量实施原子层沉积工艺的暴露阶段,及利用比第一吹扫流量大的第二吹扫流量实施原子层沉积工艺的吹扫阶段,上述第一吹扫流量部分地由反应腔内一个环形气流通道的第一流导限定,而上述第二吹扫流量部分地由反应腔内环形气流通道的第二流导限定。反应腔的压力可以在暴露和吹扫阶段期间保持标称恒定,并且在某些情况下第一吹扫流量和第二吹扫流量可以利用不同的气体和/或通过不同的流动路线提供。
本发明还有另一些实施例提供利用第一压力下的第一吹扫流量实施原子层沉积工艺的暴露阶段,及利用大于第一压力的第二压力下的第二吹扫流量实施原子层沉积工艺的吹扫阶段,上述第一吹扫流量通过位于反应腔上游第一气流通道内的第一流量限制流导,和通过位于反应腔下游第二气流通道内的第二流量限制流导,上述第二吹扫流量经过位于第一气流通道内的第三流量限制流导和位于第二气流通道内的第四流量限制流导,其中第一流量限制流导与第二流量限制流导二者比值等于第三流量限制流导与第四流量限制流导二者的比值,并且在原子层沉积工艺期间使反应腔的压力保持标称恒定的。
另一个实施例提供一种原子层沉积系统,所述原子层沉积系统包括:一个第一吹扫流量通道,所述第一吹扫流量通道连接反应器的上游;一个第二吹扫流量通道,所述第二吹扫流量通道连接反应器的上游;及一个泵送装置,所述泵送装置连接反应器的下游,并构造成在当第一吹扫流量通道工作时的第一泵送容量和当第二吹扫流量通道工作时的第二泵送容量之间切换,上述第二泵送容量大于第一泵送容量。第一和第二吹扫流量通道可以享有一共同的气流歧管,所述共同的气流歧管具有一个或多个先驱物注入通道,或者至少一个吹扫流量通道可以独立于另一个直接连接到反应器上。在某些情况下,第一和第二泵送容量包括一个实际泵的两种操作方式。
本发明另外一些实施例提供一种原子层沉积系统,所述原子层沉积系统具有:一个吹扫流量通道,所述吹扫流量通道通过可选择的上游流量限制流导连接到反应腔的上游,上述上游流量限制流导具有两种或多种操作方式,该操作方式包括低流量方式和高流量方式;及一个泵送装置,所述泵送装置通过可选择的下游流量限制流导连接反应器的下游,上述下游流量限制流导具有两种或多种操作方式,该操作方式包括一种低流量方式和一种高流量方式,其中上游流量限制流导和下游流量限制流导构造成以时间-相位来相互切换操作方式。上游流量限制流导可以构造成在下游流量限制流导切换操作方式之前,切换操作方式。在某些情况下,下游流量限制流导包括一个节流阀,所述节流阀可以是位于反应腔内的环形节流阀。
本发明还有另一些实施例提供一种原子层沉积系统,所述原子层沉积系统包括一个气体输送系统,该气体输送系统连接到反应腔,所述反应腔具有设置于其中的一个环形节流阀,节流阀位于从反应腔到一个泵送系统的一个气流通道内,上述泵送系统连接反应腔的下游。环形节流阀具有两种或多种操作方式,每种操作方式都构造成提供一种与反应腔不同的流动路线流导。
本发明的技术方案如下:
根据本发明,提供一种原子层沉积方法,包括利用第一吹扫流量和第一泵送容量实施原子层沉积工艺的暴露阶段,及利用大于第一吹扫流量的第二吹扫流量和大于第一泵送容量的第二泵送容量实施原子层沉积工艺的吹扫阶段,其中,在原子层沉积工艺的暴露阶段和吹扫阶段中的每一个的过程中,处于反应腔上游的上游流量限制流导与处于所述反应腔下游的下游流量限制流导的比值被保持标称恒定。
根据本发明还提供一种原子层沉积方法,包括用第一吹扫流量实施原子层沉积循环的第一阶段和用比第一吹扫流量大的第二吹扫流量实施原子层沉积循环的第二阶段,上述第一吹扫流量部分地由反应腔内一个环形气流通道的第一流导限定,原子层沉积工艺在上述反应腔内进行,而上述第二吹扫流量部分地由反应腔内环形气流通道的第二流导限定,其中,在原子层沉积工艺的第一阶段和第二阶段中的每一个的过程中,处于反应腔上游的上游流量限制流导与处于所述反应腔下游的下游流量限制流导的比值被保持标称恒定。
根据本发明还提供一种原子层沉积方法,包括在第一压力下用第一吹扫流量实施原子层沉积工艺的暴露阶段和在大于第一压力的第二压力下用第二吹扫流量实施原子层沉积工艺的吹扫阶段,上述第一吹扫流量经过位于一个反应腔上游第一气流通道内的第一流量限制流导和位于反应腔下游第二气流通道内的第二流量限制流导,原子层沉积工艺在上述反应腔内实施,上述第二吹扫流量经过位于第一气流通道内的第三流导和位于第二气流通道中的第四流导,其中第一流量限制流导与第二流量限制流导的流导之比值等于第三流导与第四流导的流导的比值,并且第一流量限制流导与第二流量限制流导的比值被保持标称恒定。
根据本发明还提供一种原子层沉积系统,包括:一个带有上游流量限制流导的第一吹扫流量通道,所述第一吹扫流量通道连接反应腔的上游;一个第二吹扫流量通道,所述第二吹扫流量通道连接反应腔的上游;一个泵送装置,所述泵送装置连接反应腔的下游,并构造成在第一吹扫流量通道工作时的第一泵送容量和在第二吹扫流量通道工作时的第二泵送容量之间切换,上述第二泵送容量大于第一泵送容量,其中,所述上游流量限制流导与处于所述反应腔下游的下游流量限制流导的比值被保持标称恒定。
根据本发明还提供一种原子层沉积系统,包括:一个吹扫流量通道,所述吹扫流量通道通过可选择的上游流量限制流导连接反应腔的上游,上述可选择的上游流量限制流导供对两种或多种操作方式进行选择用,所述两种或多种操作方式包括一种低流量方式和一种高流量方式;及一个泵送装置,所述泵送装置通过可选择的下游流量限制流导连接反应腔的下游,上述可选择的下游流量限制流导供两种或多种操作方式进行选择用,所述两种或多种操作方式包括一种低流量方式和一种高流量方式,其中上游流量限制流导和下游流量限制流导构造成以时间-相位相互切换操作方式并且保持标称恒定的所述上游流量限制流导与下游流量限制流导的比值。
根据本发明还提供一种原子层沉积系统,包括:一个气体输送系统,所述气体输送系统连接到一个反应腔,所述反应腔具有一个设置在其中的环形节流阀,所述环形节流阀位于从反应腔到一个泵送系统的气流通道内,上述泵送系统连接反应腔的下游,其中,上述环形节流阀结合有下游流量限制流导,所述下游流量限制流导连接到反应腔的下游,以及吹扫流量通道,其带有连接反应腔上游的上游流量限制流导,其中,并且在原子层沉积工艺的操作方式期间,所述上游流量限制流导与下游流量限制流导的比值被被保持标称恒定。
根据本发明还提供一种原子层沉积设备,包括一个第一中性气体管线和一个不同的第二中性气体管线,上述第一中性气体管线构造成在原子层沉积循环的吹扫阶段期间以连接一个反应空间的上游的可选择的上游流量限制流导注入一种流量等级的Ar气流,而上述第二中性气体管线构造成以连接反应空间的下游的可选择的下游流量限制流导注入一种流量等级的N2气流,其中,在原子层沉积循环的吹扫阶段期间,可选择的上游流量限制流导和下游流量限制流导(i)供对两种或多种操作方式进行选择用,所述两种或多种操作方式包括一种低流量方式和一种高流量方式,并且(ii)被选择以提供被保持标称恒定的上游流量限制流导与下游流量限制流导的比值。
附图说明
本发明通过附图和非限制性实施例示出,其中:
图1示出一种具有分流气体歧管的常规原子层沉积工艺设备。
图2示出示于在恒定的压力和流量下原子层沉积反应器运行的常规(理想化)的开始状态。
图3A示出由于加入化学物先驱物原子层沉积反应器流量变化图。
图3B示出化学物先驱物加入对原子层沉积反应器压力的影响曲线。
图4示出一根据本发明的实施例构造成具有两级吹扫气源和双泵送容量布置的原子层沉积工艺设备。
图5示出按照本发明另一个实施例,采用一种直接连接的吹扫气流管道。
图6示出一种原子层沉积设备,所述原子层沉积设备构造成通过使用按照本发明另一个实施例的跟踪流导提供多级吹扫流量。
图7示出一种原子层沉积设备,所述原子层沉积设备构造成利用按照本发明另一个实施例的直接连接的吹扫气体管道跟踪流导操作。
图8示出一种原子层沉积设备,所述原子层沉积设备构造成具有按照本发明另一个实施例的环形节流阀。
图9示出用于按照本发明一些实施例的各种环形节流阀实例。
为了便于参考起见,附图中的标记通常是取“图编号”再在后面加两位数××;例如,在图4上的标号可以用数字4××表示;在图5上,标记可以用数字5××表示;依此类推。在某些情况下,一个标记可以用在一个图上,并且可以在另一些图上利用相同的标记(图编号指示不同),来指相同的物项。
具体实施方式
这里所描述的是改善原子层沉积工艺中循环时间的方法和系统。在各种实施例中,本发明利用压力控制部件和/或非能动流导部件与一双(或者,更一般地是多)泵(或者两个或者多个泵送容量)一起提供多级流动源。与上述多级流动系统不同,在本发明中高吹扫流量在暴露脉冲期间不一定流动,在消耗品使用上实现更经济地工作。在某些实施例中,通过用压力控制部件代替质量流量控制器,实现低成本和更好的动态的随时间变化的性能。另外,在某些实施例中,用一种独立的直接连接的中性气流管道代替一种吹扫旁路,提供多级吹扫源能力,而不需要高吹扫流量的连续操作。
除了减少消耗品的消耗之外,由本发明两级或多级流量吹扫操作所提供的另一个好处是更好的分级范围。为了达到更好的分级范围,需要更高的暴露(定义为分压乘以时间)。通过限制泵送速率和先驱物的稀释,本发明保证在规定的暴露阶段内更多的先驱物分子到达大高宽比/复杂延伸结构的两个端部和/或沟槽底部。
应该记住,本文所描述的各种不同实施例仅是旨在举例说明包括本发明的系统和方法,而不是限制本发明的总体范围。在原子层沉积工艺期间改变滞留时间的时间相应式多级流量的原理,可以用既改变流量又控制在原子层沉积循环中的压力的方法说明,并且有许多不同的实施操作程序的方法。例如,在没有约束限制件的情况下,如在非恒定反应腔压力下操作,理想的顺序可以是:
i)在反应剂暴露时间期间通过命令(或者移向闭合位置)关闭一个下游节流阀。这能使先驱物在反应腔中滞留足够长的时间,以便实现基本上约99%或大于99%完成饱和的原子层沉积半反应。
ii)尽可能快地除去多余的先驱物。这可以通过在吹扫阶段期间利用上游更高压力等级的气源切换作用,将吹扫气体流量驱使到更高的值做到。
然而,在反应腔压力被限制在一个名义恒压下,本发明可以在反应剂暴露期间通过保持节流阀在低流量下打开较少和在高流量下打开较多同样实现这一点。另外,由于第一先驱物(A)的原子层沉积半反应可能与第二先驱物(B)的原子层沉积半反应有很大不同,所以在A循环和B循环期间的流量可以不同。为了适应由于不同先驱物要求而改变暴露流量的需要,本发明提供一种包括两个或多个流量级别的“多值”或“多级”流量。
因此总体上本发明提供一些系统和方法,所述系统和方法在暴露时间期间提供与低泵送容量协调匹配的低流量等级,而在吹扫时间期间提供与较高泵送容量协调匹配的高流量等级。在该技术中已知的其它循环时间改善技术,如由于采用流量控制器或压力控制法(见比如受让给本发明受让人的美国专利6,503,330,包括在本文中作为参考文献)的化学物质辅助转送的技术,或者从“装料管”输送的原子层沉积先驱物(见比如Gadgil等人申请的WO 0079019)或者加压的先驱物体积,都可以与本方法和设备结合使用。
在详细描述本发明的实施例之前,提供原子层沉积反应器设计的现有技术的一些背景是有益的。这将给不熟悉该技术的读者提供足够的更好理解本发明的基础。因此,我们首先参见图1,图1示出一种原子层沉积工艺系统100,并摘自上面引用的受让人的美国专利。
原子层沉积工艺系统100包括一个分流气体切换歧管102,通过所述分流气体切换歧管102可以将一种中性吹扫气体(来自气源104)及一种或多种化学物质源(或工艺气体)106和108输送到反应腔110中。中性载气可以伴随或者不伴随有化学物质先驱物。在反应腔110内有一个加热器组件112,一个半导体晶片114搁在上述加热器组件112上。当两个截止阀116和118关闭,中性气体流过反应腔110时,建立基准的吹扫流量和压力条件。一般,分流歧管可以包括一个或多个通向反应器110的注入管道;而所示出的系统100具有双注入。
在先驱物注入之前,反应器110中的流量和压力由下列条件决定:在吹扫气源104处设定的上游压力,在反应腔110处设定的压力以及下游节流阀120的操作。节流阀120是一种闭环反馈控制系统122的一部分,当各种化学物质先驱物加入反应器110中时,上述反馈控制系统工作,以使反应腔中的压力保持恒定(或近乎恒定)。可能用到的各种“限制器”和管道流导限制件设置在气体切换歧管102中(比如,在反应器吹扫通道126和124中),但它们未详细示出。在使用这些限制器和流导的地方也可以决定压力量和流量值。总之,分流结构为原子层沉积的操作提供一种标称恒定的气体压力和(连续的)流动背景,如图2中所示。
用一种中性载气(与吹扫气体不同),进行先驱物注入以便将先驱物化学物质移入吹扫流中。也就是说,将各先驱物分别通过阀116和118从它们各自的气源106,108加到吹扫气流中。通过随时交替地和按顺序地进行这些操作。
在阀116(或118)处注入到吹扫气流中的条件由一个压力值建立,该压力值用刚好在阀116(118)上游位置的控制器117(119)整定。这能在歧管102的每一侧上使先驱物注入或混合到吹扫气流中。在通向反应腔的入口128处,两个先驱物管线在入口下方通向反应器(形成多个注入)。
一旦带有先驱物的载气流量加入到基准的吹扫流量中,反应器110中的总流量就增加。如果载气流量比吹扫流量小,也许情况就是这样(并且经常是这样),则总流量增加及反应器压力的增加将很少,但依然可观察到,如图3A中所示。这种压力升高超过基准值的一个原因也许是节流阀120的响应时间比注入阀116,118的响应时间长。也就是说,在吹扫阶段期间,节流阀的惯性可能导致反应器压力的波动。注入阀116和118的典型响应时间也许是约20-30毫秒,而典型下游节流阀120的响应时间也许是约500-1000毫秒左右(虽然响应时间少于100毫秒的节流阀最近已能实现)。因此,反应器110中的压力可以随流量的增加同时升高。
实际上,反应器110中的压力在暴露脉冲阶段期间及之后可以在基准流量水平的压力上下变动和波动,如图3B中所示。通常,在吹扫半循环中间和快结束时都保持总的反应器压力不变,其中先驱物的分压足够低,以开始另一种先驱物注入,因为节流阀120及其闭环控制系统122都已经校正,以重新建立所希望的反应器压力。一种精心设计的系统将具有压力随时间平稳减少的性能。
这样已描述了现有技术的原子层沉积工艺系统,现在我们回到对本发明的详细讨论。如上所述,本发明利用在时间-相位多级流量在原子层沉积工艺中改变先驱物滞留时间。滞留时间定义为用于将反应物气体的分子移动穿过具有一定体积的空间(比如,反应腔)所花的时间。如果反应物气体的压力为P,空间的体积为V,及流量为F,则滞留时间r.t.由下式给出,即r.t.=pV/F,例如,如果p=200mTorr(0.2/760Atm),V=1000cm3,F=200sccm(3.33cm3/sec),则r.t.=0.079sec=79msec。此外也可选择,滞留时间可以按照反应器体积(V)和先驱物从该体积中移去的孔口处有效泵送速度(S)表示:r.t.~v/s。
在反应物暴露时间(又称之为脉冲时间,一般说来,具有大约或者大于数百毫秒)期间,理想的是具有长的滞留时间(比如,保形涂装大高宽比装置)。因为反应腔体积和压力相对恒定,及滞留时间与流量成反比,所以为了达到较长的滞留时间,就必需采用较低的流量。然而,在反应物除去时间(吹扫时间)期间不希望滞留时间长,因为滞留时间越长意味着吹扫时间越长。为了得到较短的滞留时间,必需采用较高的流量。因此,在反应剂暴露的需求和反应剂除去之间有矛盾。
本发明的在一个时间-相位多级流量通过采用不同的流量来克服这种矛盾,这样在原子层沉积循环不同的时间里可以优化反应物分子的滞留时间。通过那样做,缩短了总的原子层沉积循环时间(与常规系统所需的时间相比),可以形成更大的晶片生产能力。重要的是,本发明实现了这个优点,同时不需要用往往会造成浪费的连续高流量吹扫。实际上,如果在整个原子层沉积循环中简单地增加吹扫流量,则在暴露期间先驱物排出反应腔太快,而这样严重增加了暴露时间。通过在先驱物暴露期间采用比较低的流量,在某些实施例中,本发明实际上能缩短脉冲时间,进一步帮助减少总的原子层沉积循环时间。
除了采用时间相应式多级流量之外,本发明的某些实施例还在不同的原子层沉积半循环期间利用不同的吹扫气体。也就是说,在吹扫阶段期间可以使用与暴露阶段期间使用的不同的吹扫气体。为了理解这种使用不同吹扫气体的原理,考虑如下。可以采用硬球碰撞理论来计算吹扫气体“P”每单位时间单位体积对先驱物“A”或“B”的碰撞次数。见,比如K.J.Laidler所著,化学动力学,第81-87页(1987)。对两种不相同的分子,碰撞率为:
NP×NA×r2×(KT/m)1/2
式中NP吹扫气体的浓度,NA是先驱物气体“A”的浓度,及m是吹扫气体分子和先驱物气体分子的有效质量(mAmP/mA+mP)。这表明吹扫气体密度的较高浓度使碰撞率增加和使用于除去先驱物气体的前进动量增加。尽管当有效质量降低
Figure GSB00000082961400131
时碰撞速率更高,但对先驱物气体的传递动量与中性吹扫气体分子的质量呈线性关系,所以有利地通过m的平方根选择气体较重的质量。在这方面,原子量为40的Ar比原子量为28的N2更有利。因此,在本发明的某些实施例中,在吹扫阶段中用Ar,而在暴露阶段中可以用N2作为独立的控制气体,以便降低气体利用的成本。
最后,本发明的一些实施例提供使反应器压力保持标称恒定。这一条件在原子层沉积(及CVD)过程期间是理想的,因为它有助于使反应腔内的微粒污染保持最少。为了理解这是什么原因,一般认为所有的化学沉积技术都伴随有在反应器壁和表面上的寄生沉积。在一定的总厚度和积累之后,沉积物由于应力效应而剥落,并提供二次大微粒污染。这些微粒粒径可以高达微米级(与由气相成核作用所引起的小得多的亚微米级微粒不同)。在反应器内大的压力变化可能导致这些微粒过早剥落,即造成加剧沉积反应器维修的情况。尽管没有精心设计的在CVD或原子层沉积工艺中反应器压力必需控制的范围,一种经验上限定的可用于薄膜沉积的工作压力范围提出一种约为基准工作压力的1-3倍的“基本上恒定的压力”可能是合适的,但压力变化大于基准工作压力5倍可能是不合适的(不过在某些情况下这种操作对于中断波动(break turbulence)帮助消除可能已捕集在反应腔中的先驱物也许是所希望的)。
因而在本发明的一个实施例中,利用一种闭环反馈控制的或一种开环指令控制的下游节流阀,将原子层沉积反应器控制在一标称恒定的压力下。通过对反应器保持一种合适的基本上是恒定的压力,可使二次大微粒污染减至最少。如果吹扫气体的流量快速降到一个低的值,则节流阀将(至少开始)移动到一个更关闭的位置,这样造成先驱物在反应腔中有更长的滞留时间。这在脉冲时间期间是所希望的,但在吹扫时间期间是不希望有的。因此,本发明除了节流阀之外还包括二级或多级吹扫流量能力。如果采用两种吹扫流量级(低和高),则在暴露脉冲期间采用低吹扫流量(可用于相对增加先驱物暴露和在一规定时间里增加化学吸收作用及化学物质利用),及在吹扫期间采用高吹扫流量(以便减少残留先驱物的滞留时间),同时提供对暴露和吹扫半循环二者有利的情况。
更一般地是,本发明提供几个可供选择的实施例用于时间相应式多级流量(TMF)原子层沉积工艺的操作。一种这样的方法包括利用两个或多个下游泵(或者如果用一个泵的话,具有多泵送容量泵),上述两个下游泵基本上与两个或多个上游吹扫流量(比如,在低级和高级之间)以时间-相位切换。这种方法可以按下述两种方式的其中之一使用:方式I,在较低的流量级吹扫期间不用第二个泵(泵送容量)来提供更长的滞留时间;或者方式II,在较高的流量级吹扫期间用第二个泵(或者泵送容量)接通。如果较高级流量与组合泵抽吸容量(或者如果用一个泵的话那么用较高的泵送容量)匹配,则通常可以保持压力恒定。
在第二种方法中,吹扫流量通过用以时间-相位随下游流导(比如,对于低和高流量分别从低等级转换到高等级)切换上游流量限制流导(比如,对于低和高流量分别从低值转换到高值)进行控制。这种方法在本文中称之为系统中的“跟踪流导”。这种方法不仅提供使反应器压力保持标称恒定的能力(只要在切换循环中上游与下游流导的分数在任何一时间点处相同),而且还可用于很宽的吹扫流量动态范围。切换上游流导可以以各种构造设置:例如,与化学物质分流歧管串联或者埋置在化学物质歧管内,或者与化学物质输送歧管管线并联。切换下游流导也可以设置在各种不同的位置:例如,在刚好是反应区下游的第一下游限制件(constriction)的位置,或者集成为下游节流阀的一部分(在这种情况下下游节流阀单独控制以便处于设计的位置或开口,并且不以一种闭环控制方式使用)。
在第三种方法中,将一个独立的吹扫气体控制管线(也可以称之为直接连接式管道或DDC)在节流阀的上方或节流阀的下方加到反应器泵组中或加到第一限制件中,上述独立的吹扫气体控制管线独立于分流歧管中的化学物质源,而上述第一限制件从反应空间中引出。若用这种路线,则独立控制的气流等级可以用一个独立的压力调节器进行调整。该气源可以是与用作主原子层沉积反应器吹扫气体(比如Ar)无关的气体类型(比如N2或He)。这种独立的吹扫气体控制管线也可以相对于暴露脉冲的结束阶段异步定时(在所希望的作用阶段之前),因而提供优化多级流量的灵活性。这种管线独立的更高温度控制在不加快具有低分解温度的先驱物分解的情况下提供更好的吹扫能力。
一般,DDC可以平行于分流歧管通过,或者是一种原子层沉积系统的一部分,上述DDC具有所有直接连接到反应器上的气源。因此,多级流量吹扫气体可以与化学物质源并联或者串联式通过化学物质源。为了证实这种思想,可以将一个第二中性吹扫管道线加到具有合适的快速气体切换阀装置的设备上。可以用残留气体分析仪来检测先驱物浓度如何改变。如果控制吹扫气体管线的阀距反应腔十分远,则响应时间也许不适宜地长(比如几秒钟)。为了使这个问题减至最小,可以将各阀适当地靠近反应腔放置和/或在上游压力源和反应腔之间使用较大直径的吹扫管线。所有控制阀都可以集成到一个共用的毂或块中,因而保证最少的响应时间,这在该技术中是已知的。
为了实现上述多级吹扫法,提供一种原子层沉积设备400,所述原子层沉积设备400具有一个第二吹扫管道,所述第二吹扫管道在化学气体切换歧管的上游加入,并与第一吹扫管道并联。这种装置(可以称之为双流量吹扫歧管403)在图4中示出。两个吹扫气源可以是压力控制式(比如用压力控制器409和411),其压力整定值可能有很大不同。根据现有技术水平,压力控制器409和411不可能在少于几百毫秒内作快速气体切换(然而,将来的压力控制器可以进行直接快速电子控制)。通过使加压气体通过快速切换气动阀(和由在压力源409/411之间向下直到并包括通向反应器410的入口装置428的管线、弯管、阀门及一些限流器所确定的导管),我们可以避免这个缺点。这种实施方案每个都具有引向切换阀405和407的吹扫管道。这些阀可以象先驱物注入阀416和418一样快(比如约20毫秒左右)。
在双流量吹扫歧管403内,阀405可以构造成在适合于暴露脉冲期间使用的较低压力下开动。另一方面,阀407可以构造成在适合于吹扫阶段期间使用的较高压力下开动。这些阀开关的精确定时是在约10-30毫秒的时间范围内。开关时间可以不必也不需要与暴露脉冲的开关时间一致。这允许可靠的软件控制来优化和减少在反应腔410内在暴露流量和吹扫流量之间的实际切换之间的时间。这将在下面使用异步流动概念进一步探讨最佳的时间-相位多级流动的概念时讨论。
原子层沉积设备400还具有与第一系统泵430一起放置在下游位置处的第二泵432的措施。泵432可以切换成,与打开上游较高压力的阀407基本同时,打开阀434投入操作。此处所述的两个泵可以是两个实体的泵,或者是一个实体泵的泵送容量的两部分。后一种情况称之为虚拟泵。如果适当选定阀434的流导,则结果可以是在整个原子层沉积循环期间内保持标称恒定的压力。
具有这种两级原子层沉积吹扫设备400的操作可以有利地用许多方式进行,其中一些方式在下列表中示出。在下列各表中所反映的时间要素代表一个特定阶段TX或一个特定瞬间tx。这些时间阶段和时间瞬间的图解示例反映在图3B中,然而,图中的反应器压力示例不一定意味着与各表中所反映的操作条件相对应。
操作方式I:非恒定压力。在这种操作方式中(表1中示出),可以将一个下游节流阀420设定在一个固定位置处:比如设定在与所希望的反应器基准压力相对应的一个位置处,或者可以固定为完全打开(O)。通过阀407接通上游较高级压力可能造成在脉冲吹扫操作的时帧内在反应腔410中压力的偏移。在这种方式中不用泵432,而泵434关闭(C)。
表I:在非恒定压力下操作
  时间   T1   t2   T3   t4   T5   t6   T7   t8   T9(T1)   t10(t2)
  功能   吹扫B   暴露A   吹扫A   暴露B   吹扫B
  阀416   C   O   O   C   C   C   C   C   C   O
  阀405   C或O   O   O   C   C或O   O   O   C   C或O   O
  阀418   C   C   C   C   C   O   O   C   C   C
  阀407   O   C   C   O   O   C   C   O   O   C
  阀434   C   C   C   C   C   C   C   C   C   C
操作方式II:标称恒定压力。这里,下游节流阀420可以设定到一个固定位置处:比如,在与所希望的反应器基准压力相对应的一个位置处,或者可以固定成完全打开。如表2中所示,通过阀407接通上游较高等级的压力及下游节流阀420动作经由阀434切换到泵432上,可以保证在脉冲吹扫操作的时帧内发生一种朝向基准反应腔压力的较正或较正到基准反应腔压力。
表2:在标称恒定压力下操作
  时间:   T1   t2   T3   t4   T5   t6   T7   t8   T9(T1)  t10(t2)
  功能:   吹扫B   暴露A   吹扫A   暴露B   吹扫B
  阀416   C   O   O   C   C   C   C   C   C  O
  阀405   C或O   O   O   C   C或O   O   O   C   C或O  O
  阀418   C   C   C   C   C   O   O   C   C  C
  阀407   O   C   C   O   O   C   C   O   O  C
  阀434   O   C   C   O   O   C   C   O   O  C
当然,可以使用另外的结构变化或不同操作方式的组合。一种这样的结构是惰性吹扫气体的通道直接通向反应器(采用合适的时序),并且并联旁通先驱物切换歧管402。在吹扫步骤期间可以增加额外的吹扫气体流量,以便提供总流量的增加并因此减少吹扫时间。这种气体可以通过一个专门设计的气体歧管输送,以便达到最佳吹扫效率。
有关图4所示设计的变体包括一种具有一个泵和连接阀(代替泵432和阀434或再增加)的原子层沉积设备,上述泵和连接阀连接到节流阀420上方的泵的气道上。这种布置可以允许更有效的泵送。该阀可以是一种大直径气动切换阀的并联阵列,或者是一种快速切换(比如,大约或少于100毫秒)节流阀。
另一种变体包括一种高流量吹扫气源,所述高流量吹扫气源直接和单独连接到反应器410上。这种高流量吹扫气源与化学物质切换歧管402无关并与之平行。在这种情况下,独立的吹扫气源(可以包括多个吹扫流量)可以是高流量或者低流量,另一个是来自化学物质切换歧管402的吹扫。
参见上述直接连接式管道(DCC)方法,在图5中示出一种实施这种管道的原子层沉积设备500的一个实施例。这里,管道536并联旁通分流歧管502,使吹扫能通过阀538切换。这种高流量吹扫管线独立于穿过化学物质切换歧管的主吹扫管线,所述主吹扫管线可以是压力源509和511中管线的其中之一或二者。这种独立的管线可以在暴露期间用来限制下游的泵送速度,以便影响泵在反应区中的有效的泵送速度。在吹扫期间高流量由压力控制器540驱动及由阀538执行。如果阀516和518在循环的暴露期间关闭,则先驱物化学物质可以在有或没有载气的情况下注入,也可以在有或没有来自吹扫的中性气体情况下注入。
在一些可供选择的实施例中,DDC可以是从阀507(或505)的下游侧直接到达反应器510,同时绕过(或者不绕过)分流路线。或者,在采用一种非分流歧管的地方,独立的先驱物管道可以进料给原子层沉积反应器,而DDC可以与其并联。在这种情况下,DCC吹扫可能与其他管线不同,因为它在清洗阶段期间含有高等级中性气流进料给反应腔,和/或通过与先驱物进给管线相比比较大的流导来促进反应腔吹扫。
在还有另一个实施例中,DCC本身可以修改成允许两级或多级流量控制(比如,通过用一分源或多源歧管代替压力控制器540和阀538)。在这一实施例中,在暴露期间可以通过DCC536使用较低的流量等级,而在吹扫期间可以使用较高的流量等级。在这种构造中,无论是一种或是两种化学物质先驱物都可以在没有中性气体稀释的情况下运行。
图6中所示的另一个实施例可以用来实施涉及上面提到的跟踪流导法。在原子层沉积设备600中,用压力控制器642,使上游吹扫压力相同并且固定。通过两个分别具有低和高流导值的不同限制流导(比如,计量阀)648和650切换(比如经由阀644和646)上游流量,可以设置总的吹扫流量(分别是低的或高的吹扫流量)。这种低流量和高流量基本上随下游流导以时间相应从低等级转换到高等级(分别对应于低流量和高流量)。只要在切换循环期间上游和下游流导在任何点处相同,这种方法就提供使反应器的压力保持标称恒定的能力。在暴露阶段和吹扫阶段的稳定状态设定点处的情况肯定是这样。这种解决方案提供了吹扫流量的一种很宽的动态范围,以及一种限制在标称恒定压力下操作的设计。
在一个上游流量限制流导和一个下游流量限制流导之间相串联放置的用于具有很大流导(与来自气源642的管线中的流导相比)的反应器的反应器压力,可以用下面腔室压力表达式近似表示:
PchamUP×[(1/dC)/(1/dC+1/UC)]       (1),
式中UP是可以用一压力控制器调整的上游压力,dC和UC分别是下游和上游流导。流导的倒数与流动阻力成正比,因此在任何恒定的流量下反应腔压力刚好是下游阻力件上的压降与总阻抗力比值。
这个模型可以用方程(1)写出来,并与电路所提供的方程式类似,该电路具有一个恒定的电源电压VS(类似于上游压力),上游串联电阻Ru(类似于上游流导的倒数)及在Ru下面的节点电压(类似于反应腔压力),一个下游电阻(类似于下游流导的倒数)和地面(类似于下游泵)。在这种线性等效电路中,节点电压由下式给出:
VS×[Rd/(Rd+Ru)。
在气流情况下的一种关键不同是,流导元件相对于它们与压力的关系,不工作在线性范围内。然而,与跨过流导的压降功能形式无关,这种比例关系是可以使用的。
上游压力通常是大约几十到一百乇(Torr)而反应腔压力为大约100毫乇到1乇。因此典型的阻力比,即下游阻力与总阻力之比是10-100倍之间。按照本发明所述构造的原子层沉积设备通常提供比值在高达约100范围内的流量,不过在某些情况下更高的比值可能存在,因为下游的阻力可能主要由节流阀的位置(最小)和泵送容量(最大)决定。
然后考虑典型的情况,对流动的总阻力必需能改变大约100倍或更多。如果dC的值在它的最低值时为大约10l/s(下游节流阀几乎关闭),则相应的UC值可以通过设计定在大约0.5l/s,以提供21∶1的压降,但该流量是小的并且由上游限制流导限制。如果上游压力为10乇,则反应腔压力将是10/21乇或者大约500毫乇,则流量是10×0.5Torr l/s。这代表当流量处于低状态时流量和压力的条件。
如果dC值在其最大值处为大约1000l/s(下游节流阀几乎打开),则相应的UC值可以通过设计合宜地设定在约50l/s,同时也提供21∶1的压降,并且现在该流量大且受上游限制流导限制。如果上游压力是10乇,则反应腔压力将也是10/21乇或者大约500毫乇并且流量为10×50Torr l/s。这表示当流量处于高状态时流量和压力的条件,上述高流量状态是低流量状态的100倍。
这个实施例可以有利地推广,以便反应腔中的压力在原子层沉积循环的所有时间里都保持标称恒定。如果上游和下游流导是它们整个范围的分数值,也可以做到这点,上述分数值总是相同的分数:
PchamUP×[(1/dfdc)/(1/dfdc)+1/ufuc)]         (2),
式中df和uf分别是下游和上游流导的流导范围的分数。如果df与uf的比值(把该比值叫做f)在任何时点点处总是相同,并且是下游和上游的阀或限制器的流导范围的一个共同系数f,则这些f值在表达式中被消去,并且压力典型地是恒定的,PchamUP×[(1/dc)/(1/dc+f/uc)]。
如图7中所示,跟踪流导法可以用DCC 736实施。DCC气体压力水平可以用一个单独的压力调节器742设定,并且该气源与来自气源752的用作主原子层沉积反应器吹扫气体(比如Ar)的气源相比,可以是一种单独的气体类型(比如N2或He)。跟踪流导748和750可以分别设定,用于低的(暴露)和高的(吹扫)流量。切换阀744和746可以设定成低流量和高流量,这些流量与节流阀720的下游流导的设定基本上一致,以便在暴露和吹扫期间达到标称恒定的压力。
上游和下游流导(跟踪流导)比例的匹配设计成实现在暴露期间的低流量,所述低流量相应于高的滞留时间,在先驱物去除或吹扫期间达到一高流量,所述高流量相应于低的滞留时间。通过使流导的比值保持恒定(或几乎恒定),在反应器中得到基本上恒定的压力。一种可供选择的达到恒定的压力但仍然具有不同流量的方法,是使用单独的直接连接的管线。一个第一气体管线可以把在等级“Fp”的吹扫流量直接注射到反应空间中,而一个直接连接到反应空间下游的第二单独的(分开的)管线可以提供合适的流量级“Fe”给泵。上游和下游流量值如此选定,以便在吹扫和暴露阶段期间在反应器中达到的标称恒定压力(比如在平均值±50%的范围内)。在下游注射位置和反应空间之间存在小流量限制流导的情况下,流量也可以基本上相同。上游吹扫气体可以选择,以便增加先驱物反应剂的夹带以将先驱物反应剂除去。例如,可以用Ar(具有较重的质量)来使吹扫期间夹带最大化。较重的中性气体(Kr,Xe等),尽管作为夹带气体比较有效,但似乎价钱太贵,以致不能在商用反应器中使用。下游吹扫气体可以选择,以便降低成本,如果在吹扫期间该气体不活泼,N2是可供选择的气体(He比N2更贵)。
另一个可供选择的方案是布置单独的气体控制管线,以便将一个流量提供给泵730,所述泵730在暴露时间内降低对反应腔710的有效泵送速度,因此增加了其中先驱物的滞留时间。在这种设备中,DCC管线736将连接到节流阀720和泵730之间的区域,而不是到反应器710。
在一个实施例中,原子层沉积设备700可供多级气流源用,所述多级气流源具有一上游经过调节的入口压力为35乇,同时引入到一分流歧管中。分流歧管可以具有一个低流量分支和高流量分支,上述低流量分支设定在10sccm处,如通过一种具有Cv为0.005-0.03的计量式针阀(比如,SSVR4型)来确定,而上述高流量分支设定在1000sccm处,如通过一种具有Cv为0.05-0.3的计量式针阀(比如,4BMRC-VCR型)来确定。低流量分支可以具有一个快速切换气动阀(比如,Veriflo955AOLP型,Cv=0.55),所述快速切换气动阀位于针阀的上游。吹扫流量可以输入到反应器的反应区(可以是200毫乇),与A和B化学物质供应气流管线无关。具体地说,吹扫流量可以经过一个气体分配组件,所述组件具有的流量系数(Cv)为大于70,并且不产生显著的压降,及从该组件穿过晶片周边外部的周边孔环(具有大于150的Cv)到达反应容器的下面部分。从反应容器开始,气体路线可以通向并穿过一个限制件,所述限制件由一快速切换压力控制节流阀(比如,具有一个4″喉管的VAT 61型)设定,引向一个直径为6″的前侧管道到达一个初级泵(rough pump,比如,BOC Edwards iH1 800型),所述初级泵在反应器压力为200毫乇(mlorr)时可以保持气流量高出2000sccm好多。节流阀可控制的流导范围为1-1400l/s。对这种系统,在反应器压力为200毫乇时,100sccm的流量将要求流导为6.3l/s,而1000sccm的流量将要求流导为63l/s,二者完全都在节流阀的范围之内。
单独的吹扫管线为优化最少暴露时间提供了灵活性。如果DCC切换是在起动暴露时间之前的一个时间dt开始,则在出口孔的点处对反应体积的有效泵送容量可以使其时间-相位到与先驱物进入到达反应体积相一致。这样,降低到达反应腔的泵送速度的延迟与先驱物穿过上游切换歧管的到达相匹配。dt由先驱物气体在注入阀和反应腔之间的滞留时间确定,并且dt包括连接管线,小孔和分配组件(比如莲蓬头部件)的流导。我们把这种方法称这为异步定时(AT),用于优化循环时间中暴露和清除阶段的边缘。AT可以与本文所述本发明的任何方法或设备一起应用。
在还有另一个实施例中,跟踪流导法可以用一种环形节流阀(ATV)854实施,如图8所示的原子层沉积设备800所示出的。利用ATV854来调节反应器810中环形气流通道的流导,以便可以调节通过反应器的气体流量。回忆一下分子在一具有体积V和流量F的空间中的滞留时间(r.t.)由下式给出:r.t.=pV/F。当ATV854打开时,它提供高流导(亦即,高流量)。因此反应物分子的滞留时间短。当ATV854关闭时,它提供低流导(亦即,低流量)。因此反应物分子的滞留时间长。这样,通过调节ATV(比如,在完全打开位置和完全关闭位置之间,或者它们之间的任何位置),可以按照暴露时间和清除时间的不同需要来调节滞留时间或先驱物。
这种方法进行一种快速切换(比如,小于或大约100毫秒),流导限制件尽可能靠近反应空间。这提供了在最少先驱物回流的情况下灵敏的滞留时间控制的优点。也就是说,很少或没有先驱物流过ATV的低流导状态,并且对滞留时间的影响将有最小延迟的感觉。
本发明的ATV854是一种节流阀,所述节流阀用环形横截面调节管道的流导。ATV的流导可以用电学、磁学、机械、气动方法或通过另外方法进行调节(比如,打开、关闭或者移动到打开和关闭之间的一个位置,如果设置更多的不同的方式)。ATV可以适用于任何方便的打开/关闭结构,这类结构的一些实施例在图9中示出。这些ATV每个都设计成通过一个具有环形横截面的开口958提供变化的流导。
在第一个实施例中,一种楔形叶片ATV 960提供一种连续流导调节的机构。这种ATV具有多个楔形叶片962,每个叶片都绕其轴线964旋转(该轴线964在示图中位于低的平面内)。当叶片的平面平行于晶片架(基座)的平面时,叶片处于它的完全关闭位置。当所有叶片(它们可以单独控制)都处于这个位置时,ATV 960处于其完全关闭位置,并且管道的流导处于最小。当叶片的平面垂直于晶片架的平面时,叶片处于它的完全打开位置。当所有叶片都处于这个打开位置时,ATV960完全打开,并且管道的流导处于最大。通过改变完全打开或完全关闭的叶片数量,可以将管道的流导从它的最小(所有叶片完全关闭)调到它的最大(所有叶片完全打开)。当然,能够实施使叶片可以处于不同于完全打开或完全关闭的位置,以便在调节管道的流导方面提供“细调”能力。一般,应使叶片的重量和惯性矩减至最小,以便供快速响应时间。
在第二实施例中,一种照像机快门(或者光圈)的ATV 960也提供一种连续流导调节的机构。这种ATV具有多个叶片968,所述叶片968在几乎与基座平面平行的平面中移动。它模仿照相机快门运动,除了照相机快门是从某一直径移动到零直径位置,而这种ATV是从环的外径位置关闭到环的内径位置之外,环的内径通常是很接近基座的直径。当照相机快门ATV 966的叶片从完全打开位置移动到完全关闭位置时,可以连续调节管道的流导。
示图中的第三个实施例显示一种隔板ATV 970,所述隔板ATV970可供两种状态(打开和关闭)操作用。这种ATV由两个相同的环形件或叶片组成,一个放在另一个的上部,在上述两个环形件或叶片内各具有一组孔972,每个孔都起管道的作用。当两个环形件的孔重合时,气体可以流过孔,而把ATV说成打开。当两个环形件具有彼此相对角位移,以致没有一个孔重叠时,气体不能流动,把ATV说成关闭。因此,通过改变叶片彼此相对的角位移,可以使管道的流导接通和关闭。如果小心控制两个叶片的位移,以便两组孔部分重叠,则流导可在完全打开和完全关闭状态之间连续调节。可供选择地,或者此外,通过改变孔的位置或者增加叶片数(每个都可能具有不同的孔的布置和/或尺寸),这种ATV形式可以适用于三种、四种或更多种操作方式,每种操作方式都将提供变动的开孔数(或许是尺寸),(并因此提供变动的流导),以便使气体能通过孔。
与常规的节流阀相比,环形节流阀更靠近晶片,这样使腔压响应时间更短(由于减少了阀上方的体积)。它还增加了反应物利用的效率。与Sneh所描述的“抽吸控制腔”方法相比,环形节流阀的一个重要优点是,它可以防止反向扩散(back diffusion)到反应区中,上述反向扩散到反应区干扰先驱物分布。
ATV可以用一种与上述常规节流阀很相似的型式操作。一般,ATV提供更有效的与上游组件的连接。上述上游组件提供时间-相位多级流量。表3示出用于ATV的某些操作方式。
表3:ATV用的操作方式
  ATV位置   反应腔中流量   滞留时间
  暴露   低流量   低   长
  清除   高流量   高   短
这样,已经说明了在原子层沉积工艺中改善循环时间的方法和设备。在上述讨论中,本发明对各种所示的实施例进行了说明,然而,应该记住,这些说明仅是为了方便起见,并且不应看成是限制本发明的更广范围。例如,尽管上述方法和设备是参照热原子层沉积论述,但它们同样地可用于等离子体辅助的原子层沉积。在这种情况下,其中一种先驱物可以首先在没有等离子体的情况下暴露,随后进行一种高流量等级的吹扫操作,然等离子体辅助暴露第二种先驱物,接着或者是不吹扫或者是较高流量等级吹扫。等离子体辅助工艺在改善循环时间方面的好处在数量上少于热原子层沉积的情况下在改善循环时间方面的好处,因为等离子体辅助的原子层沉积循环在等离子体辅助半反应之后可以在没有吹扫阶段的情况下运行。不过在某些应用中,在较高流量压力下,一种等离子体辅助的步骤可能是有利的。此外,如果等离子体吹扫对衬底/晶片上沉积的薄膜没有有害影响,则可以选择用一种中性等离子体方式操作吹扫。一种合适设计的在等离子体吹扫期间与主动吹扫一起使用的电极构造,在用于改善薄膜质量的表面先驱物吸附期间,可以产生一种受限制的反应及一种更好的受控表面反应。最后,由本发明发明人其中几位配合使用这里所描述的方法和设备所开发出的经典原子层沉积的某些变体,如亚饱和原子层沉积(比如,瞬时强化原子层沉积和不充分反应原子层沉积(Starved Reaction原子层沉积),可以通过本方法和设备进一步增强。在这些方法中,自限式原子层沉积反应或者设计成刚好达到开始饱和,或者不让饱和完成。这样,本发明的整个范围将只由下面的权利要求书限定。

Claims (55)

1.一种原子层沉积方法,包括利用第一吹扫流量和第一泵送容量实施原子层沉积工艺的暴露阶段,及利用大于第一吹扫流量的第二吹扫流量和大于第一泵送容量的第二泵送容量实施原子层沉积工艺的吹扫阶段,其中,在原子层沉积工艺的暴露阶段和吹扫阶段中的每一个的过程中,处于反应腔上游的上游流量限制流导与处于所述反应腔下游的下游流量限制流导的比值被保持标称恒定。
2.如权利要求1所述的原子层沉积方法,还包括在暴露阶段和吹扫阶段期间,使在其内实施原子层沉积工艺的反应腔压力保持标称恒定。
3.如权利要求2所述的原子层沉积方法,其中反应腔的压力通过操作反应腔下游的节流阀,以使该节流阀在吹扫阶段期间比在暴露阶段期间打开更多,来保持标称恒定。
4.如权利要求1所述的原子层沉积方法,其中第一吹扫流量和第二吹扫流量包括不同的气体。
5.如权利要求1所述的原子层沉积方法,其中第一吹扫流量和第二吹扫流量通过不同的流动路线提供。
6.如权利要求1所述的原子层沉积方法,其中第二吹扫流量和第二泵送容量在暴露阶段期间材料沉积终止之前起动。
7.如权利要求1所述的原子层沉积方法,其中起动第二吹扫流量和第二泵送容量,以便阻止反应腔内存在的紊流,原子层沉积工艺在上述反应腔内实施。
8.如权利要求1所述的原子层沉积方法,还包括用第三吹扫流量和第三泵送容量实施原子层沉积工艺的第二暴露阶段,上述第三吹扫流量和第三泵送容量分别与第一吹扫流量和第一泵送容量不同。
9.如权利要求8所述的原子层沉积方法,其中第三吹扫流量包括没有吹扫流量。
10.如权利要求1所述的原子层沉积方法,其中暴露阶段包括一 种等离子体辅助工艺。
11.如权利要求1所述的原子层沉积方法,其中当第一泵送容量切换到第二泵送容量时,第一吹扫流量在与该切换重合的点处切换到第二吹扫流量。
12.如权利要求11所述的原子层沉积方法,其中在暴露阶段期间完成材料沉积之前,将第一吹扫流量切换到第二吹扫流量。
13.如权利要求1所述的原子层沉积方法,其中第一吹扫流量在与第一泵送容量切换到第二泵送容量的不同时间点处切换到第二吹扫流量。
14.如权利要求13所述的原子层沉积方法,其中第一吹扫流量在第一泵送容量切换到第二泵送容量之前切换到第二吹扫流量。
15.如权利要求13所述的原子层沉积方法,其中第一吹扫流量在第一泵送容量切换到第二泵送容量之后切换到第二吹扫流量。
16.如权利要求1所述的原子层沉积方法,其中第一吹扫流量通过下述方式切换到第二吹扫流量,即在与位于反应腔下游的第二流量限制流导被切换成与从内部实施原子层沉积工艺的反应腔引出的第二气体流动路线断开重合的时间点处,将位于反应腔上游的第一流量限制流导切换成与通到反应腔的第一气体流动路线断开。
17.一种原子层沉积方法,包括用第一吹扫流量实施原子层沉积循环的第一阶段和用比第一吹扫流量大的第二吹扫流量实施原子层沉积循环的第二阶段,上述第一吹扫流量部分地由反应腔内一个环形气流通道的第一流导限定,原子层沉积工艺在上述反应腔内进行,而上述第二吹扫流量部分地由反应腔内环形气流通道的第二流导限定,其中,在原子层沉积工艺的第一阶段和第二阶段中的每一个的过程中,处于反应腔上游的上游流量限制流导与处于所述反应腔下游的下游流量限制流导的比值被保持标称恒定。
18.如权利要求17所述的原子层沉积方法,其中第一吹扫流量部分通过下述方式切换到第二吹扫流量,上述方式是在与反应腔内环形气流通道的第二流导被切换成与从反应腔引出的第二气体流动路线连 通重合的时间点处将位于反应腔上游的第一流量限制流导切换成与通到反应腔的第一气体流动路线断开。
19.如权利要求17所述的原子层沉积方法,还包括在第一阶段和第二阶段期间使反应腔的压力保持标称恒定。
20.如权利要求17所述的原子层沉积方法,其中第一吹扫流量和第二吹扫流量包括不同的气体。
21.如权利要求17所述的原子层沉积方法,其中第一吹扫流量和第二吹扫流量通过不同的流动路线提供。
22.如权利要求17所述的原子层沉积方法,其中第二吹扫流量在第一阶段期间材料沉积终止之前起动。
23.如权利要求17所述的原子层沉积方法,还包括用第三吹扫流量实施原子层沉积循环的第三阶段,上述第三吹扫流量部分地由环形气流通道的第三流导限定,并且与第一吹扫流量和第二吹扫流量不同。
24.如权利要求23所述的原子层沉积方法,其中第三吹扫流量包括没有吹扫流量。
25.如权利要求17所述的原子层沉积方法,其中第一阶段包括等离子体辅助工艺。
26.一种原子层沉积方法,包括在第一压力下用第一吹扫流量实施原子层沉积工艺的暴露阶段和在大于第一压力的第二压力下用第二吹扫流量实施原子层沉积工艺的吹扫阶段,上述第一吹扫流量经过位于一个反应腔上游第一气流通道内的第一流量限制流导和位于反应腔下游第二气流通道内的第二流量限制流导,原子层沉积工艺在上述反应腔内实施,上述第二吹扫流量经过位于第一气流通道内的第三流导和位于第二气流通道中的第四流导,其中第一流量限制流导与第二流量限制流导的流导之比值等于第三流导与第四流导的流导的比值,并且第一流量限制流导与第二流量限制流导的比值被保持标称恒定。
27.如权利要求26所述的原子层沉积方法,其中用于第二吹扫流量的第二吹扫气体与用于第一吹扫流量的第一吹扫气体不同。
28.如权利要求26所述的原子层沉积方法,其中暴露阶段包括一 种等离子体辅助的工艺。
29.如权利要求26所述的原子层沉积方法,其中当第一气流通道内的第一流量限制流导切换到第三流导时,第一吹扫流量在与该切换时间重合的点处切换到第二吹扫流量。
30.如权利要求26所述的原子层沉积方法,其中在暴露阶段期间完成材料沉积之前,将第一吹扫流量切换到第二吹扫流量。
31.如权利要求26所述的原子层沉积方法,其中第一吹扫流量在与第二气流通道中的第二流量限制流导切换到第四流导的不同时间点处切换到第二吹扫流量。
32.一种原子层沉积系统,包括:
一个带有上游流量限制流导的第一吹扫流量通道,所述第一吹扫流量通道连接反应腔的上游;
一个第二吹扫流量通道,所述第二吹扫流量通道连接反应腔的上游;
一个泵送装置,所述泵送装置连接反应腔的下游,并构造成在第一吹扫流量通道工作时的第一泵送容量和在第二吹扫流量通道工作时的第二泵送容量之间切换,上述第二泵送容量大于第一泵送容量,其中,所述上游流量限制流导与处于所述反应腔下游的下游流量限制流导的比值被保持标称恒定。
33.如权利要求32所述的原子层沉积系统,其中第一和第二吹扫流量通道享用一共同的气流歧管,所述共同的气流歧管具有一个或多个先驱物注入通道。
34.如权利要求32所述的原子层沉积系统,其中第一和第二吹扫流量通道的至少其中之一独立于另一个流量通道连接到反应器上。
35.如权利要求32所述的原子层沉积系统,其中第一和第二泵送容量包括一个实体泵的两种操作方式。
36.如权利要求32所述的原子层沉积系统,还包括一个节流阀,所述节流阀在反应腔的下游。
37.如权利要求36所述的原子层沉积系统,其中第二泵送容量至 少部分地由一个实体泵提供,所述实体泵连接到反应腔的下游但在节流阀的上游。
38.如权利要求36所述的原子层沉积系统,其中第一和第二泵送容量由一个或多个泵提供,上述一个或多个泵连接节流阀的下游。
39.如权利要求32所述的系统,其中将第一和第二吹扫流量通道构造成分别在第一和第二吹扫气体压力下操作,该第一吹扫气体压力小于第二吹扫气体压力。
40.如权利要求39所述的原子层沉积系统,其中第一和第二吹扫流量通道享用一共同的气流歧管,所述共同的气流歧管具有一个或多个先驱物注入通道。
41.如权利要求39所述的原子层沉积系统,其中第一和第二吹扫流量通道的至少其中之一独立于另一个流量通道直接连接到反应器上。
42.一种原子层沉积系统,包括:
一个吹扫流量通道,所述吹扫流量通道通过可选择的上游流量限制流导连接反应腔的上游,上述可选择的上游流量限制流导供对两种或多种操作方式进行选择用,所述两种或多种操作方式包括一种低流量方式和一种高流量方式;及
一个泵送装置,所述泵送装置通过可选择的下游流量限制流导连接反应腔的下游,上述可选择的下游流量限制流导供两种或多种操作方式进行选择用,所述两种或多种操作方式包括一种低流量方式和一种高流量方式,
其中上游流量限制流导和下游流量限制流导构造成以时间-相位相互切换操作方式并且保持标称恒定的所述上游流量限制流导与下游流量限制流导的比值。
43.如权利要求42所述的原子层沉积系统,其中上游流量限制流导构造成在下游流量限制流导切换操作方式之前,切换其操作方式。
44.如权利要求42所述的原子层沉积系统,其中下游流量限制流导包括一个节流阀。 
45.如权利要求44所述的原子层沉积系统,其中节流阀包括一种位于反应腔内的环形节流阀。
46.如权利要求42所述的原子层沉积系统,其中吹扫流量通道包括多个用于吹扫气体和化学物先驱物的气流通道,上述多个气流通道享有一个或多个共同的到反应腔的输入。
47.如权利要求46所述的原子层沉积系统,其中至少一个吹扫气流通道独立于化学物先驱物的气流通道。
48.一种原子层沉积系统,包括:
一个气体输送系统,所述气体输送系统连接到一个反应腔,所述反应腔具有一个设置在其中的环形节流阀,所述环形节流阀位于从反应腔到一个泵送系统的气流通道内,上述泵送系统连接反应腔的下游,其中,上述环形节流阀结合有下游流量限制流导,所述下游流量限制流导连接到反应腔的下游,以及
吹扫流量通道,其带有连接反应腔上游的上游流量限制流导,其中,并且在原子层沉积工艺的操作方式期间,所述上游流量限制流导与下游流量限制流导的比值被保持标称恒定。
49.如权利要求48所述的原子层沉积系统,其中环形节流阀具有两种或多种操作方式,每种操作方式都构造成从反应腔提供不同的流动路线流导。
50.如权利要求49所述的原子层沉积系统,其中气体输送系统构造成提供两个或多个流量等级的吹扫流量。
51.如权利要求50所述的原子层沉积系统,其中环形节流阀连接到一个控制系统上,所述控制系统构造成按照吹扫流量等级切换环形节流阀的操作方式,因此保持一个标称恒定的反应腔压力。
52.如权利要求48所述的原子层沉积系统,其中环形节流阀包括多个叶片,每个叶片都具有一个穿过它的轴线,一个单独的叶片绕上述轴线从一个第一位置旋转到一个第二位置。
53.如权利要求48所述的原子层沉积系统,其中环形节流阀包括多个叶片,所述叶片设置成一种光圈结构。 
54.如权利要求48所述的原子层沉积系统,其中环形节流阀包括多个板片,每个板片都具有许多穿过板片的孔,至少一个板片可绕一轴线旋转,以便贯穿可旋转板片的各孔与另一些板片的至少其中之一板片上的各孔对准,以便提供穿过环形节流阀的通道。
55.一种原子层沉积设备,包括一个第一中性气体管线和一个不同的第二中性气体管线,上述第一中性气体管线构造成在原子层沉积循环的吹扫阶段期间以连接一个反应空间的上游的可选择的上游流量限制流导注入一种流量等级的Ar气流,而上述第二中性气体管线构造成以连接反应空间的下游的可选择的下游流量限制流导注入一种流量等级的N2气流,其中,在原子层沉积循环的吹扫阶段期间,可选择的上游流量限制流导和下游流量限制流导(i)供对两种或多种操作方式进行选择用,所述两种或多种操作方式包括一种低流量方式和一种高流量方式,并且(ii)被选择以提供被保持标称恒定的上游流量限制流导与下游流量限制流导的比值。 
CN2004800106570A 2003-03-14 2004-03-01 用于原子层沉积的方法和设备 Expired - Lifetime CN1777696B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US45503403P 2003-03-14 2003-03-14
US60/455,034 2003-03-14
PCT/US2004/006342 WO2004083485A2 (en) 2003-03-14 2004-03-01 Methods and apparatus for atomic layer deposition

Publications (2)

Publication Number Publication Date
CN1777696A CN1777696A (zh) 2006-05-24
CN1777696B true CN1777696B (zh) 2011-04-20

Family

ID=33029946

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004800106570A Expired - Lifetime CN1777696B (zh) 2003-03-14 2004-03-01 用于原子层沉积的方法和设备

Country Status (6)

Country Link
US (1) US20050016956A1 (zh)
EP (1) EP1613792B1 (zh)
JP (1) JP4734231B2 (zh)
KR (1) KR101416781B1 (zh)
CN (1) CN1777696B (zh)
WO (1) WO2004083485A2 (zh)

Families Citing this family (331)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US7192486B2 (en) * 2002-08-15 2007-03-20 Applied Materials, Inc. Clog-resistant gas delivery system
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
JP2004091850A (ja) * 2002-08-30 2004-03-25 Tokyo Electron Ltd 処理装置及び処理方法
US6926775B2 (en) * 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
WO2004094694A2 (en) * 2003-04-23 2004-11-04 Genus, Inc. Collection of unused precursors in ald
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7306956B2 (en) * 2003-09-30 2007-12-11 Intel Corporation Variable temperature and dose atomic layer deposition
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
JP4508893B2 (ja) * 2004-02-02 2010-07-21 エーエスエム インターナショナル エヌ.ヴェー. 半導体処理方法、半導体処理システム及び反応チャンバにガスを供給する方法
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) * 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7846499B2 (en) 2004-12-30 2010-12-07 Asm International N.V. Method of pulsing vapor precursors in an ALD reactor
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
WO2007099490A1 (en) * 2006-02-28 2007-09-07 Nxp B.V. Processing assembly and method for processing a batch of wafers
US20070224708A1 (en) * 2006-03-21 2007-09-27 Sowmya Krishnan Mass pulse sensor and process-gas system and method
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
WO2007142850A2 (en) * 2006-06-02 2007-12-13 Applied Materials Gas flow control by differential pressure measurements
JP5068258B2 (ja) * 2006-06-08 2012-11-07 Hoya株式会社 情報記録媒体用ガラス基板および情報記録媒体とそれらの製造方法
US20080072821A1 (en) * 2006-07-21 2008-03-27 Dalton Jeremic J Small volume symmetric flow single wafer ald apparatus
KR100791334B1 (ko) * 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
JP5048352B2 (ja) * 2007-01-31 2012-10-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US7976897B2 (en) * 2007-02-21 2011-07-12 Micron Technology, Inc Thermal chemical vapor deposition methods, and thermal chemical vapor deposition systems
WO2009142905A1 (en) * 2008-05-20 2009-11-26 Sundew Technologies, Llc Deposition method and apparatus
US20100012036A1 (en) * 2008-07-11 2010-01-21 Hugo Silva Isolation for multi-single-wafer processing apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8968473B2 (en) * 2009-09-21 2015-03-03 Silevo, Inc. Stackable multi-port gas nozzles
US9441295B2 (en) 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US9240513B2 (en) 2010-05-14 2016-01-19 Solarcity Corporation Dynamic support system for quartz process chamber
DE102011104132B3 (de) * 2011-06-14 2012-11-29 Oliver Feddersen-Clausen Plasmaunterstütztes ALD-Verfahren sowie Vorrichtung zur Bildung einer dünnen Schicht auf einem Substrat
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130025786A1 (en) * 2011-07-28 2013-01-31 Vladislav Davidkovich Systems for and methods of controlling time-multiplexed deep reactive-ion etching processes
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9267605B2 (en) 2011-11-07 2016-02-23 Lam Research Corporation Pressure control valve assembly of plasma processing chamber and rapid alternating process
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US20130237063A1 (en) * 2012-03-09 2013-09-12 Seshasayee Varadarajan Split pumping method, apparatus, and system
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
JP6267698B2 (ja) * 2012-07-13 2018-01-24 オムニプローブ、インコーポレイテッド エネルギビーム機器のためのガス注入システム
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101420333B1 (ko) 2012-11-19 2014-07-16 삼성디스플레이 주식회사 기상 증착 장치, 이를 이용한 박막 형성 방법 및 유기 발광 표시 장치 제조 방법
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6167673B2 (ja) 2013-05-31 2017-07-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP6154677B2 (ja) * 2013-06-28 2017-06-28 東京エレクトロン株式会社 クリーニング方法及び処理装置
JP2015069987A (ja) * 2013-09-26 2015-04-13 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理方法
JP6258657B2 (ja) * 2013-10-18 2018-01-10 東京エレクトロン株式会社 成膜方法および成膜装置
KR101535155B1 (ko) * 2014-01-09 2015-07-09 주식회사 유진테크 기판 처리장치
JP6219179B2 (ja) * 2014-01-20 2017-10-25 東京エレクトロン株式会社 プラズマ処理装置
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9617638B2 (en) * 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
WO2016131190A1 (en) 2015-02-17 2016-08-25 Solarcity Corporation Method and system for improving solar cell manufacturing yield
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US20180046206A1 (en) * 2016-08-13 2018-02-15 Applied Materials, Inc. Method and apparatus for controlling gas flow to a process chamber
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
KR102065243B1 (ko) * 2017-05-01 2020-01-10 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) * 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
KR102269347B1 (ko) * 2017-12-21 2021-06-28 주식회사 원익아이피에스 박막 증착 방법
KR102283500B1 (ko) * 2017-12-21 2021-07-29 주식회사 원익아이피에스 박막 증착 방법
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10774422B2 (en) 2018-06-01 2020-09-15 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
KR102414102B1 (ko) * 2018-11-08 2022-06-30 주식회사 원익아이피에스 박막 증착 방법
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN109518164A (zh) * 2018-12-20 2019-03-26 北京北方华创微电子装备有限公司 原子层沉积设备及方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
JP7300898B2 (ja) * 2019-06-11 2023-06-30 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN110318040B (zh) * 2019-07-29 2021-11-30 陕西煤业化工技术研究院有限责任公司 一种原子层沉积系统
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112992741A (zh) * 2021-03-04 2021-06-18 长江存储科技有限责任公司 半导体处理装置及排气方法
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115595559A (zh) * 2022-10-27 2023-01-13 拓荆科技股份有限公司(Cn) 多腔室半导体设备

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5070813A (en) * 1989-02-10 1991-12-10 Tokyo Electron Limited Coating apparatus
US5993555A (en) * 1997-01-16 1999-11-30 Seh America, Inc. Apparatus and process for growing silicon epitaxial layer
CN1389910A (zh) * 2001-05-31 2003-01-08 三星电子株式会社 利用原子层淀积形成薄膜的方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
JP2888253B2 (ja) * 1989-07-20 1999-05-10 富士通株式会社 化学気相成長法およびその実施のための装置
US5565038A (en) * 1991-05-16 1996-10-15 Intel Corporation Interhalogen cleaning of process equipment
JP3468859B2 (ja) * 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
US6022483A (en) * 1998-03-10 2000-02-08 Intergrated Systems, Inc. System and method for controlling pressure
US6228773B1 (en) * 1998-04-14 2001-05-08 Matrix Integrated Systems, Inc. Synchronous multiplexed near zero overhead architecture for vacuum processes
US6217937B1 (en) * 1998-07-15 2001-04-17 Cornell Research Foundation, Inc. High throughput OMVPE apparatus
US6545819B1 (en) 1999-08-31 2003-04-08 Canon Kabushiki Kaisha Zoom lens and optical apparatus having the same
US6277763B1 (en) * 1999-12-16 2001-08-21 Applied Materials, Inc. Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
TW576873B (en) * 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
JP4027072B2 (ja) * 2001-10-18 2007-12-26 松下電器産業株式会社 減圧プラズマ処理装置及びその方法
JP4908738B2 (ja) * 2002-01-17 2012-04-04 サンデュー・テクノロジーズ・エルエルシー Ald方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5070813A (en) * 1989-02-10 1991-12-10 Tokyo Electron Limited Coating apparatus
US5993555A (en) * 1997-01-16 1999-11-30 Seh America, Inc. Apparatus and process for growing silicon epitaxial layer
CN1389910A (zh) * 2001-05-31 2003-01-08 三星电子株式会社 利用原子层淀积形成薄膜的方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
CN 1389910 A,全文.

Also Published As

Publication number Publication date
KR101416781B1 (ko) 2014-07-08
WO2004083485A2 (en) 2004-09-30
JP4734231B2 (ja) 2011-07-27
KR20050114234A (ko) 2005-12-05
WO2004083485A3 (en) 2005-01-27
EP1613792A2 (en) 2006-01-11
CN1777696A (zh) 2006-05-24
US20050016956A1 (en) 2005-01-27
EP1613792B1 (en) 2014-01-01
JP2006520433A (ja) 2006-09-07

Similar Documents

Publication Publication Date Title
CN1777696B (zh) 用于原子层沉积的方法和设备
JP7440217B2 (ja) ガス分配システムおよびそれを備える反応器システム
JP5937139B2 (ja) 反対称最適制御を使用する流量比率制御装置を含むガス送出方法及びシステム
JP5055297B2 (ja) ガス分配システム用のガス切換え部、プラズマ処理装置及び半導体基板のプラズマ処理方法
CN108886001B (zh) 用于气体流量比控制的方法与组件
CN109666921B (zh) 用于原子层沉积的系统和方法
US9405298B2 (en) System and method to divide fluid flow in a predetermined ratio
US7647886B2 (en) Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
TWI488993B (zh) 用於具有恆定氣體流量之氣體面板的方法及裝置
JP3442604B2 (ja) 混合ガスの供給方法及び混合ガス供給装置並びにこれらを備えた半導体製造装置
JP6682648B2 (ja) ガス流量比制御のための方法及びアセンブリ
US6810897B2 (en) Process gas supply mechanism for ALCVD systems
US20040144309A1 (en) Reciprocating gas valve for pulsing a gas
US9268340B2 (en) Flow balancing in gas distribution networks
TWI606510B (zh) Semiconductor processing equipment and gas shower head cooling plate
KR20160007670A (ko) 다중 반대칭 최적 제어 배치를 이용한 유량비 제어기를 구비한 가스 수송 방법 및 시스템
JP2014524144A (ja) 高速ガス交換、高速ガス切換、及びプログラミング可能なガス送出のための方法及び装置
CN101457351B (zh) 气体分配系统和应用该气体分配系统的半导体处理设备
TW202200830A (zh) 用於ald 處理的循序脈衝和淨化
JPH088213B2 (ja) 化合物半導体の製造方法
JPH11176754A (ja) 半導体気相成長装置及びそのガスの供給方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CP01 Change in the name or title of a patent holder
CP01 Change in the name or title of a patent holder

Address after: California, USA

Patentee after: Yogi Ness Co.

Address before: California, USA

Patentee before: Eugene technology Co.

TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20180709

Address after: California, USA

Patentee after: Eugene technology Co.

Address before: California, USA

Patentee before: Excelon Co.

CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20110420