CN109666921B - 用于原子层沉积的系统和方法 - Google Patents

用于原子层沉积的系统和方法 Download PDF

Info

Publication number
CN109666921B
CN109666921B CN201811181548.5A CN201811181548A CN109666921B CN 109666921 B CN109666921 B CN 109666921B CN 201811181548 A CN201811181548 A CN 201811181548A CN 109666921 B CN109666921 B CN 109666921B
Authority
CN
China
Prior art keywords
line
gas
reactant
inactive gas
inactive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201811181548.5A
Other languages
English (en)
Other versions
CN109666921A (zh
Inventor
E·J·C·刘
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Priority to CN202310722452.XA priority Critical patent/CN116623157A/zh
Publication of CN109666921A publication Critical patent/CN109666921A/zh
Application granted granted Critical
Publication of CN109666921B publication Critical patent/CN109666921B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)

Abstract

原子层沉积(ALD)方法可以包含将第一反应物蒸气脉冲输送到反应器组件中。向第一反应物气体管线供应所述第一反应物蒸气。以第一流动速率向第一非活性气体管线供应非活性气体。借助于第一进料管线将所述第一反应物蒸气和所述非活性气体馈送到所述反应器组件。通过以高于所述第一流动速率的第二流动速率向所述第一非活性气体管线供应所述非活性气体来吹扫所述反应器组件。可以将所述非活性气体的第一部分沿着所述第一反应物气体管线的扩散屏障部分反向馈送以提供在所述第一非活性气体管线上游的惰性气体阀(IGV)。可以借助于所述第一进料管线将所述非活性气体的第二部分馈送到所述反应器组件。

Description

用于原子层沉积的系统和方法
技术领域
本领域涉及用于原子层沉积(ALD)的系统和方法。
背景技术
原子层沉积(ALD)是一种用于使高度均匀的薄膜生长到衬底上的方法。在时分ALD反应器中,将衬底放置到不含杂质的反应空间中,并且交替地并且重复地将呈气相的至少两种不同的挥发性前体(反应气体)注入到反应空间中。膜生长是基于在衬底表面上发生以形成固态原子或分子层的自限性表面反应,因为衬底的反应物和温度被选择以使得交替注入的气相前体分子仅在具有其表面层的衬底上反应。注入足够高剂量的反应物以使表面在每一注入循环期间是几乎饱和的。因此,所述过程是高度自调的,不依赖于起始材料的浓度,由此有可能实现极高的膜均匀性和单一原子或分子层的厚度准确性。在空间分割ALD反应器中获得类似结果,其中衬底移动到各区中以便交替暴露于不同反应物。反应物可以促进使膜(前体)生长和/或提供其它功能,如从前体的吸附物种洗提配体以促进后续反应物的反应或吸附。
ALD方法可以用于使元素和化合物薄膜生长。ALD可以涉及使两种或更多种反应物在循环中重复交替,并且不同循环可以具有不同数目的反应物。纯ALD反应往往会每循环产生少于单层,但ALD的变化形式可以每循环沉积多于单层。
使用ALD方法使膜生长可能由于其逐步(逐层)性质而是缓慢的过程。使至少两种气体脉冲交替以形成所要材料的一个层,并且使脉冲保持彼此分隔以便防止膜的不受控生长和ALD反应器的污染。在每一脉冲之后,将薄膜生长过程的气态反应产物以及呈气相的过量反应物从反应空间中移出,或将衬底从含有其的区中移出。在时分实例中,这可以通过对反应空间抽气、通过在连续脉冲之间用非活性气体流吹扫反应空间或通过这两种方式来实现。吹扫在反应物脉冲之间利用管道中的非活性气体柱。吹扫由于其效率和其在连续脉冲之间形成有效扩散屏障的能力而在生产规模上广泛利用。惰性吹扫气体经常还在反应物脉冲期间用作运载气体,在将反应物蒸气馈送到反应空间中之前将其稀释。
充足衬底暴露和反应空间的良好吹扫对于成功ALD方法是合乎需要的。也就是说,脉冲应足够强以使衬底几乎饱和(在渐进饱和曲线的平坦部分中),并且吹扫应足够高效以从反应器去除几乎所有的前体残余物和非所要反应产物。吹扫时间相对于前体暴露时间可以是相对长的。
为了促进膜生长过程,需要使得能够缩短吹扫时间段并且因此缩短脉冲时间间隔的方法。然而,促成过程循环时间的最具挑战性的因素之一是反应物蒸气脉冲的瞬时加宽。应使连续脉冲保持充分分隔,因为在以太频繁的时间间隔馈送时气体由于其上升和下降时间有限而可能会混合。脉冲的加宽是三种主要现象的结果:形成于反应物与惰性气体流之间的压力梯度、气体扩散以及气体吸附到反应器表面上和从反应器表面脱附。所有这些作用都导致反应物蒸气和惰性气体混合,这导致为了确保在恰当ALD条件下的操作,吹扫时间较长。在行波脉冲输送方法中,在将反应物注入到连续惰性运载流中时,反应器进料管线中的总压力在管线中的反应物分压力增加的同时增加,这导致脉冲不仅因扩散和吸附/脱附而加宽,而且因压力梯度驱动的流动而加宽。
因此,仍持续需要加速薄膜生长过程同时减小反应物蒸气脉冲的瞬时加宽的作用。
发明内容
本公开的系统和方法具有若干特征,其中没有单一特征单独负责其合乎需要的属性。在不限制如由所附权利要求书表达的本公开的范围的情况下,现将简洁地论述各种特征。在考虑这一论述之后,并且尤其在阅读题为“具体实施方式”的章节之后,将理解本文所描述的特征如何提供优于传统气体递送方法和系统的若干优点。
公开一种原子层沉积(ALD)方法。所述ALD方法可以包含将第一反应物蒸气脉冲输送到反应器组件中。所述脉冲输送可以包括向第一反应物气体管线供应所述第一反应物蒸气。所述脉冲输送可以包括以第一流动速率向第一非活性气体管线供应非活性气体。所述脉冲输送可以包括借助于第一进料管线将所述第一反应物蒸气和所述非活性气体馈送到所述反应器组件。所述ALD方法可以进一步包含吹扫所述反应器组件。所述吹扫可以包括以高于所述第一流动速率的第二流动速率向所述第一非活性气体管线供应所述非活性气体。所述吹扫可以包括将所述非活性气体的第一部分沿着所述第一反应物气体管线的扩散屏障部分反向馈送以提供在所述第一非活性气体管线上游的惰性气体阀(IGV)。所述吹扫可以包括借助于所述第一进料管线将所述非活性气体的第二部分馈送到所述反应器组件。
在另一实施例中,公开一种原子层沉积(ALD)装置。所述ALD装置可以包含反应器组件;和被配置以从第一反应物蒸气源供应第一反应物蒸气的第一反应物气体管线。所述ALD装置可以包含被配置以从非活性气体源供应非活性气体的第一非活性气体管线。所述ALD装置可以包含与所述第一反应物气体管线和所述第一非活性气体管线中的每一个连通以向所述反应器组件供应所述第一反应物蒸气和所述非活性气体的第一进料管线。所述ALD装置可以包含在所述第一非活性气体管线上游与所述第一反应物气体管线连通的排放管线。所述ALD装置可以包含沿着所述第一非活性气体管线的第一阀,所述第一阀具有打开状态和关闭状态。所述ALD装置可以包含沿着所述第一非活性气体管线的第二阀,所述第二阀包括被配置以可调地调节以多个非零流动速率通过所述第一非活性气体管线的气体流量的可调阀。
在另一实施例中,公开一种原子层沉积(ALD)装置。所述ALD装置可以包含反应器组件;和被配置以从非活性气体源供应非活性气体的非活性气体分布管线。所述ALD装置可以包含被配置以控制沿着所述非活性气体分布管线流动的所述非活性气体的量的流量控制器。所述ALD装置可以包含在多个反应物蒸气源与所述反应器组件之间连通的多个反应物气体管线。所述ALD装置可以包含在所述流量控制器下游从所述非活性气体分布管线分支的多个非活性气体管线,所述非活性气体管线中的每一个被配置以将非活性气体从所述非活性气体分布管线连通到所述反应物气体管线之一。所述ALD装置可以包含在所述流量控制器下游从所述非活性气体分布管线分支的旁路管线,所述旁路管线被配置以在所述非活性气体分布管线与真空源之间提供流体连通。
附图说明
现将参考若干实施例的图式描述本发明的这些和其它特征、方面和优点,所述实施例旨在说明并且不限制本发明。
图1是常规原子层沉积(ALD)装置的示意性系统图。
图2是根据一个实施例的ALD装置的示意性系统图。
图3是根据另一实施例包含多个反应物气体源的ALD装置的示意性系统图。
图4是说明根据各种实施例的ALD方法的流程图。
具体实施方式
本文所公开的实施例可以用于被配置以用于任何合适气体或气相沉积过程的半导体处理装置。举例来说,所说明的实施例展示使用原子层沉积(ALD)技术使材料沉积于衬底上的各种系统。在气相沉积技术之中,ALD具有许多优点,包含低温下的高保形性和在过程期间对组成的精细控制。ALD型方法是基于反应物化学品的受控自限性表面反应。在时分ALD反应器中,通过将前体交替并且依序馈送到反应腔室中来避免气相反应。例如通过在反应物脉冲之间从反应腔室去除过量反应物和/或反应物副产物,来使气相反应物在反应腔室中彼此分离。去除可以通过多种技术来实现,所述技术包含吹扫和/或降低脉冲之间的压力。脉冲可以在连续流中是依序的,或对每一脉冲来说,反应器可以被分离并且可以被回填。
简单来说,一般在较低压力下,将衬底装载到反应腔室中并且加热到合适沉积温度。典型地维持沉积温度低于前体热分解温度,但处于足够高以避免反应物缩合并且向所要表面反应提供活化能的水平下。当然,任何既定ALD反应的适当温度窗将取决于所涉及的表面终止和反应物物种。
将第一反应物蒸气以气相脉冲形式传导到腔室中,并且与衬底表面接触。条件优选被选择以使得前体的最多约一个单层以自限性方式吸附在衬底表面上。通常用惰性气体(如氮气或氩气)的脉冲从反应腔室吹扫过量第一反应物和反应副产物(如果存在)。
吹扫反应腔室意指,如通过用真空泵抽空腔室和/或通过用惰性气体(如氩气或氮气)置换反应器内的气体来从反应腔室去除气相前体和/或气相副产物。单一晶片反应器的典型吹扫时间是约0.05到20秒,如约1与10秒之间,例如约1与2秒之间。然而,必要时可以利用其它吹扫时间,如当需要将层沉积在极高纵横比结构或具有复杂表面形态的其它结构上时,或当采用高体积分批反应器时。熟练技术人员可以基于特定情况容易地确定适当阶段和循环时间。
将第二反应物蒸气提供到腔室中,在所述腔室中第二反应物蒸气与结合到表面的第一反应物蒸气的物种反应。优选借助于惰性或非活性气体将过量第二反应物蒸气和表面反应的气态副产物吹扫出反应腔室。重复交替提供反应物和吹扫直到已经在衬底上形成所要厚度的薄膜,其中每一循环至多留下分子单层。一些ALD方法可以具有三个或更多个反应物脉冲交替的更复杂的次序。反应物还可以在其自身阶段中或与前体脉冲一起供应到衬底以洗提或得到粘着的配体和/或游离副产物,而非向膜贡献元素。另外,并非所有循环都需要一致。举例来说,二元膜可以通过不频繁(例如,每第五循环)添加第三反应物脉冲而掺杂有第三元素以便控制膜的化学计量,并且频率在沉积期间可以变化以便对膜组成分等级。此外,虽然被描述为开始于吸附反应物,但一些工作程序可以开始于另一反应物或单独表面处理,例如以确保最大反应位点以起始ALD反应(例如,对于某些工作程序,水脉冲可以在衬底上提供羟基以增强某些ALD前体的反应性)。
如上文所提及,每一循环的每一脉冲或阶段优选是自限性的。在每一阶段供应过量的反应物前体以使敏感的结构表面几乎饱和。表面饱和确保反应物占据所有可获得的反应位点(例如,受到物理尺寸或位阻限制),并且因此确保在衬底上的任何表面形状上的极佳阶梯覆盖率。在一些布置中,自限特性的程度可以通过例如使反应物脉冲的一些重叠折衷掉与保形性相违背的沉积速度(通过允许一些CVD型反应)来加以调整。反应物在时间和空间上得到良好分离的理想ALD条件提供接近完美的自限特性,并且因此提供最大保形性,但在每个循环小于一个分子层中引起位阻。受限的CVD反应与自限性ALD反应混合可以提高沉积速度。虽然本文所描述的实施例对于依序脉冲沉积技术(如ALD和混合模式ALD/CVD)尤其有利,但本文所公开的反应器组件还可以用于脉冲或连续CVD加工。
可以使用的合适反应器的实例包含可商购的ALD设备,如可获自荷兰阿尔梅勒(Almere,the Netherlands)的ASM International的
Figure BDA0001825087760000051
Figure BDA0001825087760000052
系列反应器中的任一个。可以采用能够使薄膜ALD生长的许多其它种类的反应器,包含配备有用于交替地供应反应物的适当设备和构件的CVD反应器。
在各种实施例中,ALD方法可以在连接到丛集工具的反应器或反应空间中进行。在丛集工具中,因为每个反应空间专用于一种类型的方法,所以每个模块中反应空间的温度可以保持恒定,与在每次操作前将衬底加热到方法温度的反应器相比,这提高了生产量。独立反应器可以配备有装载锁。在所述情况下,不必在每个操作之间冷却反应空间。这些方法还可以在被设计以同时加工多个衬底的反应器(例如,微型分批型喷淋头反应器)中进行。其它类型的反应器组件可以与本文所公开的实施例结合使用。
与当前ALD实施方案相比,本文所公开的各种实施例可以提供显著更高的吹扫流动速率和吹扫压力的快速增加,并且可以减小反应物蒸气脉冲的瞬时加宽。有益的是,本文所公开的实施例可以通过缩短脉冲宽度和改进脉冲分隔来产生更快的膜生长。此外,改进的脉冲分隔可以有益地减少反应物蒸气与非活性气体的互混并且可以减小反应器组件和各种供应管线中的污染。此外,可以在反应器组件的热区外提供本文所呈现的惰性气体阀(IGV)解决方案,以使得反应物和非活性气体管线中使用的阀不必暴露于反应器组件的高温,因此避免因阀暴露于高温而产生的污染问题。另外,与利用多个流量控制器的其它实施方案相比,本文所公开的各种实施例可以利用调节非活性气体到用于系统的多个反应物的多个气体管线的流量的单一流量控制器,这可以进一步降低系统成本。相对于使用个别控制器,通过添加相对便宜的部件,如针阀和额外管线(例如,前级管线),本文所描述的系统允许个别控制多个非活性气体管线中的气体传导率,以例如实现在吹扫状态期间的更高惰性气体流量和IGV在吹扫期间关闭反应物流动的更快启用。本文所公开的实施例因此可以显著提高ALD方法的生产率,导致加工和组件成本降低。
图1是利用惰性气体阀(IGV)的常规原子层沉积(ALD)装置1的示意性系统图。ALD装置1可以包括被配置以向非活性气体管线6供应非活性气体的惰性或非活性气体源2。非活性气体可以包括不与反应物蒸气或上面要沉积薄膜的衬底反应的气体。非活性气体还用以例如通过在向反应器组件的进料管线中在反应物相之间提供扩散屏障来防止不同反应物群组的物质之间的反应。任何合适类型的非活性气体都可以用于本文所公开的实施例,包含例如惰性气体,如氮气;和稀有气体,例如氩气。非活性气体还可以是用以防止不合需要的反应(例如,氧化反应)在衬底表面上发生的固有反应性气体(如氢气),取决于与其它反应物的相对反应性。
流量控制器12可以控制向非活性气体管线6供应的非活性气体的量(例如,流动速率)。在各种实施例中,流量控制器12可以包括质量流量控制器(MFC),所述质量流量控制器可以被配置以控制向非活性气体管线6供应的非活性气体的量或流动速率。在其它实施例中,可以使用其它类型的流量控制器。
反应物蒸气源3可以被配置以向反应物气体管线7供应气化前体或反应物蒸气。反应物气体阀14可以被配置以打开或关闭反应物蒸气从反应物气体源3通过反应物气体管线7的流动。反应物气体阀14可以是任何合适类型的阀,包含例如电磁型阀、气动阀、压电阀等。反应物气体源3可以提供反应物蒸气。反应物蒸气可以包括能够与衬底表面或先前留在衬底表面上的反应物反应的可蒸发材料。反应物在标准条件下可以天然是固体、液体或气体,并且因此反应物蒸气源3可以包含气化器。反应物蒸气源3还可以在反应物气体阀14上游包含流量控制装置。对于气化反应物,流量控制器可以控制惰性运载气体通过气化器的流量。
术语“金属反应物”通常是指可以包括元素金属的金属化合物。金属反应物的实例是金属的卤化物,包含例如氯化物和溴化物;和金属-有机化合物,如thd(2,2,6,6-四甲基-3,5-庚二酮)络合化合物和Cp(—C5H5,环戊二烯基)化合物。金属反应物的更特定实例包含Zn、ZnCl2、TiCl4、Ca(thd)2、(CH3)3Al和(Cp)2Mg。非金属反应物可以包括能够与金属化合物反应的化合物和元素。非金属反应物可以包括水、硫、硫化氢、氧气、臭氧和氨,以及不同非金属反应物的等离子体,如氢气或氢气/氮气混合物。可以使用其它类型的蒸气反应物。
如图1中所示,反吸或排放管线9可以在连接点11处流体连接到反应物气体管线7。排放管线9可以流体连接到真空源5,并且可以沿着排放管线9提供流量限制器13以限制沿着排放管线9抽空的气体的流量。流量限制器13可以是被动装置或在操作中不完全关闭的阀。在操作期间,真空源5可以在连接点11处向反应物气体管线7施加吸力,所述连接点可以安置于反应物气体阀14下游。在各种实施例中,可以在投送状态(其中向衬底供应反应物蒸气)和吹扫状态(其中吹扫反应器组件中的过量反应物和副产物)期间启用真空源5。
非活性气体管线6可以在连接点10处与反应物气体管线7连接。在各种实施例中,当反应物气体阀14打开时,反应物蒸气的蒸气压力可以足够高以便沿着反应物气体管线7驱动反应物蒸气。在其它实施例中,可以沿着反应物气体管线7主动地驱动反应物蒸气,例如,反应物蒸气源3可以包含非活性运载气体供应源以沿着反应物气体管线7驱动反应物蒸气。可以通过流量控制器12沿着非活性气体管线6调节非活性气体流量。在脉冲输送或投送状态期间,非活性气体可以在连接点10处与反应物蒸气汇合,并且可以将汇合的蒸气沿着进料管线8馈送到反应器组件4。在各种布置中,反应器组件4可以包含处理腔室,所述处理腔室包括被配置以支撑衬底(如晶片)的衬底支撑物。在一些布置中,沿着进料管线8提供混合器,在递送到处理腔室之前将反应物蒸气与惰性气体流混合。在其它布置中,不使用混合器,并且个别进料管线8可以将反应物和非活性气体递送到处理腔室。ALD装置1还可以包含沿着进料管线8的挡板或膨胀增压室以使反应物蒸气和惰性气体流扩散穿过衬底。
在图1中所示的ALD装置1的投送状态期间,可以打开反应物气体阀14以将反应物蒸气馈送到反应物气体管线7。可以基于沿着非活性气体管线6的非活性气体流动速率FI、沿着反应物气体管线7的反应物蒸气流动速率FR和从连接点11到真空源5沿着排放管线9的排放流动速率FD,确定气体进入反应器组件4的总流动速率。举例来说,沿着进料管线8进入反应器组件4的总流量可以由F=FI+FR-FD给出。在投送状态下,在连接点11处沿着反应物气体管线的压力PA大于在非活性气体管线6与反应物气体管线7之间的连接点10处的压力PB。在投送状态下,因此,来自反应物气体管线7的反应物蒸气和来自非活性气体管线6的非活性气体汇合到进料管线8中并且被馈送到反应器组件中。另外,通过真空源5沿着(受限制的)排放管线9抽吸一小部分反应物蒸气。
在将反应物蒸气馈送到反应器组件4的投送状态之后,可能重要的是用非活性气体吹扫处理腔室以去除在投送之后处理腔室中的所有或大体上所有过量反应物气体、副产物和其它不合需要的材料以防止与后续反应物的气相反应。在吹扫状态或过程期间,可以关闭反应物气体阀14以便停止反应物蒸气流动到反应物气体管线7。在关闭反应物气体阀14之后,连接点11处的压力PA小于连接点10处的压力PB。压力差可以导致残留前体材料和一部分非活性气体通过排放管线9回流到真空源5。因为在吹扫期间关闭反应物气体阀14,所以仅非活性气体可以沿着进料管线8流动以吹扫反应器组件4的不合需要的物种。这产生非活性气体沿着反应物气体管线7安置在连接点10与连接点11之间的扩散屏障部分26的反向流动,所述扩散屏障部分充当抵抗反应物气体管线7中的残留反应物的持续扩散或流动的扩散屏障。这一扩散屏障在反应器的接近反应腔室的热区内充当“惰性气体阀”,而实际阀和控制器12、14可以保持在热区外并且不遭受高温耗损和随之而来的污染物。在吹扫之后,所述方法可以通过起始另一投送状态(典型地投送另一反应物蒸气)随后另一吹扫状态来重复,直到薄膜已经在处理腔室中在衬底上生长到所要厚度和均匀性。
尽管图1中仅说明一个反应物蒸气源3和一个非活性气体源2,但应了解,可以在ALD装置中提供多个反应物蒸气源3和/或非活性气体源2。在此类布置中,对于提供的每个非活性气体管线6,还可以提供相应流量控制器12以调节非活性气体通过所述管线6的流量。类似地,对于每个反应性气体管线6,可以提供相应反应物气体阀14。
如上文所解释,可能重要的是在ALD装置1中提供高生产量而不污染衬底和或反应器组件4。因此,可能重要的是确保反应物蒸气不会不合需要地彼此互混或与吹扫状态中使用的非活性气体互混。互混可能由于反应物与非活性气体之间的压力梯度而发生,并且可能会导致反应器组件4和/或衬底的污染。然而,加宽脉冲和/或增加脉冲分隔可能会不恰当地增加用以形成薄膜的总加工时间。沿着非活性气体管线的个别流量控制器12允许在吹扫状态期间增加所说明的IGV系统中的惰性气体流量,这可以有利地产生更快的吹扫、更好的扩散屏障和更快的对反应物的IGV关断。然而,流量控制器(如质量流量控制器)成本相当高并且对若干反应物气体管线中的每一个提供此类控制增加了成本。
图2是根据一个实施例的ALD装置1的示意性系统图。除非另外指出,否则图2中的组件可以与图1的类似编号的组件相同或大体上类似。如同图1,图2的装置1包含被配置以向非活性气体管线6供应非活性气体的非活性气体源2,和被配置以向反应物气体管线7供应反应物蒸气的反应物蒸气源3。此外,装置1可以包括被配置以向反应器组件4供应反应物蒸气和非活性气体的进料管线8。如上文所解释,反应器组件4可以包括连接到多个反应物源的混合器和在混合器下游的处理腔室。在其它实施例中,可以在无介入混合器的情况下将进料管线8直接馈送到处理腔室。
此外,如同图1,反应物气体阀14可以是关断阀以允许或阻止反应物蒸气从反应物蒸气源3流动到反应物气体管线7。如关于图1所提到,还可以使质量流量控制器在脉冲输送阀反应物气体阀14上游或下游与反应物蒸气源3相连。反应物气体管线7可以在连接点10处连接到非活性气体管线6。如上文所解释,在投送或脉冲输送状态期间,可以使反应物蒸气夹带运载非活性气体以沿着进料管线8将反应物和非活性运载气体馈送到反应器组件4。在吹扫状态期间,仅非活性气体可以流动通过进料管线8到反应器组件4以吹扫反应器组件4的不合需要的物种(例如,过量反应物、副产物等)。
然而,不同于图1的布置,在图2中,旁路管线(例如,前级管线15)可以在流量控制器12下游流体连接到流量控制器12与连接点10之间的非活性气体管线6。然而,在其它实施例中,旁路管线可以位于装置1的其它部分。前级管线15可以与真空源5(或不同真空源)流体连通,所述真空源可以在前级管线15与非活性气体管线6之间的连接点20处向非活性气体管线6施加吸力。如图2中所示,可以沿着前级管线15提供第一关断阀16a和第一可调阀17a并且将其与所述前级管线流体连通。在各种实施例中,第一关断阀16a可以包括具有打开状态和关闭状态的阀。在打开状态下,允许气体自由流动通过阀16a。在关闭状态下,阻断气体流动通过阀16a。在各种实施例中,关断阀16a可以仅具有两种状态,例如完全打开或完全关闭。在所说明的实施例中,举例来说,第一关断阀16a包括由控制系统可操作地控制的气动阀,所述控制系统可以包括被配置以电子地启用气动阀的处理电子装置。然而,在其它实施例中,关断阀16a可以是可调的或允许呈“关闭”状态的滴流。
第一可调阀17a可以包括被配置以可调地调节以多个非零流动速率通过前级管线15的气体流量的可调阀。举例来说,在一些实施例中,可调阀17a可以调整沿着具有可能流动速率的连续区的流动速率。在各种实施例中,可以将可调阀17a设定在产生穿过阀17a的所要压力梯度的预定设定点下。在所说明的实施例中,第一可调阀17a可以包括可以被手动或自动地调整以控制被抽吸通过前级管线15的气体的流动速率的针阀。举例来说,在各种实施例中,可以将第一可调阀17a设定到提供穿过阀17a的合乎需要的压力梯度和/或通过所述阀的流动速率的预定设定点。
此外,如图2中所示,排放管线9可以在连接点11处连接到反应物气体管线7。排放管线9可以在连接点18处流体连接到前级管线15。在一些实施例中,还可以沿着排放管线9提供流量限制器13。当被启用时,真空源5还可以在连接点11处向反应物管线7施加吸力以便反向抽吸气体到真空源5。
另外,如图2中所示,非活性气体管线6可以包含安置在与前级管线15的连接点20和与反应物气体管线7的连接点10之间的第二关断阀16b和第二可调阀17b可以在阀16b、17b中的一个或两个的上游提供压力变换器19以测量在阀16b和/或17b上游流动通过非活性气体管线6的非活性气体的压力。如同第一关断阀16a,第二关断阀16b可以包括具有打开状态和关闭状态的阀。在打开状态下,允许气体自由流动通过阀16b。在关闭状态下,阻断气体流动通过阀16b。在各种实施例中,关断阀16b可以仅具有两种状态,例如完全打开或完全关闭。在所说明的实施例中,举例来说,第二关断阀16b包括由控制系统可操作地控制的气动阀,所述控制系统可以包括被配置以电子地启用气动阀的处理电子装置。然而,在其它实施例中,关断阀16b可以是可调的。
类似地,第二可调阀17b可以包括被配置以可调地调节以多个非零流动速率通过非活性气体管线6的气体流量的可调阀。举例来说,在一些实施例中,可调阀17b可以调整沿着具有可能流动速率的连续区的流动速率。在各种实施例中,可以将可调阀17b设定在产生穿过阀17b的所要压力梯度的预定设定点下。在所说明的实施例中,第二可调阀17b可以包括可以被手动或自动地调整以控制被抽吸通过非活性气体管线6的气体的流动速率的针阀。举例来说,在各种实施例中,可以将第二可调阀17b设定到提供穿过阀17b的合乎需要的压力梯度和/或通过所述阀的流动速率的预定设定点。
如上文所解释,ALD方法中可能重要的是提高生产量、减少加工时间、改进扩散屏障和降低惰性气体阀(IGV)关断速度(例如,所述方法从投送切换到吹扫状态的速度,并且反之亦然)。本文所公开的各种实施例通过显著增加在吹扫反应器组件4期间沿着非活性气体管线6的非活性气体流动速率FI来实现这些目标。增加非活性气体流动速率FI可以导致压力的极快增加,这可以因此产生更快的吹扫过程、改进的扩散屏障和更快的IGV切换速度。
在投送状态或过程期间,可以打开第一关断阀16a和第一反应物阀14。第二关断阀16b在投送状态期间也打开。实际上,在各种实施例中,第二关断阀16b在投送状态和吹扫状态期间都保持打开,以使得一定量的非活性气体在投送和吹扫期间都流动通过非活性气体管线6。在投送状态下,第一和第二关断阀16a、16b打开,可以设定第一可调阀17a,以便与通过设定第二可调阀17b来获得的沿着非活性气体管线6的传导率相比,提供显著更大的沿着前级管线15的传导率。因此,来自非活性气体源2和其流量控制器12的大部分非活性气体沿着前级管线15流动到真空源5,其因此在投送期间充当旁路或通风口。小部分非活性气体可以被馈送通过非活性气体管线6到与反应物气体管线7的连接点10。有利地,可调阀17a、17b的设定点可以被选择,以使得在投送期间,大部分非活性气体流动通过前级管线15并且小部分非活性气体流动通过非活性气体管线6到连接点10。举例来说,在一些实施例中,可以将第一可调阀17a设定得比第二可调阀17b更大程度地打开,以使得当打开第一关断阀16a时,与通过非活性气体管线6相比,更多非活性气体流动通过前级管线15。然而,所述设备不如此受限制,并且即使不到大部分的非活性气体流被馈送通过前级管线15也可以获得优点,如从转换到下文描述的吹扫状态的描述将理解。
在投送状态下,在连接点11处沿着反应物气体管线7的压力PA大于在非活性气体管线6与反应物气体管线7之间的连接点10处的压力PB。在投送状态下,因此,来自反应物气体管线7的反应物蒸气和来自非活性气体管线6的非活性气体汇合到进料管线8中并且被馈送到反应器组件4中。另外,由真空源5沿着排放管线9反向抽吸相对小部分的反应物蒸气通过流量限制器13。举例来说,在一些实施例中,可以沿着排放管线反向抽吸约3%与约20%之间的反应物蒸气。在各种实施例中,举例来说,对于低蒸气固体源(例如氯化铪),可以反向抽吸约3%与约5%之间的反应物蒸气。在一些实施例中,对于相对高蒸气源(如水或臭氧),可以反向抽吸约10%与约20%之间的反应物蒸气。在各种实施例中,反向抽吸的量可以取决于流量限制器13的尺寸。汇合的非活性和反应物气体传到处理腔室中并且与衬底反应以形成薄膜。
在投送之后,可以通过关闭反应物气体阀14和第一关断阀16a来吹扫处理腔室。如上文所解释,第二关断阀16b可以在投送和吹扫期间都保持打开。当关闭第一关断阀16a时,所有(或大体上所有)非活性气体突然被驱动通过非活性气体管线6,并且无(或大体上无)非活性气体通过前级管线15。通过非活性气体管线6的非活性气体的突然增加的流量快速增加在吹扫期间非活性气体的流动速率和压力(例如,反应物气体管线7与惰性气体管线6之间的连接点10处的压力PB)。增加的非活性气体流动通过非活性气体管线6和进料管线8到反应器组件4以吹扫反应器组件4的不合需要的物种。在吹扫之后,可以通过打开阀14、16a来实施另一投送状态(其可以包括不同前体或反应物蒸气)。可以依序重复所述方法直到衬底上的薄膜已经达到所要厚度和/或均匀性。
非活性气体的增加的流动速率和压力有益地减少吹扫时间、提高IGV切换速度(例如,从投送状态转换到吹扫状态),并且可以缩短反应物气体的脉冲宽度和/或脉冲时间间隔。可以由于连接点10处的显著更高的压力PB而改进反应物和/或非活性气体之间的扩散屏障。因此,可以显著减少ALD加工时间和反应器系统污染和/或可以减小在投送期间反应物蒸气的稀释。此外,可以通过由本文所公开的脉冲输送和吹扫技术提供的扩散屏障来至少部分调节本文所公开的IGV过程(例如,投送与吹扫状态之间的切换)。物理阀14、16a、16b、17a、17b和流量控制器12、14可以有利地在由与反应器组件4相关的高加工温度产生的热区外提供。因此,阀14、16a-16b和17a-17b可以不受反应器组件4的高加工温度影响,并且不必利用高温环境中使用的专用阀。同时,连接点10与连接点11之间充当惰性气体阀的扩散屏障部分26在热区内接近反应腔室4操作,允许在停止反应物流动之后,快速切换,反应物最少持续扩散到反应腔室。
图3是根据另一实施例包含多个反应物气体源3a-3d的ALD装置1的示意性系统图。除非另外指出,否则图2中的组件可以与图1的类似编号的组件相同或大体上类似。举例来说,如同图2,在图3中,装置1可以包括被配置以向非活性气体分布管线6供应非活性气体的非活性气体源2和流量控制器12。此外,前级管线15可以在连接点20a与非活性气体分布管线6连接以充当非活性气体分布管线6到真空源5的选择性旁路。可以沿着前级管线15提供第一关断阀16a和第一可调阀17a。
不同于图2的实施例,在图3中,可以提供多个反应物蒸气源3a-3d。每个反应物蒸气源3a-3d可以含有可以彼此不同的气化反应物气体。有益的是,使用多个(例如,两个、三个、如所展示的四个、五个、六个等)反应物源可以使得能够在衬底上形成更复杂的化合物和/或冗余供应反应物以允许在不中断沉积的情况下再装填反应物源。每个反应物蒸气源3a-3d连接到相应反应物气体管线7a-7d。相应反应物气体阀14a-14d可以被配置以允许或阻止对应的气体流从反应气体源3a-3d到反应物气体管线7a-7d。此外,对于每个反应物气体管线7a-7d,相应排放管线9a-9d可以在连接点11a-11d处连接到反应物气体管线7a-7d。可以沿着排放管线9a-9d在连接点11a-11d与连接点18a-18d之间沿着前级管线15提供相应流量限制器13a-13d。
此外,在图3中,多个非活性气体管线6a-6d可以流体连接到非活性气体分布管线6(并且从其分支)。如图3中所示,多个非活性气体管线6a-6d可以在相应连接点20b-20e处连接到非活性气体分布管线6。如同图2,每个非活性气体管线6a-6d可以包括相应关断阀16b-16e和相应可调阀17b-17e。如同图2,关断阀16a-16e可以包括具有打开状态和关闭状态的阀。在打开状态下,允许气体自由流动通过阀16a-16e。在关闭状态下,阻断气体流动通过阀16a-16e。在各种实施例中,关断阀16a-16e可以仅具有两种状态,例如完全打开或完全关闭。在所说明的实施例中,举例来说,关断阀16a-16e包括由控制系统可操作地控制的气动阀,所述控制系统可以包括被配置以电子地启用气动阀的处理电子装置。然而,在其它实施例中,关断阀16a-16e可以是可调的或允许呈“关闭”状态的滴流。
可调阀17a-17e可以被配置以可调地调节以多个非零流动速率通过其的气体流量。举例来说,在一些实施例中,可调阀17a-17e可以调整沿着具有可能流动速率的连续区的流动速率。在各种实施例中,可以将可调阀17a-17e设定在产生穿过阀17a-17e的所要压力梯度的预定设定点下。在所说明的实施例中,可调阀17a-17e可以包括可以被手动或自动地调整以控制流动通过其的气体的流动速率的针阀。举例来说,在各种实施例中,可以将可调阀17a-17e设定到不同预定设定点以控制气体通过前级管线15和通过非活性气体管线6a-6d的相对流量。
在第一反应物从第一反应物源3a的投送状态期间,可以打开第一反应物阀14a,并且可以(例如,在一些实施例中,气动地)打开前级管线15的第一关断阀16a。在投送状态期间,在一些实施例中,沿着对应的非活性气体供应管线6a-6d的关断阀16b-16e可以在整个沉积方法期间保持打开(例如,完全打开)。举例来说,关断阀16b-16e可以在投送任何和/或所有反应物蒸气期间以及在吹扫期间保持打开。然而,在其它实施例中,例如,如果相关反应物蒸气并不用于沉积程序,那么可以在投送和/或吹扫期间关闭关断阀16b-16e中的一个或多个。
当打开沿着前级管线15的第一关断阀16a时,大量(例如,大部分)非活性气体可以流动通过前级管线15到真空源5,因此绕过非活性气体管线6a-6d。因此,由流量控制器12计量的不到全部非活性气体(例如,小部分非活性气体流)可以流动通过对应的非活性气体管线6a-6d到与反应物管线7a-7d的对应的连接点10a-10d。如结合图2所解释,为了设定在投送期间通过前级管线15的非活性气体流的相对量,可以将第一可调阀17a-17e设定在预定设定点下。举例来说,为了设定在投送期间大部分非活性气体流通过前级管线15,可以设定沿着前级管线15的第一可调阀17a以提供比其它可调阀17b-17e全体更大的流导率。因此,以这种方式,当打开沿着前级管线15的第一关断阀16a时,大量(例如,大部分)非活性气体可以被抽吸到前级管线15中而非被驱动到对应的非活性气体管线6a-6d中。作为一个实例,可调阀17a-17e和气体管线15、6、6a-6d的尺寸可以被选择,以使得在投送期间,约40%与80%之间的非活性气体(例如,在各种实施例中,约50%与75%之间的非活性气体)流动通过前级管线15。
为了从第一反应物源脉冲输送第一反应物蒸气,举例来说,如上文所解释,由于相对少量的非活性气体流动通过非活性气体管线6a,因此与第一非活性气体管线6a的连接点10处的压力PB可以小于反应物气体管线7a与排放管线9a之间的连接点11a处的压力PA。第一反应物蒸气(其可以包括可以与非活性气体相同或不同的运载气体)可以在连接点10a处与非活性气体汇合,并且可以将汇合的反应物和非活性气体沿着进料管线8a馈送到反应器组件4。在图3的实施例中,反应器组件4包括安置于处理腔室23上游并且借助于进料管线8e与所述处理腔室流体连接的混合器22,所述混合器可以促进沿着进料管线8a的反应物蒸气气体与通过所有作用中进料管线8a-8d供应的非活性气体的更均匀混合。当然,对于两种反应物的ALD工序,两个反应物管线7a、7b和相应非活性气体管线6a、6b可以在作用中(阀16b、16c打开同时反应物阀14a和14b脉冲),同时关闭两个反应物管线7c、7d和相应非活性气体管线6c、6d(可以关闭阀14c、14d、16d、16e)。可以沿着进料管线8e将混合气体从混合器22馈送到处理腔室。如所提到,在通过第一进料管线8a脉冲输送第一反应物蒸气期间,非活性气体也可以流动(以相对低流动速率)通过对应的进料管线8b-8d。在一些实施例中,可以依序将与反应物蒸气源3a-3d不同的反应物馈送到反应器组件4,例如,在依序交替的投送状态与介入吹扫状态期间。在其它实施例中,以CVD模式或混杂CVD/ALD模式操作,可以同时(或大体上同时)将超过一种反应物馈送到反应器组件4。
在将第一反应物蒸气通过第一进料管线8a脉冲输送到反应器组件4之后,可以用非活性气体吹扫反应器组件4以去除过量反应物、副产物和/或其它不合需要的材料。在吹扫状态下,(例如,气动地)关闭反应物气体阀14a(和任何其它打开的反应物气体阀)和第一关断阀16a。如上文所解释,非活性气体关断阀16b-16e(对于所述工作程序,至少那些连接到作用中的反应物管线7的阀)可以在投送和吹扫期间都保持打开。当关闭第一关断阀16a时,所有(或大体上所有)非活性气体突然被驱动通过非活性气体分布管线6,并且无(或大体上无)非活性气体通过前级管线15。流动通过非活性气体分布管线6的非活性气体可以分布到对应的非活性气体管线6a-6d。通过非活性气体管线6a-6d的非活性气体的突然增加的流量快速增加在吹扫期间非活性气体的流动速率和压力(例如,反应物气体管线7a-7d与惰性气体管线6a-6d之间的连接点10a-10d处的压力PB)。快速流动的非活性气体反向流动通过排放管9a-9d以在对应的连接点10a-10d与11a-11d之间在对应的扩散屏障部分26a-26d形成有效扩散屏障,并且流动通过非活性气体管线6a-6d和进料管线8a-8d到反应器组件4以吹扫反应器组件4(例如,混合器22和/或处理腔室23)的不合需要的物种。在吹扫之后,可以通过打开第二反应物阀14b和关断阀16a来实施另一投送状态(其可以包括不同前体或反应物蒸气)。可以依序重复所述方法直到衬底上的薄膜已经达到所要厚度和/或均匀性。
如上文关于图2所解释,非活性气体的增加的流动速率和压力有益地减少吹扫时间、提高IGV切换速度(例如,从投送状态转换到吹扫状态)、提高IGV扩散屏障(例如,扩散屏障部分26a-26d)的有效性,并且可以缩短反应物气体的脉冲宽度和/或脉冲时间间隔。可以由于连接点10a-10d处的显著更高的压力PB而改进反应物和/或非活性气体之间的扩散屏障。因此,可以显著减少ALD加工时间和反应器系统污染。此外,可以通过由本文所公开的脉冲输送和吹扫技术提供的扩散屏障来至少部分调节本文所公开的IGV过程(例如,投送与吹扫状态之间的切换)。IGV过程可以有利地在由与反应器组件4相关的高加工温度产生的热区外提供。因此,阀14a-14d、16a-16e和17a-17e可以不受反应器组件4的高加工温度影响,并且不必利用高温环境中使用的专用阀。
同时在一些布置中,可以使用多个流量控制器(如流量控制器12)以控制沿着非活性气体管线的非活性气体的流量。在此类布置中,举例来说,每个非活性气体管线可以利用相连的单独流量控制器(如MFC)。然而,图3中所示的实施例仅利用单一流量控制器12以调节非活性气体对于整个装置1的流量。通过仅利用一个流量控制器,与将多个流量控制器用于非活性气体的装置相比,可以显著降低ALD装置1的总费用。举例来说,对于四个单独反应物管线上的IGV,所说明的布置可以在流量控制器12处采用一个质量流量控制器(MFC)并且将五个针阀用于可调阀17a-17d。与四个MFC相比,图3的实施例可以用三分之一或更少的成本提供在投送和吹扫期间对相对流动速率的个别控制。
ALD装置的实例实施方案
表1基于图3的系统说明可调阀17a-17e设定点的第一实例,其可以用以提高吹扫速度和实现与所公开的实施例相关的其它前述优点。表1中列出的参数基于流量控制器12向通过非活性气体分布管线6的非活性气体提供2000sccm的流动速率并且假定四个作用中反应物气体管线7a-7d而计算。为了初始校准特定阀17a-17e,行1中提及的压力设定点值是如当关闭每一个其它可调阀时由压力传感器19测量的压力。举例来说,阀17b为700托的设定点压力反映当将阀17b设定在特定预定设定点下并且关闭其它阀17a和17c-17e时由传感器19测量的压力。可以对其它阀17c-17e重复校准。
Figure BDA0001825087760000171
表1:均匀分布非活性气体流的实例参数.
表1中所示的实例说明通过气体管线6a-6d的流动速率在装置从投送切换到吹扫时的变化。在表1中,已经将阀17b-17e设定为允许非活性气体相对均匀流动通过非活性气体管线6a-6d。如上文所解释,在一些实施例中,可以将前级管线的第一阀17a设定在比通过非活性气体管线6a-6d的组合传导率显著更大程度地打开的压力设定点下。因此,在投送期间,打开关断阀16a,并且一部分(例如,在一些布置中,大部分)非活性气体(1320sccm)流动通过前级管线15。另一部分(例如,在一些布置中,小部分)非活性气体流动通过每个非活性气体管线6a-6d(在每个管线中170sccm)。当装置切换到吹扫状态(例如,通过关闭阀16a)时,通过前级管线15的流动速率下降到零(或在一些实施例中,滴流),并且将这一额外非活性气体均匀地引导到对应的非活性气体管线6a-6d,因为可调阀17b-17e在这个实例中具有相同设定。如表1中所示,通过每个非活性气体管线6a-6d的流量例如从投送期间的170sccm增加到吹扫期间的500sccm,流动速率有几乎三倍的增加。如本文所解释,在吹扫期间显著增加的流量可以显著减少加工时间并且可以改进扩散屏障。
表2基于图3的系统说明可调17a-17e设定点的第二实例,其可以增加分别与第二和第三非活性气体管线6b、6c相连的可调阀17b和17c的吹扫流量。在一些方法中,举例来说,用户可能需要优先增加与非活性气体管线6a、6d相比通过非活性气体管线6b、6c的吹扫。如同表1,表2的值与由流量控制器12向非活性气体分布管线6提供的非活性气体的2000sccm的流动速率相关。
Figure BDA0001825087760000181
/>
表2:优先吹扫流动通过非活性气体管线6b、6c的实例参数.
如表2中所示,可以将阀17c、17d的设定点与阀17b、17e相比设定在更高压力设定点下。增加压力设定点可以对应于在特定阀中产生增加的压力梯度。如表2中所示,这一增加的压力梯度可以显著增加通过气体管线6b、6c的吹扫流动速率。举例来说,如表2中所示,对于管线6b、6c中的每一个,118sccm的投送流动速率例如可以大体上增加到用于吹扫的711sccm,流动速率有大约六倍的增加。相比之下,通过管线6a、6d的流动速率在装置从投送切换到吹扫时不会明显地变化。举例来说,对于未用的气体管线6a、6d,阀17a、17d的设定可以允许从投送到吹扫状态有较少的压力调节偏移,以使得大部分压力调节偏移可以在使用的气体管线6b、6c上出现。
表3基于图3的系统说明可调17a-17e设定点的第三实例,其可以进一步增加通过非活性气体管线6b、6c的吹扫速率。举例来说,在一些方法工序中,可以不使用反应物气体源中的一个或多个。在此类布置中,可以关闭与馈送到相连反应物气体管线中的非活性气体管线相连的关断阀。在表3的实例中,不使用第一反应物气体源7a,并且关闭与非活性气体管线6a相连的关断阀16b。关断关断阀16b可以有益地导致与表2中所示的实例相比更多非活性气体流动通过气体管线6b、6c。举例来说,因为在这个实例中关断气体管线6a,所以额外非活性气体流可以流动通过气体管线6b、6c。通过气体管线6d的非活性气体的流量不明显增加,因为与阀17e对于气体管线6d所允许的相比,阀17c、17d中的限制允许通过气体管线6b、6c的更大流量。
Figure BDA0001825087760000191
表3:在关断阀16b关闭下优先吹扫流动通过非活性气体管线6b、6c的实例参数.
图4是说明根据各种实施例的ALD方法40的流程图。方法40在决定区块41中开始,确定一定剂量的反应物蒸气是否要供应到反应器组件。如果决定为否,那么方法40结束。如果决定为是,那么方法40移到区块42,向反应物管线供应反应物蒸气。如本文所解释,可以切换反应物气体阀以使反应物蒸气流动到反应物气体管线。在一些实施例中,反应物蒸气的蒸气压力可以足够高以便当打开阀时流动通过反应物气体管线。在其它实施例中,非活性运载气体可以流动通过一部分反应物蒸气源以沿着反应物气体管线驱动反应物蒸气。在一些实施例中,ALD装置包括多个反应物蒸气源和多个相连反应物气体管线。
移到区块43,以第一流动速率向非活性气体管线供应非活性气体。如本文所解释,可以使用流量控制器(如MFC)调节非活性气体的流量。在一些实施例中,流量控制器可以实现非活性气体通过每个非活性气体管线的相对恒定的流动速率。在一些实施例中,通过共同惰性气体分布通道来馈送多个惰性气体管线。如本文所解释,在各种实施例中,每个惰性气体管线可以包含关断阀(其可以具有打开状态和关闭状态)和可以被设定在多个不同流动速率下的可调阀(如针阀)。在一些实施例中,在整个沉积方法中,可以将可调阀各自保持在恒定设定下。
此外,如本文所解释,旁路管线(例如,前级管线)可以连接到非活性气体管线和反应物气体管线并且充当绕非活性气体管线和反应物气体管线的旁路。在一些实施例中,旁路管线还可以包含关断阀(其可以具有打开状态和关闭状态)和可以被设定在多个不同流动速率下的可调阀(如针阀)。可以设定沿着旁路管线的可调阀以便允许非活性气体在投送期间一定程度地流动通过旁路管线。举例来说,在一些实施例中,可以将可调阀设定得比流动通过沿着非活性气体管线安置的可调阀的传导率显著更大程度地打开。以这种方式,在投送期间,一部分(例如,在一些实施例中,大部分)非活性气体可以沿着旁路管线流动,并且另一部分(例如,在一些实施例中,小部分)非活性气体可以流动通过非活性气体管线。
在区块44中,可以沿着进料管线将反应物和非活性气体馈送到反应器组件。如上文所解释,反应物蒸气的压力可以足够高以便在其进入反应器组件时使反应物蒸气夹带有惰性气体。在一些实施例中,可以将汇合的反应物和惰性气体在混合器中混合,并且随后借助于处理腔室进料管线递送到处理腔室。在其它实施例中,可以将汇合的气体在无介入混合器的情况下馈送到处理腔室。
移到决定区块45,作出决定是否要吹扫反应器组件。如果不需要额外吹扫,那么方法40结束。如果需要额外吹扫,那么方法40移到区块46,其中以大于第一流动速率的第二流动速率向非活性气体管线供应非活性气体。如本文所解释,增加吹扫期间的流动速率可以有益地减少加工时间并且改进IGV扩散屏障。可以调节或设定沿着惰性气体管线的可调阀,以使得在吹扫期间实现流动速率的所要增加。
方法40移到区块47,其中将非活性气体馈送到反应器组件。非活性气体可以进而吹扫反应器组件的未用的反应物、副产物和/或其它不合需要的材料。方法40返回到决定区块41,确定是否需要另一投送状态的另一(或相同)反应物。可以重复方法40直到薄膜以所要厚度和均匀性在衬底上形成。
尽管已经出于澄清和理解的目的借助于说明和实例详细描述前文,但本领域的技术人员清楚可以实践某些改变和修改。因此,描述和实例不应被理解为将本发明的范围限制在本文所描述的特定实施例和实例,而是实际上还涵盖属于本发明的真正范围和精神内的所有修改和替代方案。此外,实践本发明未必需要所有的在上文所描述的特征、方面和优点。

Claims (24)

1.一种原子层沉积(ALD)方法,包括:
将第一反应物蒸气脉冲输送到反应器组件中,所述脉冲输送包括:
向第一反应物气体管线供应所述第一反应物蒸气;
以第一流动速率向第一非活性气体管线供应非活性气体;和
借助于第一进料管线将所述第一反应物蒸气和所述非活性气体馈送到所述反应器组件;以及
吹扫所述反应器组件,所述吹扫包括:
以高于所述第一流动速率的第二流动速率向所述第一非活性气体管线供应所述非活性气体;
将所述非活性气体的第一部分沿着所述第一反应物气体管线的扩散屏障部分反向馈送通过所述第一非活性气体管线以提供在所述第一非活性气体管线上游的惰性气体阀(IGV);
借助于所述第一进料管线将所述非活性气体的第二部分馈送到所述反应器组件;和
借助于连接到真空源的旁路管线向所述第一非活性气体管线和向所述第一反应物管线施加吸力。
2.根据权利要求1所述的ALD方法,进一步包括在所述脉冲输送期间,将所述非活性气体以第三流动速率从所述第一非活性气体管线抽吸通过所述旁路管线。
3.根据权利要求2所述的ALD方法,在所述吹扫期间,关闭旁路管线阀以取消从所述第一非活性气体管线的所述抽吸。
4.根据权利要求3所述的ALD方法,进一步包括:
将第二反应物蒸气脉冲输送到所述反应器组件中,所述脉冲输送所述第二反应物蒸气包括:
向第二反应物气体管线供应所述第二反应物蒸气;
以第四流动速率向第二非活性气体管线供应所述非活性气体;和
借助于第二进料管线将所述第二反应物蒸气和所述非活性气体馈送到所述反应器组件;以及
额外吹扫所述反应器组件,所述额外吹扫包括:
以高于所述第四流动速率的第五流动速率向所述第二非活性气体管线供应所述非活性气体;和
借助于所述第二进料管线将所述非活性气体馈送到所述反应器组件。
5.根据权利要求4所述的ALD方法,进一步包括依序脉冲输送所述第一反应物蒸气、吹扫所述反应器组件、脉冲输送所述第二反应物蒸气和额外吹扫所述反应器组件。
6.根据权利要求4所述的ALD方法,其中所述旁路管线借助于非活性气体分布管线与所述第一和第二非活性气体管线流体连通,所述方法包括借助于所述非活性气体分布管线用所述旁路管线向所述第一和第二非活性气体管线施加吸力。
7.根据权利要求4所述的ALD方法,其中所述第五流动速率高于所述第二流动速率。
8.根据权利要求3所述的ALD方法,进一步包括用可调阀调节通过所述第一非活性气体管线的气体流量。
9.根据权利要求8所述的ALD方法,其中调节通过所述第一非活性气体管线的所述气体流量包括产生穿过所述可调阀的压力梯度。
10.根据权利要求9所述的ALD方法,进一步包括在所述吹扫期间,快速增加所述第一非活性气体管线和所述进料管线中的所述非活性气体的压力。
11.根据权利要求8所述的ALD方法,进一步包括用第二可调阀调节通过所述旁路管线的气体流量。
12.根据权利要求11所述的ALD方法,进一步包括调节所述第二可调阀以具有高于所述第一可调阀的流导率。
13.一种原子层沉积(ALD)装置,包括:
反应器组件;
被配置以从第一反应物蒸气源供应第一反应物蒸气的第一反应物气体管线,所述第一反应物气体管线包括扩散屏障部分;
被配置以从非活性气体源供应非活性气体的第一非活性气体管线;
与所述第一反应物气体管线和所述第一非活性气体管线中的每一个在第一连接点处连通以向所述反应器组件供应所述第一反应物蒸气和所述非活性气体的第一进料管线;
在所述第一连接点上游在第二连接点处与所述第一反应物气体管线连通的排放管线,所述扩散屏障部分安置在所述第一连接点和第二连接点之间;
与所述排放管线在第三连接点处流体连通并且与所述第一非活性气体管线在第四连接点处流体连通的旁路管线,所述旁路管线被配置以向所述第一反应物气体管线和向所述第一非活性气体管线施加吸力;
沿着所述第一非活性气体管线的第一阀,所述第一阀具有打开状态和关闭状态;和
沿着所述第一非活性气体管线的第二阀,所述第二阀包括被配置以可调地调节以多个非零流动速率通过所述第一非活性气体管线的气体流量的可调阀。
14.根据权利要求13所述的ALD装置,其中所述第二阀包括针阀。
15.根据权利要求13所述的ALD装置,进一步包括电连接到所述第一阀和第二阀的控制系统,所述控制系统被配置以:
在所述ALD装置的投送状态期间使得所述第一阀和第二阀以第一流动速率向所述第一非活性气体管线供应所述非活性气体;和
在所述ALD装置的吹扫状态期间使得所述第一阀和第二阀以第二流动速率向所述第一非活性气体管线供应所述非活性气体,所述第二流动速率高于所述第一流动速率。
16.根据权利要求13所述的ALD装置,其中沿着所述第一反应物气体管线的所述第二连接点在所述第一反应物气体管线与所述第一非活性气体管线之间的第一连接点上游。
17.根据权利要求13所述的ALD装置,进一步包括沿着所述旁路管线的第三阀和第四阀,所述第三阀具有打开状态和关闭状态,所述第四阀包括被配置以可调地调节以多个非零流动速率通过所述旁路管线的气体流量的可调阀。
18.根据权利要求13所述的ALD装置,进一步包括:
被配置以向第二反应物气体管线供应第二反应物蒸气的第二反应物气体源;
被配置以向所述反应器组件供应所述第二反应物蒸气和所述非活性气体的第二进料管线;
沿着所述第二非活性气体管线的第三阀,所述第三阀具有打开状态和关闭状态;和
沿着所述第二非活性气体管线的第四阀,所述第四阀包括被配置以可调地调节以多个非零流动速率通过所述第二非活性气体管线的气体流量的可调阀。
19.根据权利要求18所述的ALD装置,其中所述旁路管线借助于非活性气体分布管线与所述第一和第二非活性气体管线流体连通,所述旁路管线被配置以借助于所述非活性气体分布管线向所述第一和第二非活性气体管线施加吸力。
20.根据权利要求18所述的ALD装置,其中所述反应器组件包括混合器和在所述混合器下游并且借助于处理腔室进料管线与所述混合器流体连通的处理腔室。
21.根据权利要求13所述的ALD装置,进一步包括被配置以调节所述非活性气体到所述第一非活性气体管线的气体流量的质量流量控制器(MFC)。
22.一种原子层沉积(ALD)装置,包括:
反应器组件;
被配置以从非活性气体源供应非活性气体的非活性气体分布管线;
被配置以控制沿着所述非活性气体分布管线流动的所述非活性气体的量的流量控制器;
在多个反应物蒸气源与所述反应器组件之间连通的多个反应物气体管线,每个反应物气体管线包括对应的扩散屏障部分;
在所述流量控制器下游从所述非活性气体分布管线分支的多个非活性气体管线,所述非活性气体管线中的每一个被配置以在所述反应物气体管线之一和该非活性气体管线之间的对应第一连接点处将非活性气体从所述非活性气体分布管线连通到对应的进料管线;
在所述流量控制器下游从所述非活性气体分布管线分支的旁路管线,所述旁路管线被配置以在所述非活性气体分布管线与真空源之间以及在所述多个反应物气体管线和所述真空源之间提供流体连通,所述反应物气体管线中的每一个借助于对应的第二连接点与所述旁路管线流体连通,每个反应物气体管线的所述扩散屏障部分被安置在所述对应的第一连接点和第二连接点之间;和
控制系统,所述控制系统被配置以:
在所述ALD装置的投送状态期间使得大部分所述非活性气体流动通过所述旁路管线,以及使得小部分所述非活性气体流动通过所述多个非活性气体管线的第一非活性气体管线;和
在所述ALD装置的吹扫状态期间使得大部分所述非活性气体流动通过所述第一非活性气体管线,以便沿着所述多个反应物气体管线的第一反应物气体管线的所述扩散屏障部分的压力差使得扩散屏障充当惰性气体阀(IGV)以限制第一反应物蒸气扩散至所述反应器组件中。
23.根据权利要求22所述的ALD装置,其中所述多个反应物气体管线中的每一个包含通向所述真空源的排放管线,所述排放管线中的每一个接合与相应非活性气体管线和相应反应物蒸气源的连接点之间的所述反应物气体管线。
24.根据权利要求22所述的ALD装置,其中在所述ALD装置的所述吹扫状态期间,所述控制系统被配置以关闭流动通过所述旁路管线,以便在所述吹扫状态期间所有所述非活性气体流动通过所述第一非活性气体管线。
CN201811181548.5A 2017-10-16 2018-10-11 用于原子层沉积的系统和方法 Active CN109666921B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310722452.XA CN116623157A (zh) 2017-10-16 2018-10-11 用于原子层沉积的系统和方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/785,231 2017-10-16
US15/785,231 US10927459B2 (en) 2017-10-16 2017-10-16 Systems and methods for atomic layer deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202310722452.XA Division CN116623157A (zh) 2017-10-16 2018-10-11 用于原子层沉积的系统和方法

Publications (2)

Publication Number Publication Date
CN109666921A CN109666921A (zh) 2019-04-23
CN109666921B true CN109666921B (zh) 2023-07-07

Family

ID=66097372

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201811181548.5A Active CN109666921B (zh) 2017-10-16 2018-10-11 用于原子层沉积的系统和方法
CN202310722452.XA Pending CN116623157A (zh) 2017-10-16 2018-10-11 用于原子层沉积的系统和方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202310722452.XA Pending CN116623157A (zh) 2017-10-16 2018-10-11 用于原子层沉积的系统和方法

Country Status (4)

Country Link
US (2) US10927459B2 (zh)
KR (1) KR20190042466A (zh)
CN (2) CN109666921B (zh)
TW (2) TWI793197B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3619335A4 (en) * 2017-05-02 2021-03-10 Picosun Oy ALD APPARATUS, PROCESS AND VALVE
US10927459B2 (en) * 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US11718913B2 (en) * 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
CN113227450A (zh) * 2019-02-28 2021-08-06 株式会社国际电气 半导体器件的制造方法、衬底处理装置及程序
KR20210143943A (ko) * 2019-04-19 2021-11-29 램 리써치 코포레이션 원자층 증착 동안 급속 플러시 퍼징
FI129501B (en) * 2019-04-25 2022-03-31 Beneq Oy Gas distribution unit in connection with the ALD reactor
KR102494377B1 (ko) 2019-08-12 2023-02-07 커트 제이. 레스커 컴파니 원자 스케일 처리를 위한 초고순도 조건
CN112908902B (zh) * 2021-02-10 2024-04-09 长江存储科技有限责任公司 半导体器件处理设备及处理方法
KR20230140080A (ko) 2022-03-29 2023-10-06 정진호 수돗물 정수용 필터
CN115595559A (zh) * 2022-10-27 2023-01-13 拓荆科技股份有限公司(Cn) 多腔室半导体设备

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6451692B1 (en) * 2000-08-18 2002-09-17 Micron Technology, Inc. Preheating of chemical vapor deposition precursors
WO2015190749A1 (en) * 2014-06-11 2015-12-17 Dnf Co., Ltd. Novel amino-silyl amine compound and the manufacturing method of dielectric film containing si-n bond by using atomic layer deposition
CN106103795A (zh) * 2014-01-23 2016-11-09 雅达公司 蒸气输送系统

Family Cites Families (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3715644A1 (de) 1987-05-11 1988-12-01 Fraunhofer Ges Forschung Molekularstrahlepitaxieanlage
WO1990010092A1 (en) 1989-02-24 1990-09-07 Massachusetts Institute Of Technology A modified stagnation flow apparatus for chemical vapor deposition providing excellent control of the deposition
US5856567A (en) * 1995-06-07 1999-01-05 Novus International, Inc. Continuous hydrolysis process for preparing 2-hydroxy-4-methylthiobutanioc acid or salts thereof
JP3258885B2 (ja) 1995-12-27 2002-02-18 東京エレクトロン株式会社 成膜処理装置
GB9724168D0 (en) * 1997-11-14 1998-01-14 Air Prod & Chem Gas control device and method of supplying gas
AU1218401A (en) 1999-10-20 2001-04-30 Cvd Systems, Inc. Fluid processing system
NL1013938C2 (nl) * 1999-12-23 2001-06-26 Asm Int Inrichting voor het behandelen van een wafer.
JP2001203211A (ja) * 2000-01-20 2001-07-27 Hitachi Kokusai Electric Inc 水素アニール処理方法及びその装置
FI117980B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä ohutkalvon kasvattamiseksi alustalle
FI118805B (fi) * 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
US6319640B1 (en) 2000-05-26 2001-11-20 Eastman Kodak Company Imaging element containing a blocked photographically useful compound
KR100351056B1 (ko) * 2000-06-27 2002-09-05 삼성전자 주식회사 선택적 금속산화막 형성단계를 포함하는 반도체 소자의 제조방법
FI20001694A0 (fi) * 2000-07-20 2000-07-20 Asm Microchemistry Oy Menetelmä ohutkalvon kasvattamiseksi substraatille
JPWO2002058141A1 (ja) * 2001-01-18 2004-05-27 株式会社渡邊商行 気化器及びそれを用いた各種装置並びに気化方法
JP2002339071A (ja) * 2001-05-18 2002-11-27 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Alcvdシステムにおける処理ガス供給機構
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
KR100439948B1 (ko) * 2002-04-19 2004-07-12 주식회사 아이피에스 리모트 플라즈마 ald 장치 및 이를 이용한 ald 박막증착방법
TWI273642B (en) * 2002-04-19 2007-02-11 Ulvac Inc Film-forming apparatus and film-forming method
US7601225B2 (en) * 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
JP2004063807A (ja) * 2002-07-29 2004-02-26 Elpida Memory Inc 半導体装置の製造方法
US6779378B2 (en) * 2002-10-30 2004-08-24 Asm International N.V. Method of monitoring evaporation rate of source material in a container
KR100520902B1 (ko) * 2002-11-20 2005-10-12 주식회사 아이피에스 알루미늄 화합물을 이용한 박막증착방법
CN1777696B (zh) * 2003-03-14 2011-04-20 杰努斯公司 用于原子层沉积的方法和设备
JP4180948B2 (ja) * 2003-03-24 2008-11-12 東京エレクトロン株式会社 基板処理装置および基板処理方法、ガスノズル
KR100521380B1 (ko) * 2003-05-29 2005-10-12 삼성전자주식회사 박막 증착 방법
DE60317642T2 (de) * 2003-06-11 2008-10-30 Asm International N.V. Gaszufuhrvorrichtung, Ventilanordnung und Verfahren zur Erzeugung von Reaktantpulsen mit einer Ventilanordnung
US7156380B2 (en) * 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050211315A1 (en) * 2004-03-25 2005-09-29 Jorg Henderikus H Rotatable valve
KR20060007325A (ko) * 2004-07-19 2006-01-24 삼성전자주식회사 플라즈마 유도 원자층 증착 기술을 이용한 유전막 형성 방법
KR20060020194A (ko) * 2004-08-31 2006-03-06 삼성전자주식회사 Ald 박막 증착 장치 및 그를 이용한 증착 방법
US7846499B2 (en) 2004-12-30 2010-12-07 Asm International N.V. Method of pulsing vapor precursors in an ALD reactor
US7918938B2 (en) * 2006-01-19 2011-04-05 Asm America, Inc. High temperature ALD inlet manifold
JP5037510B2 (ja) * 2006-08-23 2012-09-26 株式会社堀場エステック 集積型ガスパネル装置
US8137462B2 (en) * 2006-10-10 2012-03-20 Asm America, Inc. Precursor delivery system
JP5248025B2 (ja) * 2007-03-01 2013-07-31 東京エレクトロン株式会社 SrTiO3膜の成膜方法およびコンピュータ読取可能な記憶媒体
JP2009076881A (ja) * 2007-08-30 2009-04-09 Tokyo Electron Ltd 処理ガス供給システム及び処理装置
US8440259B2 (en) * 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP2009088229A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
JP5459895B2 (ja) * 2007-10-15 2014-04-02 Ckd株式会社 ガス分流供給ユニット
WO2009057583A1 (ja) * 2007-10-31 2009-05-07 Tohoku University プラズマ処理システム及びプラズマ処理方法
NL1036153A1 (nl) * 2007-11-08 2009-05-11 Asml Netherlands Bv Method and system for determining a suppression factor of a suppression system and a lithographic apparatus.
JP4961381B2 (ja) * 2008-04-14 2012-06-27 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
US8741062B2 (en) * 2008-04-22 2014-06-03 Picosun Oy Apparatus and methods for deposition reactors
JP4667541B2 (ja) 2008-10-20 2011-04-13 旭有機材工業株式会社 渦巻き式流体混合器及び渦巻き式流体混合器を用いた装置
US8017527B1 (en) * 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
JP2012515842A (ja) * 2009-01-16 2012-07-12 ビーコ・インスツルメンツ・インコーポレーテッド ルテニウムの低温堆積のための組成物及び方法
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
WO2011024777A1 (ja) * 2009-08-27 2011-03-03 株式会社アルバック 真空処理装置及び真空処理方法
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US9790594B2 (en) * 2010-12-28 2017-10-17 Asm Ip Holding B.V. Combination CVD/ALD method, source and pulse profile modification
US8524322B2 (en) * 2010-12-28 2013-09-03 Asm International N.V. Combination CVD/ALD method and source
JP5236755B2 (ja) * 2011-01-14 2013-07-17 東京エレクトロン株式会社 成膜装置及び成膜方法
KR102111702B1 (ko) * 2011-04-07 2020-05-15 피코순 오와이 플라즈마 소오스를 갖는 원자층 퇴적
US8746284B2 (en) * 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
US10364496B2 (en) * 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
WO2013016208A2 (en) * 2011-07-22 2013-01-31 Applied Materials, Inc. Reactant delivery system for ald/cvd processes
US8728239B2 (en) * 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
US9096931B2 (en) * 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9574268B1 (en) * 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9194041B2 (en) * 2011-11-02 2015-11-24 Ube Industries, Ltd. Tris(dialkylamide)aluminum compound, and method for producing aluminum-containing thin film using same
US9388492B2 (en) * 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US8911826B2 (en) * 2012-08-02 2014-12-16 Asm Ip Holding B.V. Method of parallel shift operation of multiple reactors
JP5616416B2 (ja) * 2012-11-02 2014-10-29 株式会社フジキン 集積型ガス供給装置
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
CN104798446B (zh) 2013-03-12 2017-09-08 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
US9447497B2 (en) * 2013-03-13 2016-09-20 Applied Materials, Inc. Processing chamber gas delivery system with hot-swappable ampoule
US20140349469A1 (en) * 2013-05-22 2014-11-27 Qualcomm Mems Technologies, Inc. Processing for electromechanical systems and equipment for same
US20150004798A1 (en) * 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US10443127B2 (en) * 2013-11-05 2019-10-15 Taiwan Semiconductor Manufacturing Company Limited System and method for supplying a precursor for an atomic layer deposition (ALD) process
US9353440B2 (en) * 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US20150184287A1 (en) * 2013-12-26 2015-07-02 Intermolecular, Inc. Systems and Methods for Parallel Combinatorial Vapor Deposition Processing
US9663857B2 (en) * 2014-04-07 2017-05-30 Asm Ip Holding B.V. Method for stabilizing reaction chamber pressure
KR101875183B1 (ko) * 2014-06-11 2018-07-06 (주)디엔에프 신규한 아미노실릴아민 화합물 및 원자층 증착법을 이용한 Si-N 결합을 포함하는 절연막의 제조방법
US9994956B2 (en) * 2014-08-11 2018-06-12 University Of Kansas Apparatus for in situ deposition of multilayer structures via atomic layer deposition and ultra-high vacuum physical or chemical vapor deposition
US9396956B1 (en) * 2015-01-16 2016-07-19 Asm Ip Holding B.V. Method of plasma-enhanced atomic layer etching
US9478415B2 (en) * 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
KR20170019668A (ko) * 2015-08-12 2017-02-22 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
US9455138B1 (en) * 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9627221B1 (en) * 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US10515783B2 (en) * 2016-02-23 2019-12-24 Lam Research Corporation Flow through line charge volume
US11453943B2 (en) * 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) * 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
JP6685179B2 (ja) * 2016-06-01 2020-04-22 東京エレクトロン株式会社 基板処理方法
US9738977B1 (en) * 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10340135B2 (en) * 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10619242B2 (en) * 2016-12-02 2020-04-14 Asm Ip Holding B.V. Atomic layer deposition of rhenium containing thin films
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
KR102065243B1 (ko) * 2017-05-01 2020-01-10 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
US10312055B2 (en) * 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10927459B2 (en) * 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
KR20210048408A (ko) * 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
TW202200830A (zh) * 2020-02-26 2022-01-01 美商應用材料股份有限公司 用於ald 處理的循序脈衝和淨化

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6451692B1 (en) * 2000-08-18 2002-09-17 Micron Technology, Inc. Preheating of chemical vapor deposition precursors
CN106103795A (zh) * 2014-01-23 2016-11-09 雅达公司 蒸气输送系统
WO2015190749A1 (en) * 2014-06-11 2015-12-17 Dnf Co., Ltd. Novel amino-silyl amine compound and the manufacturing method of dielectric film containing si-n bond by using atomic layer deposition

Also Published As

Publication number Publication date
TW202319576A (zh) 2023-05-16
US10927459B2 (en) 2021-02-23
TWI827448B (zh) 2023-12-21
US11814727B2 (en) 2023-11-14
TW201923139A (zh) 2019-06-16
KR20190042466A (ko) 2019-04-24
US20190112707A1 (en) 2019-04-18
CN109666921A (zh) 2019-04-23
US20210156026A1 (en) 2021-05-27
TWI793197B (zh) 2023-02-21
CN116623157A (zh) 2023-08-22

Similar Documents

Publication Publication Date Title
CN109666921B (zh) 用于原子层沉积的系统和方法
US10370761B2 (en) Pulsed valve manifold for atomic layer deposition
US11208722B2 (en) Vapor flow control apparatus for atomic layer deposition
KR100522951B1 (ko) 기질 코팅 시스템 및 기질 코팅 시스템용 선-반응 장치
US7846499B2 (en) Method of pulsing vapor precursors in an ALD reactor
KR100979575B1 (ko) 원자층 침착 장치 및 이의 제조방법
US7723245B2 (en) Method for manufacturing semiconductor device, and substrate processing apparatus
US7020981B2 (en) Reaction system for growing a thin film
EP1038048B1 (en) Gas feeding system for chemical vapor deposition reactor and method of controlling the same
US6905547B1 (en) Method and apparatus for flexible atomic layer deposition
KR20120028305A (ko) 기판상에 박막을 성장시키는 방법 및 장치
EP1887104A2 (en) Radical assisted batch film deposition
US20050221004A1 (en) Vapor reactant source system with choked-flow elements
JP2005303292A (ja) 薄膜形成装置
JP2006516304A (ja) 薄膜を層状堆積させるための方法及び装置
US20120100308A1 (en) Ternary metal alloys with tunable stoichiometries
US20080026148A1 (en) Film Forming System And Method For Forming Film
US20060251815A1 (en) Atomic layer deposition methods
JP4356943B2 (ja) 基板処理装置及び半導体装置の製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant