TWI827448B - 原子層沉積裝置 - Google Patents

原子層沉積裝置 Download PDF

Info

Publication number
TWI827448B
TWI827448B TW112102101A TW112102101A TWI827448B TW I827448 B TWI827448 B TW I827448B TW 112102101 A TW112102101 A TW 112102101A TW 112102101 A TW112102101 A TW 112102101A TW I827448 B TWI827448 B TW I827448B
Authority
TW
Taiwan
Prior art keywords
inert gas
valve
line
reactant
flow
Prior art date
Application number
TW112102101A
Other languages
English (en)
Other versions
TW202319576A (zh
Inventor
任成 劉
Original Assignee
荷蘭商Asm Ip私人控股有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip私人控股有限公司 filed Critical 荷蘭商Asm Ip私人控股有限公司
Publication of TW202319576A publication Critical patent/TW202319576A/zh
Application granted granted Critical
Publication of TWI827448B publication Critical patent/TWI827448B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)

Abstract

本發明係關於一種原子層沉積裝置,其包括反應器總成、不活性氣體分佈管線、流量控制器、複數個反應物氣體管線、複數個不活性氣體管線、旁通管線、控制系統、第一閥及第二閥,其中各反應物氣體管線包括對應擴散障壁部分,且控制系統經組態以在原子層沉積裝置之配料狀態期間,使大部分不活性氣體流動通過旁通管線,且非零小部分不活性氣體流動通過複數個不活性氣體管線的第一不活性氣體管線,以及以在原子層沉積裝置之沖洗狀態期間,使大部分不活性氣體流動通過第一不活性氣體管線,使得沿複數個反應物氣體管線中的第一反應物氣體管線的擴散障壁部分的壓差導致擴散障壁充作惰性氣體閥以限制第一反應物蒸氣的擴散至反應器總成中。

Description

原子層沉積裝置
本領域係關於用於原子層沉積(ALD)之系統,且特別是有關於一種原子層沉積裝置。
原子層沉積(ALD)係一種在基板上生長高度均勻薄膜的方法。在時分ALD(time-divided ALD)反應器中,將基板置於不含雜質的反應空間中且將至少兩種不同的揮發性前驅體(反應物氣體)以氣相交替及重複地注入至反應空間中。由於反應物及基板溫度係經選擇使得交替注入之氣相前驅體之分子僅於基板上與其表面層反應,所以膜生長係基於在基板表面上發生的自限制表面反應從而形成原子或分子之固態層。反應物係以足夠高的劑量注入,以使表面在各注入循環期間實際上飽和。因此,該製程係高度自調節的,不取決於起始物料之濃度,藉此可達成單一原子或分子層之極高的膜均勻度及厚度準確度。在空間分割(space-divided )ALD反應器中得到類似的結果,其中基板移動至用來交替暴露至不同反應物的區中。反應物可有助於生長膜(前驅體)及/或提供其他功能,諸如自前驅體之經吸附物種除去配位體以利於後續反應物的反應或吸附。
ALD方法可用於生長元素及化合物薄膜兩者。ALD可涉及使兩種或更多種反應物於循環中重複交替,且不同循環可具有不同數目的反應物。純粹的ALD反應傾向於每循環產生少於一單層,儘管ALD之變體可於每循環沉積多於一單層。
使用ALD方法生長膜因其之逐步(逐層)特性而可能係緩慢的製程。使至少兩個氣體脈衝交替以形成一層期望材料,且使脈衝保持彼此分離以防止膜的不受控生長及污染ALD反應器。於各脈衝後,將薄膜生長製程之氣態反應產物以及呈氣相的過量反應物自反應空間移除,或將基板自包含其等之區移開。在時分實例中,此可藉由抽汲反應空間,藉由在連續脈衝之間用不活性氣體流動沖洗反應空間,或兩者來達成。沖洗在反應物脈衝之間使用管道中之不活性氣體之柱。沖洗因其之效率及其於連續脈衝之間形成有效擴散障壁的能力而被廣泛地以生產規模使用。亦定期使用惰性沖洗氣體作為反應物脈衝期間的載氣,在反應物蒸氣饋送至反應空間之前將其稀釋。
充足的基板暴露及反應空間之良好沖洗係成功的ALD製程所需。換言之,脈衝應足夠強以使基板實際上地飽和(在漸近飽和曲線之平坦部分中)且沖洗應足夠有效率以自反應器移除實際上所有前驅體殘留物及不期望的反應產物。沖洗時間可相對於前驅體暴露時間而言相當地長。
為加速膜生長製程,需要能夠縮短沖洗期間,及因此縮短脈衝間隔的方法。然而,增進製程循環時間之其中一個最具挑戰性的因素為暫時加寬反應物蒸氣脈衝。連續脈衝應保持充分地分開,因若以過於頻繁的間隔饋送,則氣體會因其等有限的上升及下降時間而混合。脈衝加寬係三種主要現象的結果:於反應物與惰性氣體流動之間形成的壓力梯度,氣體擴散,及氣體於反應器表面上的吸附和脫附。所有此等效應導致反應物蒸氣與惰性氣體混合,導致長沖洗時間以確保在適當的ALD條件下操作。在行進波脈衝方法中,反應物注入至連續惰性載體流中,反應器進給管線中之總壓力在管線中之反應物分壓增加的同時增加,導致脈衝不僅因擴散及吸附/脫附而加寬,且亦因壓力梯度驅動流而加寬。
因此,仍持續需要加速薄膜生長製程,同時降低反應物蒸氣脈衝暫時加寬的效應。
本揭示之系統及方法具有若干特徵,其等沒有單一者係單獨負責其期望的特質。不限制由隨後申請專利範圍所表示之本揭示範疇,現將簡要地論述各種特徵。在思考本論述之後,特別是於閱讀標題為「實施方式」的部分之後,當可明瞭本文中描述之特徵如何提供優於傳統氣體遞送方法及系統的若干優點。
揭示一種原子層沉積(ALD)方法。該ALD方法可包括將第一反應物蒸氣脈衝至反應器總成中。該脈衝可包括將第一反應物蒸氣供應至第一反應物氣體管線。該脈衝可包括將不活性氣體以第一流率供應至第一不活性氣體管線。該脈衝可包括經由第一進給管線將第一反應物蒸氣及不活性氣體進給至反應器總成。該ALD方法可進一步包括沖洗反應器總成。該沖洗可包括將不活性氣體以高於第一流率之第二流率供應至第一不活性氣體管線。該沖洗可包括將不活性氣體之第一部分沿第一反應物氣體管線之擴散障壁部分往回饋送,以在第一不活性氣體管線之上游提供惰性氣體閥(IGV)。該沖洗可包括經由第一進給管線將不活性氣體之第二部分進料至反應器總成。
在另一具體例中,揭示一種原子層沉積(ALD)裝置。該ALD裝置可包括反應器總成及經組態以自第一反應物蒸氣源供應第一反應物蒸氣之第一反應物氣體管線。該ALD裝置可包括經組態以自不活性氣體源供應不活性氣體之第一不活性氣體管線。該ALD裝置可包括與第一反應物氣體管線及第一不活性氣體管線之各者連通以將第一反應物蒸氣及不活性氣體供應至反應器總成的第一進給管線。該ALD裝置可包括在第一不活性氣體管線之上游與第一反應物氣體管線連通的排洩管線。該ALD裝置可包括沿第一不活性氣體管線之第一閥,該第一閥具有開啟狀態及關閉狀態。該ALD裝置可包括沿第一不活性氣體管線之第二閥,該第二閥包括經組態來以複數個非零流率可調整地調節通過第一不活性氣體管線之氣體流量的可調整閥。
在另一具體例中,揭示一種原子層沉積(ALD)裝置。該ALD裝置可包括反應器總成及經組態以自不活性氣體源供應不活性氣體之不活性氣體分佈管線。該ALD裝置可包括經組態以控制沿不活性氣體分佈管線流動之不活性氣體量的流量控制器。該ALD裝置可包括在複數個反應物蒸氣源與反應器總成之間連通的複數個反應物氣體管線。該ALD裝置可包括在流量控制器之下游自不活性氣體分佈管線分支的複數個不活性氣體管線,各不活性氣體管線經組態以將不活性氣體自不活性氣體分佈管線連通至其中一個反應物氣體管線。該ALD裝置可包括在流量控制器之下游自不活性氣體分佈管線分支的旁通管線,該旁通管線經組態以於不活性氣體分佈管線與真空源之間提供流體連通。
本文中揭示之具體例可利用經組態用於任何適宜氣體或氣相沉積製程的半導體加工裝置。舉例而言,所說明之具體例顯示使用原子層沉積(ALD)技術於基板上沉積材料的各種系統。在氣相沉積技術中,ALD具有許多優點,包括在低溫下之高等形性及在製程期間之組成物的良好控制。ALD類型製程係基於反應物化學品之受控、自限制之表面反應。在時分ALD反應器中,藉由將前驅體交替及依序地進給至反應室中來避免氣相反應。氣相反應物例如藉由在反應物脈衝之間自反應室移除過量的反應物及/或反應物副產物而在反應室中彼此分離。移除可藉由各種技術完成,包括在脈衝之間沖洗及/或降低壓力。脈衝可以連續流動連續,或反應器可經隔離且可針對各脈衝回填。
簡言之,將基板載入至反應室中且一般在減壓下加熱至合適沈積溫度。通常將沉積溫度維持在低於前驅體熱分解溫度但在足夠高的值下,以避免反應物凝結並提供供期望表面反應用之活化能。當然,用於任何給定ALD反應之適宜溫度窗將視表面終端及所涉及的反應物物種而定。
第一反應物蒸氣係以氣相脈衝形式傳送至腔室中並與基板表面接觸。較佳選擇條件,使得不超過約一個單層的前驅體以自限制方式吸附於基板表面上。通常利用諸如氮或氬之惰性氣體的脈衝自反應室沖洗若有之過量的第一反應物及反應副產物。
沖洗反應室意指諸如藉由利用真空泵抽空室及/或藉由用諸如氬或氮之惰性氣體置換反應器內部之氣體而將氣相前驅體及/或氣相副產物自反應室移除。用於單一晶圓反應器之典型的沖洗時間係約0.05至20秒,諸如介於約1及10秒之間,例如介於約1及2秒之間。然而,若需要,可利用其他沖洗時間,諸如當需要在極高縱橫比結構或其他具有複雜表面形態之結構上方沉積層時,或當使用高容量批式反應器時。適宜的階段及循環時間可由熟悉技藝人士基於特定情勢輕易地決定。
將第二反應物蒸氣提供至腔室中,在此其與結合至表面之第一反應物蒸氣的物種反應。較佳藉助惰性或不活性氣體將過量的第二反應物蒸氣及表面反應之氣態副產物自反應室沖洗出。重複交替地提供反應物及沖洗直至已將期望厚度之薄膜形成於基板上為止,其中各循環留下不多於一分子單層。一些ALD製程可具有更複雜的序列,其中有三個或更多個反應物脈衝交替。反應物亦可以其個自的階段或利用前驅體脈衝供應至基板以除去或吸收經黏附的配位體及/或游離副產物,而非向膜提供元素。另外,並非所有循環皆需相同。舉例而言,可藉由不頻繁地添加第三反應物脈衝(例如,每五個循環)將二元膜摻雜第三元素,來控制膜的化學計量,且可於沉積期間改變該頻率以將膜組成分級。此外,雖然經描述為以吸附反應物開始,但一些處方可例如以另一反應物或以另外的表面處理開始,以確保用來起始ALD反應的最大反應位點(例如,就某些處方而言,水脈衝可於基板上提供羥基以提高某些ALD前驅體的反應性)。
如上文所提及,每一循環之每一脈衝或階段較佳為自限制的。在每一階段中供應過量的反應物前驅體以實際上地使敏感結構表面飽和。表面飽和確保反應物佔據所有可用反應性位點(受到例如實體大小或位阻(steric hindrance)限制),且因此確保在基板上之任何表面形態上方之極佳的階梯覆蓋率。在一些配置中,自限制行為之程度可藉由,例如,容許反應物脈衝之一些重疊,以用等形性換取沉積速度(藉由容許一些CVD類型反應)來調整。反應物於時間及空間上充分分離之理想的ALD條件提供接近完美的自現制行為及因此最大的等形性,但位阻導致每循環少於一個分子層。與自限制ALD反應混合之受限CVD反應可提高沉積速度。雖然本文中描述之具體例特別有利於依序脈衝沉積技術,如ALD及混合模式ALD/CVD,但本文中揭示之反應器總成亦可用於脈衝或連續CVD加工。
可使用之合適反應器的實例包括市售的ALD設備,諸如可購自ASM International(Almere, Netherlands)之Pulsar ®、EmerALD ®、Eagle ®系列反應器中的任一者。可使用許多其他種類之能夠ALD生長薄膜的反應器,包括設有用於交替供應反應物之適當設備及構件的CVD反應器。
在各種具體例中,ALD製程可在反應器或連接至群集工具的反應空間中進行。在群集工具中,由於各反應空間係專用於一種類型的製程,因此各模組中之反應空間的溫度可維持恆定,其相較於在各次運轉前將基板加熱至製程溫度之反應器可改善生產量。獨立式反應器可配備有負載鎖定。在該情況下,在各次運轉之間不需冷卻反應空間。此等製程亦可在經設計來同時加工多個基板的反應器(例如,微批型噴淋頭反應器)中進行。又其他類型的反應器總成可與本文中揭示之具體例結合使用。
與當前的ALD實施方案相比,本文中揭示之各種具體例可提供顯著較高的沖洗流率及沖洗壓力的快速增加,且可降低反應物蒸氣脈衝的暫時加寬。有利地,本文中揭示之具體例可藉由縮短脈衝寬度及改良脈衝間隔而導致較快速的膜生長。此外,改良脈衝間隔可有利地降低反應物蒸氣與不活性氣體的互混且可降低反應器總成及各種供給管線中的污染。此外,可將本文中提出的惰性氣體閥件(IGV)解決方案提供於反應器總成之熱區外部,使得反應物及不活性氣體管線中所使用之閥無需暴露至反應器總成之高溫,因此避免因閥暴露至高溫所產生之污染問題。此外,相較於利用多個流量控制器之其他實施方案,本文中揭示之各種具體例可利用單個調整不活性氣體至用於系統之多個反應物之多個氣體管線之流量的流量控制器,其可進一步降低系統成本。相對於使用個別控制器,藉由添加相對廉價的部件,諸如針閥及額外管線(例如,前級管線),本文中描述之系統容許個別控制多個不活性氣體管線中之氣體導度,以容許,例如,在沖洗狀態期間的較高惰性氣體流量及於沖洗期間IGV之較快速啟動以停止反應物流動。因此,本文中揭示之具體例可顯著改良ALD製程之生產力,從而導致降低的加工及組裝成本。
圖1係利用惰性氣體閥件(IGV)之習知原子層沉積(ALD)裝置1的示意系統圖。ALD裝置1可包括經組態以將不活性氣體供應至不活性氣體管線6的惰性或不活性氣體源2。不活性氣體可包括不與反應物蒸氣或其上欲沉積薄膜之基板反應的氣體。不活性氣體亦可用來阻止不同反應物群之物質間的反應,例如,藉由在反應物階段之間於至反應器總成之進給管線中提供擴散障壁。在本文中揭示之具體例中可使用任何適宜類型的不活性氣體,包括,例如,惰性氣體,諸如氮氣,及貴重氣體,例如,氬氣。不活性氣體亦可為天生反應性的氣體,諸如氫氣,用來取決於與其他反應物的相對反應性而阻止在基板表面上發生不期望的反應,例如,氧化反應。
流量控制器12可控制供應至不活性氣體管線6之不活性氣體的量(例如,流率)。在各種具體例中,流量控制器12可包括質量流量控制器(MFC),其可經組態以控制供應至不活性氣體管線6之不活性氣體的量或流率。在其他具體例中,可使用其他類型的流量控制器。
反應物蒸氣源3可經組態以將汽化前驅體或反應物蒸氣供應至反應物氣體管線7。反應物氣體閥14可經組態以開啟或關閉反應物蒸氣之自反應物氣體源3流動通過反應物氣體管線7。反應物氣體閥14可為任何適宜類型的閥,包括,例如,電磁型閥、氣動閥、壓電閥等。反應物氣體源3可提供反應物蒸氣。反應物蒸氣可包括能夠與基板表面或先前留在基板表面上之反應物反應的可汽化材料。反應物可係在標準條件下的天然固體、液體或氣體,且因此反應物蒸氣源3可包括蒸發器。反應物蒸氣源3亦可包括位在反應物氣體閥14上游的流量控制裝置。對於汽化反應物,流量控制器可控制惰性載氣通過蒸發器之流量。
術語「金屬性反應物」一般係指金屬性化合物,其可包括元素金屬。金屬性反應物之實例為金屬之鹵化物,包括,例如,氯化物及溴化物,及金屬-有機化合物諸如thd (2,2,6,6-四甲基-3,5-庚二酮)錯合化合物及Cp (—C5H5,環戊二烯基)化合物。金屬性反應物的更特定實例包括Zn、ZnCl 2、TiCl 4、Ca(thd) 2、(CH 3) 3Al及(Cp) 2Mg。非金屬性反應物可包括能夠與金屬性化合物反應之化合物及元素。非金屬性反應物可包括水、硫、硫化氫、氧、臭氧及氨以及諸如氫或氫/氮混合物之不同非金屬性反應物的電漿。又其他類型之蒸氣反應物可被使用。
如圖1所示,反抽吸或排洩管線9可於接頭11處流體連接至反應物氣體管線7。排洩管線9可流體連接至真空源5,且可沿排洩管線9設置流量限制器13以限制沿排洩管線9抽空之氣體的流量。流量限制器13可係在操作中不完全關閉之被動裝置或閥。在操作期間,真空源5可在可設置於反應物氣體閥14下游之接頭11處對反應物氣體管線7施加吸力。在各種具體例中,真空源5可在配料狀態(其中將反應物蒸氣供應至基板)及沖洗狀態(其中沖洗反應器總成中的過量反應物及副產物)兩者期間啟動。
不活性氣體管線6可在接頭10處與反應物氣體管線7結合。在各種具體例中,當反應物氣體閥14打開時,反應物蒸氣之蒸氣壓可足夠高,以沿著反應物氣體管線7驅動反應物蒸氣。在其他具體例中,反應物蒸氣可沿反應物氣體管線7主動地驅動,例如,反應物蒸氣源3可包括不活性載氣供給以沿著反應物氣體管線7驅動反應物蒸氣。不活性氣體流量可沿著不活性氣體管線6藉由流量控制器12來調整。在脈衝或配料狀態期間,不活性氣體可於接頭10處與反應物蒸氣合併,且經合併的蒸氣可沿進給管線8進給至反應器總成4。在各種配置中,反應器總成4可包括處理器室,其包括經組態以支撐基板(諸如晶圓)的基板支撐物。在一些配置中,沿進給管線8設置混合器,以在遞送至製程室之前混合反應物蒸氣與惰性氣體流。在其他配置中,未使用混合器,且個別的進給管線8可將反應物及不活性氣體遞送至製程室。ALD裝置1亦可包括沿進給管線8的擋板或擴張充氣部,以使反應物蒸氣及惰性氣體之流動擴展跨越基板。
在圖1中顯示之ALD裝置1的配料狀態期間,可打開反應物氣體閥14以將反應物蒸氣進給至反應物氣體管線7。可基於沿不活性氣體管線6之不活性氣體流率F I、沿反應物氣體管線7之反應物蒸氣流率F R、及沿排洩管線9自接頭11至真空源5之排洩流率F D來確定進入至反應器總成4中之氣體的總流率。舉例而言,沿進給管線8進入反應器總成4中之總流量可以F = F I+ F R- F D給出。在配料狀態中,在接頭11處沿反應物氣體管線之壓力P A大於在接頭10處在不活性氣體管線6與反應物氣體管線7之間的壓力P B。因此,在配料狀態中,來自反應物氣體管線7之反應物蒸氣及來自不活性氣體管線6之不活性氣體合併至進給管線8中並進給至反應器總成。此外,一小部分的反應物蒸氣沿著(經限制的)排洩管線9藉由真空源5抽吸。
於將反應物蒸氣進給至反應器總成4之配料狀態後,重要的是可於配料後用不活性氣體沖洗製程室以移除製程室中之所有或實質上所有過量的反應物氣體、副產物、及其他不期望物料,以防止與後續反應物的氣相反應。在沖洗狀態或製程期間,可關閉反應物氣體閥14以停止反應物蒸氣之流動至反應物氣體管線7。於關閉反應物氣體閥14後,接頭11處之壓力P A低於接頭10處之壓力P B。壓差可導致殘留前驅體物料及一部分之不活性氣體通過排洩管線9朝真空源5往回流動。由於反應物氣體閥14在沖洗期間關閉,因此僅不活性氣體可沿進給管線8流動以沖洗反應器總成4中的不期望物種。此產生不活性氣體沿設置於接頭10及接頭11間之反應物氣體管線7之擴散障壁部分26的往回流動,該擴散障壁部分充作針對反應物氣體管線7中殘留反應物之連續擴散或流動的擴散障壁。此擴散障壁充作接近反應室之反應器熱區內的「惰性氣體閥」,同時實際的閥及控制器12、14可保持在熱區外而不會受到高溫及隨之而來之污染物的磨損。於沖洗後,可藉由開始另一配料狀態(通常為另一反應物蒸氣)接著另一沖洗狀態來重複製程,直至已在製程室中將薄膜於基板上生長至期望厚度及均勻度為止。
雖然圖1中僅繪示一個反應物蒸氣源3及一個不活性氣體源2,但應明瞭,可於ALD裝置中設置複數個反應物蒸氣源3及/或不活性氣體源2。在該等配置中,針對所設置的各不活性氣體管線6,亦可設置對應的流量控制器12以調整通過該管線6之不活性氣體的流量。類似地,可針對各反應性氣體管線6設置對應的反應物氣體閥14。
如以上所解說,重要的是可於ALD裝置1中提供高生產量而不污染基板及/或反應器總成4。因此,重要的是可確保反應物蒸氣不會非期望地與彼此或與於沖洗狀態中所使用的不活性氣體互混。互混可因反應物與不活性氣體間的壓力梯度而發生,且會導致污染反應器總成4及/或基板。然而,加寬脈衝及/或增加脈衝間隔會不當地增加用來形成薄膜的總加工時間。沿不活性氣體管線的個別流量控制器12容許增加於所繪示IGV系統中於沖洗狀態期間的惰性氣體流量,其可有利地導致較快速的沖洗、較佳的擴散障壁及較快速的IGV關閉反應物。然而,諸如質量流量控制器的流量控制器相當昂貴且於若干反應物氣體管線中之各者上提供該控制會使成本成倍地增加。
圖2係根據一具體例之ALD裝置1的示意系統圖。除非另外指示,否則圖2中之組件可與圖1中類似編號的組件相同或大致相似。如同圖1,圖2之裝置1包括經組態以將不活性氣體供應至不活性氣體管線6的不活性氣體源2,及經組態以將反應物蒸氣供應至反應物氣體管線7的反應物蒸氣源3。此外,裝置1可包括經組態以將反應物蒸氣及不活性氣體供應至反應器總成4的進給管線8。如以上所解說,反應器總成4可包括連接至多個反應物源的混合器及在混合器下游之製程室。在其他具體例中,進給管線8可直接進給至處理器室而無中介的混合器。
此外,如同圖1,反應物氣體閥14可係關斷閥以容許或禁止反應物蒸氣自反應物蒸氣源3流至反應物氣體管線7。如參照圖1所指示,質量流量控制器亦可在脈衝閥反應物氣體閥14之上游或下游與反應物蒸氣源3相關聯。反應物氣體管線7可於接頭10處連接至不活性氣體管線6。如以上所解說,在配料或脈衝狀態期間,反應物蒸氣可藉不活性載氣輸送以將反應物及不活性載氣沿進給管線8進給至反應器總成4。在沖洗狀態期間,僅不活性氣體可通過進給管線8流動至反應器總成4,以沖洗反應器總成4中的不期望物種(例如,過量的反應物、副產物等)。
然而,不同於圖1之配置,在圖2中,旁通管線(例如,前級管線15)可在流量控制器12之下游在流量控制器12與接頭10之間流體連接至不活性氣體管線6。然而,在其他具體例中,旁通管線可位在裝置1之其他部分。前級管線15可與真空源5(或不同的真空源)流體連通,其可在介於前級管線15與不活性氣體管線6之間的接頭20處向不活性氣體管線6施加吸力。如圖2所示,可沿前級管線15設置與前級管線15流體連通的第一關斷閥16a及第一可調整閥17a。在各種具體例中,第一關斷閥16a可包括具有開啟狀態及關閉狀態的閥。在開啟狀態中,容許氣體自由流動通過閥16a。在關閉狀態中,阻止氣體流動通過閥16a。在各種具體例中,關斷閥16a可僅具有兩種狀態,例如,完全開啟或完全關閉。在所繪示之具體例中,例如,第一關斷閥16a包括藉由控制系統可操作地控制的氣動閥,該控制系統可包括經組態以電子啟動氣動閥的加工電子元件。然而,在其他具體例中,關斷閥16a可係可調整的或在「關閉」狀態中容許滴流。
第一可調整閥17a可包括經組態來以複數個非零流率可調節地調整通過前級管線15之氣體流量的可調整閥。舉例來說,在一些具體例中,可調整閥17a可沿連續的可能流率調整流率。在各種具體例中,可將可調整閥17a設定於跨越閥17a產生期望壓力梯度的預定設定點。在所繪示之具體例中,第一可調整閥17a可包括可經手動或自動調整以控制經抽吸通過前級管線15之氣體流率的針閥。舉例來說,在各種具體例中,可將第一可調整閥17a設定至提供跨越閥17a之期望壓力梯度及/或通過閥17a之流率的預定設定點。
此外,如圖2所示,排洩管線9可於接頭11處連接至反應物氣體管線7。排洩管線9可於接頭18處流體連接至前級管線15。在一些具體例中亦可沿排洩管線9設置流量限制器13。當經啟動時,真空源5亦可於接頭11處向反應物管線7施加吸力,以將氣體朝向真空源5往回抽取。
此外,如圖2所示,不活性氣體管線6可包括設置於與前級管線15之接頭20及與反應物氣體管線7之接頭10之間的第二關斷閥16b及第二可調整閥17b。可於閥16b、17b之一或兩者的上游設置壓力感測器19,以測量在閥16b及/或17b之上游流動通過不活性氣體管線6之不活性氣體的壓力。如同第一關斷閥16a,第二關斷閥16b可包括具有開啟狀態及關閉狀態之閥。在開啟狀態中,容許氣體自由流動通過閥16b。在關閉狀態中,阻止氣體流動通過閥16b。在各種具體例中,關斷閥16b可僅具有兩種狀態,例如,完全開啟或完全關閉。在所繪示之具體例中,例如,第二關斷閥16b包括藉由控制系統可操作地控制的氣動閥,該控制系統可包括經組態以電子啟動氣動閥的加工電子元件。然而,在其他具體例中,關斷閥16b可係可調整的。
類似地,第二可調整閥17b可包括經組態來以複數個非零流率可調節地調整通過不活性氣體管線6之氣體流量的可調整閥。舉例來說,在一些具體例中,可調整閥17b可沿連續的可能流率來調整流率。在各種具體例中,可將可調整閥17b設定於跨越閥17b產生期望壓力梯度的預定設定點。在所繪示之具體例中,第二可調整閥17b可包括可經手動或自動調整以控制經抽吸通過不活性氣體管線6之氣體流率的針閥。舉例來說,在各種具體例中,可將第二可調整閥17b設定至提供跨越閥17b之期望壓力梯度及/或通過閥17b之流率的預定設定點。
如以上所解說,重要的是在ALD製程中可增加生產量、降低加工時間、改良擴散障壁、及降低惰性氣體閥件(IGV)關閉速度(例如,製程自配料轉換至沖洗狀態之速度,及反之亦然)。本文中揭示之各種具體例藉由顯著增加在反應器總成4之沖洗期間沿不活性氣體管線6之不活性氣體流率F I來完成此等目標。增加不活性氣體流率F I可導致壓力的相當快速增加,其可因此導致較快速的沖洗製程、改良的擴散障壁、及較快速的IGV轉換速度。
在配料狀態或製程期間,可打開第一關斷閥16a及第一反應物閥14。在配料狀態期間亦打開第二關斷閥16b。實際上,在各種具體例中,第二關斷閥16b在配料狀態及沖洗狀態兩者期間保持打開,使得一些量的不活性氣體在配料及沖洗兩者期間流動通過不活性氣體管線6。在配料狀態中,第一及第二關斷閥16a、16b打開,可設定第一可調整閥17a,以相較於藉由設定第二可調整閥17b所提供之沿不活性氣體管線6之導度提供顯著更大之沿前級管線15的導度。因此,大部分來自不活性氣體源2及其流量控制器12之不活性氣體沿前級管線15朝真空源5流動,其因此充作配料期間的旁路或排氣孔。少部分不活性氣體可通過不活性氣體管線6饋送至與反應物氣體管線7的接頭10。有利地,可選擇可調整閥17a、17b之設定點,使得在配料期間,大部分的不活性氣體流動通過前級管線15及少部分的不活性氣體通過不活性氣體管線6流動至接頭10。舉例來說,在一些具體例中,可將第一可調整閥17a設定為較第二可調整閥17b開度更大,使得當第一關斷閥16a打開時,流動通過前級管線15的不活性氣體較通過不活性氣體管線6的更多。然而,該設備並不如此受限,且如將自轉變至下文說明之沖洗狀態之描述所明瞭,即使少於大部分的不活性氣體流量饋送通過前級管線15,亦可獲得好處。
在配料狀態中,在接頭11處沿反應物氣體管線7之壓力P A大於在介於不活性氣體管線6與反應物氣體管線7之間之接頭10處的壓力P B。因此,在配料狀態中,來自反應物氣體管線7之反應物蒸氣及來自不活性氣體管線6之不活性氣體合併至進給管線8中並饋送至反應器總成4。此外,相當少部分的反應物蒸氣沿排洩管線9藉由真空源5往回抽吸通過流量限制器13。舉例來說,在一些具體例中,介於約3%與約20%之間的反應物蒸氣可沿排洩管線往回抽吸。在各種具體例中,例如,就低蒸氣固體源(例如,氯化鉿)而言,介於約3%與約5%之間的反應物蒸氣可往回抽吸。在一些具體例中,就相對高蒸氣源(諸如水或臭氧)而言,介於約10%與約20%之間的反應物蒸氣可往回抽吸。在各種具體例中,往回抽吸量可取決於流量限制器13之大小。經合併的不活性及反應物氣體進入加工室中並與基板反應形成薄膜。
於配料後,可藉由關閉反應物氣體閥14及第一關斷閥16a來沖洗加工室。如以上所解說,第二關斷閥16b可於配料及沖洗兩者期間保持打開。當第一關斷閥16a關閉時,所有(或實質上所有)不活性氣體突然經驅動通過不活性氣體管線6,且沒有(或實質上沒有)不活性氣體通過前級管線15。不活性氣體之突然增加的流動通過不活性氣體管線6快速地增加沖洗期間之不活性氣體的流率及壓力(例如,於反應物氣體管線7與惰性氣體管線6之間之接頭10處的壓力P B)。增加的不活性氣體通過不活性氣體管線6及進給管線8流動至反應器總成4,以沖洗反應器總成4中的不期望物種。於沖洗後,可藉由打開閥14、16a來實施另一配料狀態(其可包括不同的前驅體或反應物蒸氣)。可依序重複製程,直至基板上之薄膜已達到期望厚度及/或均勻度為止。
不活性氣體之增加的流率及壓力有利地減少沖洗時間,增加IGV轉換速度(例如,自配料狀態轉變至沖洗狀態),且可縮短反應物氣體的脈衝寬度及/或脈衝間隔。反應物及/或不活性氣體之間的擴散障壁可因接頭10處之顯著更高的壓力P B而改良。結果,可顯著地降低ALD加工時間及反應器系統污染及/或可降低配料期間反應物蒸氣之稀釋。此外,可至少部分地藉由從本文中揭示之脈衝及沖洗技術所提供之擴散障壁來調整本文中揭示之IGV製程,例如,配料與沖洗狀態之間的轉換。實體閥14、16a、16b、17a、17b及流量控制器12、14可有利地設置於藉由與反應器總成4相關聯之高加工溫度所產生之熱區外。因此,閥14、16a-16b、及17a-17b可不受反應器總成4之高加工溫度影響,且無需利用於高溫環境中使用的專用閥。同時,介於接頭10與接頭11之間的擴散障壁部分26充作惰性氣體閥,在接近反應室4之熱區內操作,容許快速轉換且於停止反應物流動後有最少的反應物連續擴散至反應室。
圖3係根據另一具體例,包括複數個反應物氣體源3a-3d之ALD裝置1的示意系統圖。除非另外指示,否則圖2中之組件可與圖1中類似編號的組件相同或大致相似。舉例而言,如同圖2,在圖3中,裝置1可包括不活性氣體源2及經組態以將不活性氣體供給至不活性氣體分佈管線6的流量控制器12。此外,前級管線15可於接頭20a處與不活性氣體分佈管線6連接以充作不活性氣體分佈管線6至真空源5的選擇性旁路。可沿前級管線15設置第一關斷閥16a及第一可調整閥17a。
不同於圖2之具體例,在圖3中,可設置複數個反應物蒸氣源3a-3d。各反應物蒸氣源3a-3d可包含汽化的反應物氣體,其可彼此不同。有利地,使用多個(例如,兩個、三個、如所示的四個、五個、六個等)反應物源可使得能夠將更複雜的化合物形成於基板上及/或供應多餘的反應物以容許不停止沉積而再裝填反應物源。各反應物蒸氣源3a-3d連接至對應的反應物氣體管線7a-7d。對應的反應物氣體閥14a-14d可經組態以容許或禁止各別的氣體自反應物氣體源3a-3d流動至反應物氣體管線7a-7d。此外,針對各反應物氣體管線7a-7d,對應的排洩管線9a-9d可於接頭11a-11d處連接至反應物氣體管線7a-7d。對應的流量限制器13a-13d可沿排洩管線9a-9d設置於接頭11a-11d與沿前級管線15的接頭18a-18d之間。
此外,在圖3中,複數個不活性氣體管線6a-6d可流體連接至不活性氣體分佈管線6(及其自分支)。複數個不活性氣體管線6a-6d可於對應的接頭20b-20e處連接至不活性氣體分佈管線6,如圖3所示。如同圖2,各不活性氣體管線6a-6d可包括對應的關斷閥16b-16e及對應的可調整閥17b-17e。如同圖2,關斷閥16a-16e可包括具有開啟狀態及關閉狀態之閥。在開啟狀態中,容許氣體自由流動通過閥16a-16e。在關閉狀態中,阻止氣體流動通過閥16a-16e。在各種具體例中,關斷閥16a-16e可僅具有兩種狀態,例如,完全開啟或完全關閉。在所繪示之具體例中,例如,關斷閥16a-16e包括藉由控制系統可操作地控制的氣動閥,該控制系統可包括經組態以電子啟動氣動閥的加工電子元件。然而,在其他具體例中,關斷閥16a-16e可係可調整的或在「關閉」狀態中容許滴流。
可調整閥17a-17e可經組態來以複數個非零流率可調節地調整通過的氣體流量。舉例來說,在一些具體例中,可調整閥17a-17e可沿連續的可能流率調整流率。在各種具體例中,可將可調整閥17a-17e設定於跨越閥17a-17e產生期望壓力梯度的預定設定點。在所繪示之具體例中,可調整閥17a-17e可包括可經手動或自動調整以控制流動通過之氣體流率的針閥。舉例來說,在各種具體例中,可將可調整閥17a-17e設定至不同預定設定點以控制通過前級管線15及通過不活性氣體管線6a-6d之氣體的相對流量。
在第一反應物自第一反應物源3a之配料狀態期間,可打開第一反應物閥14a,且可打開前級管線15之第一關斷閥16a(例如,在一些具體例中以氣動方式)。在一些具體例中,在配料狀態期間,沿各別不活性氣體供給管線6a-6d的關斷閥16b-16e可於整個沉積製程期間保持打開(例如,完全打開)。舉例來說,關斷閥16b-16e可於任何及/或所有反應物蒸氣之配料期間以及於沖洗期間保持打開。然而,在其他具體例中,一或多個關斷閥16b-16e可於配料及/或沖洗期間關閉,例如,若相關聯的反應物蒸氣未被使用於沉積程序。
當沿前級管線15之第一關斷閥16a打開時,顯著量(例如,大部分)的不活性氣體可通過前級管線15朝向真空源5流動,因此繞開不活性氣體管線6a-6d。因此,少於全部之由流量控制器12所計量之不活性氣體(例如,少部分之不活性氣體流量)可通過各別的不活性氣體管線6a-6d流動至與反應物管線7a-7d之各別接頭10a-10d。如結合圖2所解說,為設定於配料期間流動通過前級管線15之不活性氣體的相對量,可將第一可調整閥17a-17e設定於預定設定點。舉例來說,為設定大部分的不活性氣體於配料期間流動通過前級管線15,可設定沿前級管線15之第一可調整閥17a以提供較其他可調整閥17b-17e之總和高的流導。因此,以此一方式,當打開沿前級管線15之第一關斷閥16a時,顯著量(例如,大部分)的不活性氣體可被抽吸至前級管線15中而非被驅入至各別的不活性氣體管線6a-6d中。舉例來說,可選擇可調整閥17a-17e及氣體管線15、6、6a-6d之尺寸,使得在配料期間,介於約40%與80%間之不活性氣體流動通過前級管線15,例如,在各種具體例中介於約50%與75%間之不活性氣體。
為自第一反應物源脈衝第一反應物蒸氣,例如,如以上所解說,歸因於相當少量的不活性氣體流動通過不活性氣體管線6a,在與第一不活性氣體管線6a之接頭10處的壓力P B可低於在介於反應物氣體管線7a與排洩管線9a間之接頭11a處的壓力P A。第一反應物蒸氣(其可包括可與不活性氣體相同或不同的載氣)可於接頭10a處與不活性氣體合併,且經合併的反應物及不活性氣體可沿進給管線8a饋送至反應器總成4。在圖3之具體例中,反應器總成4包括設置於加工室23之上游且經由進給管線8e與加工室23流體連接的混合器22,其可促成沿進給管線8a之反應物蒸氣與通過所有作用進給管線8a-8d供應之不活性氣體的更均勻混合。當然,就兩種反應物的ALD序列而言,兩個反應物管線7a、7b及對應的不活性氣體管線6a、6b可係作用的(閥16b、16c打開同時反應物閥14a及14b經脈衝),同時兩個反應物管線7c、7d及對應的不活性氣體管線6c、6d經關閉(閥14c、14d、16d、16e可經關閉)。混合氣體可自混合器22沿進給管線8e饋送至加工室。如所指示,在第一反應物蒸氣脈衝通過第一進給管線8a期間,不活性氣體亦可流動(以相對低的流率)通過各別的進給管線8b-8d。在一些具體例中,可將來自反應物蒸氣源3a-3d之不同反應物依序饋送至反應器總成4,例如,在依序交替的配料狀態與介入的沖洗狀態期間。在其他具體例中,以CVD模式或混合CVD/ALD模式操作,可將多於一種反應物同時(或實質上同時地)饋送至反應器總成4。
於通過第一進給管線8a將第一反應物蒸氣脈衝至反應器總成4後,可用不活性氣體沖洗反應器總成4以移除過量反應物、副產物、及/或其他不期望物料。在沖洗狀態中,反應物氣體閥14a(及任何其他開啟的反應物氣體閥)及第一關斷閥16a關閉(例如,以氣動方式)。如以上所解說,不活性氣體關斷閥16b-16e(至少彼等針對處方連接至作用反應物管線7者)可於配料及沖洗兩者期間保持開啟。當第一關斷閥16a關閉時,所有(或實質上所有)不活性氣體突然經驅動通過不活性氣體分佈管線6,且沒有(或實質上沒有)不活性氣體通過前級管線15。流動通過不活性氣體分佈管線6之不活性氣體可經分佈至各別的不活性氣體管線6a-6d。不活性氣體之突然增加的流動通過不活性氣體管線6a-6d快速地增加沖洗期間之不活性氣體的流率及壓力(例如,於介於反應物氣體管線7a-7d與惰性氣體管線6a-6d之間之接頭10a-10d處的壓力P B)。快速流動的不活性氣體通過排洩管線9a-9d往回流動,從而在介於各別接頭10a-10d及11a-11d之間的各別擴散障壁部分26a-26d處形成有效的擴散障壁,且通過不活性氣體管線6a-6d及進給管線8a-8d至反應器總成4來沖洗反應器總成4(例如,混合器22及/或製程室23)中的不期望物種。於沖洗後,可藉由打開第二反應物閥14b及關斷閥16a來實施另一配料狀態(其可包括不同的前驅體或反應物蒸氣)。可依序重複製程,直至基板上之薄膜已達到期望厚度及/或均勻度為止。
如以上針對圖2所解說,不活性氣體之增加的流率及壓力有利地減少沖洗時間、增加IGV轉換速度(例如,自配料狀態轉變至沖洗狀態)、增加IGV擴散障壁之效用(例如,於擴散障壁部分26a-26d中)、且可縮短反應物氣體的脈衝寬度及/或脈衝間隔。反應物及/或不活性氣體之間的擴散障壁可因接頭10a-10d處之顯著較高的壓力P B而獲得改良。結果,可顯著地降低ALD加工時間及反應器系統污染。此外,可至少部分地藉由從本文中揭示之脈衝及沖洗技術所提供之擴散障壁來調整本文中揭示之IGV製程,例如,配料與沖洗狀態之間的轉換。IGV製程可有利地設置於藉由與反應器總成4相關聯之高加工溫度所產生之熱區外。因此,閥14a-14d、16a-16e、及17a-17e可不受反應器總成4之高加工溫度影響,且無需利用於高溫環境中使用的專用閥。
在一些配置當中,可使用多個流量控制器(諸如流量控制器12)來控制沿不活性氣體管線之不活性氣體的流量。在該等配置中,例如,各不活性氣體管線可利用相關聯、個別的流量控制器(諸如MFC)。然而,圖3所示之具體例僅利用單個流量控制器12來調整整個裝置1之不活性氣體的流量。藉由僅利用一個流量控制器,可相較於利用多個用於不活性氣體之流量控制器的裝置顯著地降低ALD裝置1之總費用。舉例來說,對於在四個個別反應物管線上之IGV,所繪示之配置可於流量控制器12處使用一個質量流量控制器(MFC)及對可調整閥17a-17d使用五個針閥。相較於四個MFC,圖3之具體例可對配料及沖洗期間之相對流率提供個別控制以使成本為三分之一或更低。 ALD 裝置之實例實施方案
表1說明基於圖3之系統,可用來改良沖洗速度及達成與所揭示具體例相關聯之其他前述優點之可調整閥17a-17e設定點的第一實例。表1中所列之參數係基於針對通過不活性氣體分佈管線6之不活性氣體提供2000 sccm之流率之流量控制器12並假定四個作用反應物氣體管線7a-7d來計算。針對特定閥17a-17e之起始校準,於第1列中提及之壓力設定點值係當每個其他可調整閥關閉時藉由壓力感測器19所測得的壓力。舉例來說,閥17b之700托(Torr)之設定點壓力反映當將閥17b設定於特定預定設定點,且其他閥17a及17c-17e關閉時藉由感測器19所測得之壓力。可針對其他閥17c-17e重複該校準。
閥17a (前級管線15) 閥17b (氣體管線6a) 閥17c (氣體管線6b) 閥17d (氣體管線6c) 閥17e (氣體管線6d)
壓力設定點(托) 90 700 700 700 700
於配料狀態期間(閥16a打開)之流率(sccm) 1320 170 170 170 170
於沖洗狀態期間(閥16a關閉)之流率(sccm) 0 500 500 500 500
1 :不活性氣體流量之均勻分佈的實例參數
表1中顯示之實例說明當裝置自配料轉換至沖洗時,通過氣體管線6a-6d之流率的變化。在表1中,已設定閥17b-17e以容許不活性氣體之相當均勻地流動通過不活性氣體管線6a-6d。如以上所解說,在一些具體例中,可將前級管線之第一閥17a設定於較通過不活性氣體管線6a-6d之組合導度顯著更大開度的壓力設定點。因此,在配料期間,打開關斷閥16a,且一部分(例如,在一些配置中的大部分)不活性氣體(1320 sccm)流動通過前級管線15。另一部分(例如,在一些配置中的少部分)不活性氣體流動通過各不活性氣體管線6a-6d(於各管線中170 sccm)。當裝置轉換至沖洗狀態時(例如,藉由關閉閥16a),通過前級管線15之流率降至零(或在一些具體例中為滴流),且由於可調整閥17b-17e於此實例中具有相同設定,因而此額外不活性氣體經均勻地傳送至各別的不活性氣體管線6a-6d。如表1所示,通過各不活性氣體管線6a-6d之流量從配料期間的170 sccm增加至沖洗期間的500 sccm,例如,流率增加幾近三倍。如本文中所解說,沖洗期間顯著增加之流量可顯著減小加工時間且可改良擴散障壁。
表2說明基於圖3之系統,可增加分別與第二及第三不活性氣體管線6b、6c相關聯之可調整閥17b及17c之沖洗流量之可調整17a-17e設定點的第二實例。在一些製程中,例如,使用者可能希望相較於不活性氣體管線6a、6d優先增加通過不活性氣體管線6b、6c的沖洗。如同表1,表2之值係與藉由流量控制器12向不活性氣體分佈管線6提供之2000 sccm之不活性氣體流率相關聯。
閥17a (前級管線15) 閥17b (氣體管線6a) 閥17c (氣體管線6b) 閥17d (氣體管線6c) 閥17e (氣體管線6d)
壓力設定點(托) 90 365 900 900 365
於配料狀態期間(閥16a打開)之流率(sccm) 1182 291 118 118 291
於沖洗狀態期間(閥16a關閉)之流率(sccm) 0 289 711 711 289
2 :優先沖洗流動通過不活性氣體管線 6b 6c 之實例參數
如表2所示,可將閥17c、17d之設定點設定於較閥17b、17e高的壓力設定點。提高壓力設定點可對應於在特定閥中產生增加的壓力梯度。如表2所示,此增加的壓力梯度可顯著增加通過氣體管線6b、6c的沖洗流率。舉例來說,如表2所示,就管線6b、6c各者而言,118 sccm之配料流率可實質上增加至用於沖洗的711 sccm,例如,流率增加大約六倍。相對地,通過管線6a、6d之流率不會在裝置自配料轉換至沖洗時明顯地改變。舉例來說,就未使用的氣體管線6a、6d而言,閥17a、17d之設定可容許自配料至沖洗狀態的較少壓力調節改變,以致大部分的壓力調節改變可發生在經使用的氣體管線6b、6c上。
表3說明基於圖3之系統,可進一步提高通過不活性氣體管線6b、6c之沖洗速率之可調整17a-17e設定點的第三實例。舉例來說,在一些製程序列中,可能不使用一或多個反應物氣體源。在該等配置中,可關閉與饋送至相關聯之反應物氣體管線中之不活性氣體管線相關聯的關斷閥。在表3之實例中,未使用第一反應物氣體源7a,且關閉與不活性氣體管線6a相關聯的關斷閥16b。相較於表2中顯示之實例,關閉關斷閥16b可有利地導致更多不活性氣體流動通過氣體管線6b、6c。舉例來說,由於氣體管線6a在此實例中關閉,因此額外的不活性氣體流可流動通過氣體管線6b、6c。由於閥17c、17d中之限制容許較用於氣體管線6d之閥17e多的流量通過氣體管線6b、6c,因此不活性氣體之流動通過氣體管線6d不會明顯地增加。
閥17a (前級管線15) 閥17b (氣體管線6a) 閥17c (氣體管線6b) 閥17d (氣體管線6c) 閥17e (氣體管線6d)
壓力設定點(托) 90 N/A 900 900 365
於配料狀態期間(閥16a打開)之流率(sccm) 1383 0 138 138 341
於沖洗狀態期間(閥16a關閉)之流率(sccm) 0 0 831 831 338
3 :關斷閥 16b 關閉之優先沖洗流動通過不活性氣體管線 6b 6c 之實例參數。
圖4係說明根據各種具體例之ALD方法40的流程圖。方法40始於決定方塊41中來確定是否要將反應物蒸氣之配料供給至反應器總成。若決定為否,則方法40結束。若決定為是,則方法40移至方塊42來將反應物蒸氣供應至反應物管線。如本文中所解說,可開關反應物氣體閥以容許反應物蒸氣流至反應物氣體管線。在一些具體例中,反應物蒸氣之蒸氣壓可足夠高,以當打開閥時流動通過反應物氣體管線。在其他具體例中,不活性載氣可流動通過反應物蒸氣源之一部分以沿著反應物氣體管線驅動反應物蒸氣。在一些具體例中,ALD裝置包括多個反應物蒸氣源及多個相關聯的反應物氣體管線。
移動至方塊43,將不活性氣體以第一流率供應至不活性氣體管線。如本文中所解說,可使用諸如MFC之流量控制器來調整不活性氣體之流量。在一些具體例中,流量控制器可使得相當恆定流率的不活性氣體通過各不活性氣體管線。在一些具體例中,多個惰性氣體管線係藉由共同的惰性氣體分佈通道進給。如本文中所解說,在各種具體例中,各惰性氣體管線可包括關斷閥(其可具有開啟狀態及關閉狀態)及可設定於複數個不同流率之可調整閥(諸如針閥)。在一些具體例中,可調整閥可於整個沉積製程中各保持於恆定設定值。
此外,如本文中所解說,旁通管線(例如,前級管線)可連接至不活性氣體管線及反應物氣體管線並充作其之旁路。在一些具體例中,旁通管線亦可包括關斷閥(其可具有開啟狀態及關閉狀態)及可設定於複數個不同流率之可調整閥(諸如針閥)。沿旁通管線之可調整閥可經設定以容許一些流量之不活性氣體於配料期間通過旁通管線。舉例來說,在一些具體例中,可調整閥可經設定為較通過沿不活性氣體管線設置之可調整閥之流動的導度顯著更大的開度。以此一方式,在配料期間,一部分(例如,在一些具體例中之大部分)之不活性氣體可沿旁通管線流動,且另一部分(例如,在一些具體例中之小部分)之不活性氣體可流動通過不活性氣體管線。
在方塊44中,反應物及不活性氣體可沿進給管線饋送至反應器總成。如上文所解說,反應物蒸氣之壓力可充分地高,以在其進入反應器總成時用惰性氣體輸送反應物蒸氣。在一些具體例中,經合併的反應物及惰性氣體可於混合器中混合,及隨後經由製程室進給管線遞送至製程室。在其他具體例中,經合併的氣體可饋送至製程室而無中介的混合器。
移動至決定方塊45,決定是否要沖洗反應器總成。若不需要額外的沖洗,則方法40結束。若需要額外的沖洗,則方法40移至方塊46,其中將不活性氣體以大於第一流率之第二流率供應至不活性氣體管線。如本文中所解說,於沖洗期間增加流率可有利地減小加工時間及改良IGV擴散障壁。可調整或設定沿惰性氣體管線之可調整閥,使得於沖洗期間達成流率的期望增加。
方法40移至方塊47,其中將不活性氣體饋送至反應器總成。不活性氣體可藉此沖洗反應器總成中之未使用的反應物、副產物、及/或其他不期望物料。方法40回至決定方塊41來決定是否需要另一(或相同)反應物之另一配料狀態。可重複方法40直至將薄膜以期望厚度及均勻度形成於基板上為止。
雖然為清楚理解起見而經由繪示及實例詳細描述前述內容,但熟悉技藝人士當明瞭可實施某些變化及修改。因此,不應將描述及實例詮釋為限制發明範疇於本文中描述之特定具體例及實例,而是亦涵蓋伴隨著發明之真實範疇及精神之所有修改及替代。此外,並非一定需要所有上文描述之特徵、態樣及優點來實施本發明。
1:原子層沉積(ALD)裝置 2:惰性或不活性氣體源 3:反應物蒸氣源 3a,3b,3c,3d:反應物氣體源 4:反應器總成 5:真空源 6:不活性氣體管線;不活性氣體分佈管線 6a,6b,6c,6d:不活性氣體管線 7,7a,7b,7c,7d:反應物氣體管線 8,8a,8b,8c,8d,8e:進給管線 9:反抽吸或排洩管線 9a,9b,9c,9d:排洩管線 10,10a,10b,10c,10d:接頭 11,11a,11b,11c,11d:接頭 12:流量控制器 13,13a,13b,13c,13d:流量限制器 14,14a,14b,14c,14d:反應物氣體閥 15:前級管線 16a:第一關斷閥 16b:第二關斷閥;關斷閥 16c,16d,16e:關斷閥 17a:第一可調整閥 17b:第二可調整閥;可調整閥 17c,17d,17e:可調整閥 18,18a,18b,18c,18d:接頭 19:壓力感測器 20:接頭 20a,20b,20c,20d,20e:接頭 22:混合器 23:加工室 26,26a,26b,26c,26d:擴散障壁部分
現在將參看若干具體例的圖式來描述本發明之此等及其他特徵、態樣及優點,該等具體例意欲說明而非限制本發明。
圖1係習知之原子層沉積(ALD)裝置的示意系統圖。
圖2係根據一具體例之ALD裝置的示意系統圖。
圖3係根據另一具體例之包括複數個反應物氣體源之ALD裝置的示意系統圖。
圖4係說明根據各種具體例之ALD方法的流程圖。
1:原子層沉積(ALD)裝置
2:惰性或不活性氣體源
3:反應物蒸氣源
4:反應器總成
5:真空源
6:不活性氣體管線;不活性氣體分佈管線
8:進給管線
9:反抽吸或排洩管線
10:接頭
11:接頭
12:流量控制器
13:流量限制器
14:反應物氣體閥
15:前級管線
16a:第一關斷閥
16b:第二關斷閥;關斷閥
17a:第一可調整閥
17b:第二可調整閥;可調整閥
18:接頭
19:壓力感測器
20:接頭
26:擴散障壁部分

Claims (14)

  1. 一種原子層沉積裝置,其包括: 反應器總成; 經組態以自不活性氣體源供應不活性氣體之不活性氣體分佈管線; 經組態以控制沿該不活性氣體分佈管線流動之該不活性氣體量的流量控制器; 在複數個反應物蒸氣源與該反應器總成之間連通的複數個反應物氣體管線,各該反應物氣體管線包括對應擴散障壁部分; 在該流量控制器之下游自該不活性氣體分佈管線分支的複數個不活性氣體管線,該等不活性氣體管線之各者經組態以將不活性氣體自該不活性氣體分佈管線連通至在該等反應物氣體管線中之一者與該不活性氣體管線之間的對應第一接頭處的對應進給管線; 在該流量控制器之下游自該不活性氣體分佈管線分支的旁通管線,該旁通管線經組態以在該不活性氣體分佈管線與真空源之間且在該複數個反應物氣體管線與該真空源之間提供流體連通,該等反應物氣體管線之各者經由對應第二接頭與該旁通管線流體連通,各該反應物氣體管線的該擴散障壁部分設置於該對應第一接頭及該對應第二接頭之間; 控制系統經組態: 以在所述原子層沉積裝置之配料狀態期間,使大部分該不活性氣體流動通過該旁通管線,且非零小部分該不活性氣體流動通過該複數個不活性氣體管線的第一不活性氣體管線; 以在所述原子層沉積裝置之沖洗狀態期間,使大部分該不活性氣體流動通過該第一不活性氣體管線,使得沿該複數個反應物氣體管線中的第一反應物氣體管線的該擴散障壁部分的壓差導致擴散障壁充作惰性氣體閥以限制第一反應物蒸氣的擴散至該反應器總成中; 沿該第一不活性氣體管線之第一閥,該第一閥具有開啟狀態及關閉狀態;及 沿該第一不活性氣體管線之第二閥,該第二閥包括經組態來以複數個非零流率可調整地調節通過該第一不活性氣體管線之氣體流量的可調整閥。
  2. 如請求項1所述的原子層沉積裝置,其中該第二閥包括針閥。
  3. 如請求項1所述的原子層沉積裝置,其進一步包括沿該旁通管線之第三閥及第四閥,該第三閥具有開啟狀態及關閉狀態,該第四閥包括經組態來以複數個非零流率可調整地調節通過該旁通管線之氣體流量的可調整閥。
  4. 如請求項1所述的原子層沉積裝置,其中該反應器總成包括混合器及在該混合器之下游且經由製程室進給管線與該混合器流體連通之製程室。
  5. 如請求項1所述的原子層沉積裝置,其進一步包括經組態以調整至該第一不活性氣體管線之該不活性氣體之氣體流量的質量流量控制器。
  6. 一種原子層沉積裝置,其包括: 反應器總成; 經組態以自第一反應物蒸氣源供應第一反應物蒸氣之第一反應物氣體管線,該第一反應物氣體管線包括擴散障壁部分; 經組態以在所述原子層沉積裝置之沖洗狀態期間及在所述原子層沉積裝置之配料狀態期間自不活性氣體源至少供應不活性氣體之流量的第一部份之第一不活性氣體管線; 在第一接頭處與該第一反應物氣體管線及該第一不活性氣體管線之各者連通以將該第一反應物蒸氣及該不活性氣體供應至該反應器總成的第一進給管線; 在第二接頭處與該第一不活性氣體管線之上游的該第一反應物氣體管線連通的排洩管線,該擴散障壁部分設置於該第一接頭及該第二接頭之間; 沿該第一不活性氣體管線之第一閥,該第一閥具有開啟狀態及關閉狀態; 沿該第一不活性氣體管線之第二閥,該第二閥包括經組態來以複數個非零流率可調整地調節通過該第一不活性氣體管線之氣體流量的可調整閥; 在第三接頭處與該排洩管線及在第四接頭處與該第一不活性氣體管線流體連通的旁通管線,該旁通管線經組態以向該第一反應物氣體管線及向該第一不活性氣體管線施加吸力,該旁通管線經組態以至少接收自該不活性氣體源的該不活性氣體之該流量的第二部分; 經組態以對該旁通管線及該排洩管線之至少一者施加吸力的真空泵; 沿在該第四接頭及該真空泵之間的該旁通管線之第三閥及第四閥; 經組態以控制該第一閥、第二閥、第三閥及第四閥中一或多個之控制系統,以在所述原子層沉積裝置之沖洗狀態下,使大部分該不活性氣體之該流量自該不活性氣體源以流動通過該不活性氣體管線,以及使小部分該不活性氣體之該流量自該不活性氣體源以流動通過該旁通管線,以至少部分沿該擴散障壁部分產生壓差,使得在所述原子層沉積裝置之該沖洗狀態期間,該第一反應物氣體管線的該擴散障壁充作惰性氣體閥以限制該第一反應物蒸氣的擴散至該反應器總成中。
  7. 如請求項6所述的原子層沉積裝置,其中該第三閥具有開啟狀態及關閉狀態,該第四閥包括經組態來以複數個非零流率可調整地調節通過該旁通管線之氣體流量的可調整閥。
  8. 如請求項6所述的原子層沉積裝置,其中該控制系統經組態以在所述原子層沉積裝置之配料狀態期間,使大部分該不活性氣體流動通過該旁通管線,且小部分該不活性氣體流動通過該第一不活性氣體管線。
  9. 如請求項6所述的原子層沉積裝置,其中該控制系統經組態以在所述原子層沉積裝置之配料狀態期間容許流動通過該旁通管線,及在所述原子層沉積裝置之該沖洗狀態期間關閉流動通過該旁通管線。
  10. 如請求項6所述的原子層沉積裝置,其中該第二閥包括針閥。
  11. 如請求項6所述的原子層沉積裝置,其中該反應器總成包括混合器及在該混合器之下游且經由製程室進給管線與該混合器流體連通之製程室。
  12. 如請求項6所述的原子層沉積裝置,其進一步包括經組態以調整至該第一不活性氣體管線之該不活性氣體之氣體流量的質量流量控制器。
  13. 如請求項6所述的原子層沉積裝置,其中該控制系統電連接至該第一閥及該第二閥中的一或多個,該控制系統經組態: 以在所述原子層沉積裝置之配料狀態期間,使該第一閥及該第二閥以第一非零流率將該不活性氣體供應至該第一不活性氣體管線;及 在所述原子層沉積裝置之該沖洗狀態期間,使該第一閥及該第二閥以第二流率將該不活性氣體供應至該第一不活性氣體管線,該第二流率高於該第一流率。
  14. 如請求項6所述的原子層沉積裝置,其進一步包括 該第一反應物蒸氣源及該不活性氣體源; 經組態以將第二反應物蒸氣供應至第二反應物氣體管線之第二反應物蒸氣源;及 經組態以將該第二反應物蒸氣及該不活性氣體供應至該反應器總成之第二進給管線。
TW112102101A 2017-10-16 2018-10-15 原子層沉積裝置 TWI827448B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/785,231 2017-10-16
US15/785,231 US10927459B2 (en) 2017-10-16 2017-10-16 Systems and methods for atomic layer deposition

Publications (2)

Publication Number Publication Date
TW202319576A TW202319576A (zh) 2023-05-16
TWI827448B true TWI827448B (zh) 2023-12-21

Family

ID=66097372

Family Applications (2)

Application Number Title Priority Date Filing Date
TW112102101A TWI827448B (zh) 2017-10-16 2018-10-15 原子層沉積裝置
TW107136214A TWI793197B (zh) 2017-10-16 2018-10-15 原子層沉積之裝置及方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW107136214A TWI793197B (zh) 2017-10-16 2018-10-15 原子層沉積之裝置及方法

Country Status (4)

Country Link
US (2) US10927459B2 (zh)
KR (1) KR20190042466A (zh)
CN (2) CN116623157A (zh)
TW (2) TWI827448B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102411152B1 (ko) * 2017-05-02 2022-06-21 피코순 오와이 Ald 장치, 방법 및 밸브
US10927459B2 (en) * 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US11718913B2 (en) * 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
JP7155390B2 (ja) * 2019-02-28 2022-10-18 株式会社Kokusai Electric 基板処理方法、基板処理装置、プログラム及び半導体装置の製造方法
WO2020214732A1 (en) * 2019-04-19 2020-10-22 Lam Research Corporation Rapid flush purging during atomic layer deposition
FI129501B (en) * 2019-04-25 2022-03-31 Beneq Oy Gas distribution unit in connection with the ALD reactor
WO2021030336A1 (en) 2019-08-12 2021-02-18 Kurt J. Lesker Company Ultra high purity conditions for atomic scale processing
CN112908902B (zh) * 2021-02-10 2024-04-09 长江存储科技有限责任公司 半导体器件处理设备及处理方法
KR20230140080A (ko) 2022-03-29 2023-10-06 정진호 수돗물 정수용 필터
CN115595559A (zh) * 2022-10-27 2023-01-13 拓荆科技股份有限公司(Cn) 多腔室半导体设备

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060045970A1 (en) * 2004-08-31 2006-03-02 Jung-Hun Seo ALD thin film deposition apparatus and thin film deposition method using same

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3715644A1 (de) 1987-05-11 1988-12-01 Fraunhofer Ges Forschung Molekularstrahlepitaxieanlage
WO1990010092A1 (en) 1989-02-24 1990-09-07 Massachusetts Institute Of Technology A modified stagnation flow apparatus for chemical vapor deposition providing excellent control of the deposition
US5856567A (en) * 1995-06-07 1999-01-05 Novus International, Inc. Continuous hydrolysis process for preparing 2-hydroxy-4-methylthiobutanioc acid or salts thereof
JP3258885B2 (ja) 1995-12-27 2002-02-18 東京エレクトロン株式会社 成膜処理装置
GB9724168D0 (en) * 1997-11-14 1998-01-14 Air Prod & Chem Gas control device and method of supplying gas
AU1218401A (en) 1999-10-20 2001-04-30 Cvd Systems, Inc. Fluid processing system
NL1013938C2 (nl) * 1999-12-23 2001-06-26 Asm Int Inrichting voor het behandelen van een wafer.
JP2001203211A (ja) * 2000-01-20 2001-07-27 Hitachi Kokusai Electric Inc 水素アニール処理方法及びその装置
TW576873B (en) * 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
FI118805B (fi) * 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
US6319640B1 (en) 2000-05-26 2001-11-20 Eastman Kodak Company Imaging element containing a blocked photographically useful compound
KR100351056B1 (ko) * 2000-06-27 2002-09-05 삼성전자 주식회사 선택적 금속산화막 형성단계를 포함하는 반도체 소자의 제조방법
FI20001694A0 (fi) * 2000-07-20 2000-07-20 Asm Microchemistry Oy Menetelmä ohutkalvon kasvattamiseksi substraatille
US6451692B1 (en) * 2000-08-18 2002-09-17 Micron Technology, Inc. Preheating of chemical vapor deposition precursors
TW560029B (en) * 2001-01-18 2003-11-01 Watanabe M & Co Ltd Carburetor, various types of devices using the carburetor, and method vaporization
JP2002339071A (ja) * 2001-05-18 2002-11-27 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Alcvdシステムにおける処理ガス供給機構
KR100439948B1 (ko) * 2002-04-19 2004-07-12 주식회사 아이피에스 리모트 플라즈마 ald 장치 및 이를 이용한 ald 박막증착방법
TWI273642B (en) * 2002-04-19 2007-02-11 Ulvac Inc Film-forming apparatus and film-forming method
US7601225B2 (en) * 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
JP2004063807A (ja) * 2002-07-29 2004-02-26 Elpida Memory Inc 半導体装置の製造方法
US6779378B2 (en) * 2002-10-30 2004-08-24 Asm International N.V. Method of monitoring evaporation rate of source material in a container
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
KR100520902B1 (ko) * 2002-11-20 2005-10-12 주식회사 아이피에스 알루미늄 화합물을 이용한 박막증착방법
US20050016956A1 (en) * 2003-03-14 2005-01-27 Xinye Liu Methods and apparatus for cycle time improvements for atomic layer deposition
JP4180948B2 (ja) * 2003-03-24 2008-11-12 東京エレクトロン株式会社 基板処理装置および基板処理方法、ガスノズル
KR100521380B1 (ko) * 2003-05-29 2005-10-12 삼성전자주식회사 박막 증착 방법
EP1486707B1 (en) * 2003-06-11 2007-11-21 Asm International N.V. Gas supply system, valve assembly and method of forming reactant pulses by operating a valve assembly
US7156380B2 (en) * 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050211315A1 (en) * 2004-03-25 2005-09-29 Jorg Henderikus H Rotatable valve
KR20060007325A (ko) * 2004-07-19 2006-01-24 삼성전자주식회사 플라즈마 유도 원자층 증착 기술을 이용한 유전막 형성 방법
US7846499B2 (en) 2004-12-30 2010-12-07 Asm International N.V. Method of pulsing vapor precursors in an ALD reactor
CN101370963B (zh) 2006-01-19 2012-03-28 Asm美国公司 高温原子层沉积进气歧管
CN101506561B (zh) * 2006-08-23 2012-04-18 株式会社堀场Stec 组合式气体分配盘装置
CN101522943B (zh) * 2006-10-10 2013-04-24 Asm美国公司 前体输送系统
JP5248025B2 (ja) * 2007-03-01 2013-07-31 東京エレクトロン株式会社 SrTiO3膜の成膜方法およびコンピュータ読取可能な記憶媒体
JP2009076881A (ja) * 2007-08-30 2009-04-09 Tokyo Electron Ltd 処理ガス供給システム及び処理装置
US8334015B2 (en) * 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP2009088229A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
JP5459895B2 (ja) * 2007-10-15 2014-04-02 Ckd株式会社 ガス分流供給ユニット
JP5231441B2 (ja) * 2007-10-31 2013-07-10 国立大学法人東北大学 プラズマ処理システム及びプラズマ処理方法
NL1036153A1 (nl) * 2007-11-08 2009-05-11 Asml Netherlands Bv Method and system for determining a suppression factor of a suppression system and a lithographic apparatus.
JP4961381B2 (ja) * 2008-04-14 2012-06-27 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
US8741062B2 (en) * 2008-04-22 2014-06-03 Picosun Oy Apparatus and methods for deposition reactors
WO2010047168A1 (ja) 2008-10-20 2010-04-29 旭有機材工業株式会社 渦巻き式流体混合器及び渦巻き式流体混合器を用いた装置
US8017527B1 (en) * 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
US20120216712A1 (en) * 2009-01-16 2012-08-30 Ajit Paranjpe Composition and method for low temperature deposition of ruthenium
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
JPWO2011024777A1 (ja) * 2009-08-27 2013-01-31 株式会社アルバック 真空処理装置及び真空処理方法
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US9790594B2 (en) * 2010-12-28 2017-10-17 Asm Ip Holding B.V. Combination CVD/ALD method, source and pulse profile modification
US8524322B2 (en) * 2010-12-28 2013-09-03 Asm International N.V. Combination CVD/ALD method and source
JP5236755B2 (ja) * 2011-01-14 2013-07-17 東京エレクトロン株式会社 成膜装置及び成膜方法
EP2694700B1 (en) * 2011-04-07 2016-11-16 Picosun Oy Atomic layer deposition with plasma source
US8746284B2 (en) * 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
US10364496B2 (en) * 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
CN103688339B (zh) * 2011-07-22 2016-09-28 应用材料公司 用于ald/cvd工艺的反应物输送系统
US8728239B2 (en) * 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
US9096931B2 (en) * 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9574268B1 (en) * 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
JP6065840B2 (ja) * 2011-11-02 2017-01-25 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US8911826B2 (en) * 2012-08-02 2014-12-16 Asm Ip Holding B.V. Method of parallel shift operation of multiple reactors
JP5616416B2 (ja) * 2012-11-02 2014-10-29 株式会社フジキン 集積型ガス供給装置
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
CN107424901B (zh) 2013-03-12 2019-06-11 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
US9447497B2 (en) * 2013-03-13 2016-09-20 Applied Materials, Inc. Processing chamber gas delivery system with hot-swappable ampoule
US20140349469A1 (en) * 2013-05-22 2014-11-27 Qualcomm Mems Technologies, Inc. Processing for electromechanical systems and equipment for same
US20150004798A1 (en) * 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US10443127B2 (en) * 2013-11-05 2019-10-15 Taiwan Semiconductor Manufacturing Company Limited System and method for supplying a precursor for an atomic layer deposition (ALD) process
US9353440B2 (en) * 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US20150184287A1 (en) * 2013-12-26 2015-07-02 Intermolecular, Inc. Systems and Methods for Parallel Combinatorial Vapor Deposition Processing
WO2015112728A1 (en) * 2014-01-23 2015-07-30 Ultratech, Inc. Vapor delivery system
US9663857B2 (en) * 2014-04-07 2017-05-30 Asm Ip Holding B.V. Method for stabilizing reaction chamber pressure
WO2015190749A1 (en) * 2014-06-11 2015-12-17 Dnf Co., Ltd. Novel amino-silyl amine compound and the manufacturing method of dielectric film containing si-n bond by using atomic layer deposition
KR101875183B1 (ko) * 2014-06-11 2018-07-06 (주)디엔에프 신규한 아미노실릴아민 화합물 및 원자층 증착법을 이용한 Si-N 결합을 포함하는 절연막의 제조방법
US9994956B2 (en) * 2014-08-11 2018-06-12 University Of Kansas Apparatus for in situ deposition of multilayer structures via atomic layer deposition and ultra-high vacuum physical or chemical vapor deposition
US9396956B1 (en) * 2015-01-16 2016-07-19 Asm Ip Holding B.V. Method of plasma-enhanced atomic layer etching
US9478415B2 (en) * 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
KR20170019668A (ko) * 2015-08-12 2017-02-22 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
US9455138B1 (en) * 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9627221B1 (en) * 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US10515783B2 (en) * 2016-02-23 2019-12-24 Lam Research Corporation Flow through line charge volume
US11453943B2 (en) * 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6685179B2 (ja) * 2016-06-01 2020-04-22 東京エレクトロン株式会社 基板処理方法
US10662527B2 (en) * 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US9738977B1 (en) * 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10340135B2 (en) * 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10619242B2 (en) * 2016-12-02 2020-04-14 Asm Ip Holding B.V. Atomic layer deposition of rhenium containing thin films
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
KR102065243B1 (ko) * 2017-05-01 2020-01-10 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
US10312055B2 (en) * 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10927459B2 (en) * 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
KR20210048408A (ko) * 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
TW202200830A (zh) * 2020-02-26 2022-01-01 美商應用材料股份有限公司 用於ald 處理的循序脈衝和淨化

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060045970A1 (en) * 2004-08-31 2006-03-02 Jung-Hun Seo ALD thin film deposition apparatus and thin film deposition method using same

Also Published As

Publication number Publication date
TW202319576A (zh) 2023-05-16
US11814727B2 (en) 2023-11-14
US20210156026A1 (en) 2021-05-27
TWI793197B (zh) 2023-02-21
CN116623157A (zh) 2023-08-22
KR20190042466A (ko) 2019-04-24
US20190112707A1 (en) 2019-04-18
US10927459B2 (en) 2021-02-23
TW201923139A (zh) 2019-06-16
CN109666921B (zh) 2023-07-07
CN109666921A (zh) 2019-04-23

Similar Documents

Publication Publication Date Title
TWI827448B (zh) 原子層沉積裝置
JP6209385B2 (ja) 複数のリアクタの並列シフト動作方法
US11208722B2 (en) Vapor flow control apparatus for atomic layer deposition
KR100522951B1 (ko) 기질 코팅 시스템 및 기질 코팅 시스템용 선-반응 장치
KR100979575B1 (ko) 원자층 침착 장치 및 이의 제조방법
TW202128273A (zh) 氣體注入系統、及將材料沉積於反應室內之基板表面上的方法
US7020981B2 (en) Reaction system for growing a thin film
US20100266765A1 (en) Method and apparatus for growing a thin film onto a substrate
US7666474B2 (en) Plasma-enhanced pulsed deposition of metal carbide films
CN104737275A (zh) 沉积无氟/碳保形钨的方法
WO2011152352A1 (ja) 半導体装置の製造方法及び基板処理装置
US20120100308A1 (en) Ternary metal alloys with tunable stoichiometries
WO2018106626A1 (en) Ruthenium precursors for ald and cvd thin film deposition and uses thereof
US20120003833A1 (en) Methods for forming tungsten-containing layers
KR20150020528A (ko) 세장형 노즐을 갖는 cvd 및 ald를 위한 장치 및 사용 방법들
US20060251815A1 (en) Atomic layer deposition methods
US20170022609A1 (en) Heteroleptic Diazadiene-Containing Tungsten Precursors for Thin Film Deposition
JP4356943B2 (ja) 基板処理装置及び半導体装置の製造方法
WO2018187781A2 (en) Metal precursors with modified diazabutadiene ligands for cvd and ald applications and methods of use
WO2018187547A2 (en) Iridium precursors for ald and cvd thin film deposition and uses thereof
US20180340255A1 (en) Cobalt Oxide Film Deposition